text
sequencelengths
3
5
source
stringlengths
15
15
[ [ "COMPUTER SYSTEM AND METHOD FOR DETERMINING STAY PERIODS OF A ROAD VEHICLE", "A computer system, method, and computer program product, for detecting a stay of a vehicle.", "The computer system includes an interface component configured to periodically receive location data sets (211-1 to 211-n) from one or more location sensors attached to the vehicle.", "The system further includes a motion detection component configured to detect a stop of the vehicle when at least two consecutive location data sets (211-1, 211-2) represent the same physical location within a tolerance range to define a stop location of the vehicle." ], [ "1.A computer system for detecting a stay of a vehicle, comprising: an interface component configured to periodically receive location data sets (211-1 to 211-n, x-1 to x-11) from one or more location sensors attached to the vehicle; a motion detection component configured to: detect a stop of the vehicle when at least two consecutive location data sets (211-1, 211-2, x-2, x-3) represent the same physical location within a tolerance range (tr1, tr2), thus defining a stop location ((x1, y1), (x2, y2)) of the vehicle, and to determine a point in time corresponding to the earliest received data set (x-2) of the consecutive location data sets as start (t1, t3) of a stay period (sp-tr1, sp-tr2); and detect a restart of the vehicle when at least two consecutive location data sets (x-9, x-10) are outside the tolerance range (tr2) of the stop location and the location data sets (x-9, x-10) outside the tolerance range (tr2) show a trend that the vehicle is moving away from the stop location ((x1, y1), (x2, y2)), and to determine the point in time corresponding to the earliest received data set (x-9) of the consecutive location data sets outside the tolerance range as end (t2, t4) of the stay period (sp-tr1, sp-tr2); the motion detection component characterized in that upon the detection of a stop of the vehicle, to filter the periodically received location data (x-2 to x-8) by ignoring particular location data sets (x-6, x-7) received after the start (t3) of the stay period (sp-tr2) if, the particular location data sets (x-6, x-7) represent physical locations outside the tolerance range (tr2), and the particular location data sets (x-6, x-7) are followed by at least one further location data set (x-8) within the tolerance range (tr2).", "2.The system of claim 1, wherein the stop location ((x2,y2)) is the averaged location of the at least two consecutive location data sets (x-2, x-3) representing the same physical location.", "3.The system of claim 1, wherein the tolerance range is defined by a predefined geometric shape with the stop location defined at a center of gravity associated with the geometric shape.", "4.The system of claim 1, wherein the motion detection component is further configured to dynamically adjust a size of the tolerance range by taking into account the quality of the positioning signal received by the location sensor.", "5.The system of claim 1, further comprising a vehicle navigation system communicatively coupled with the computer system, the vehicle navigation system including: a route planning component configured to compute an expected arrival time of the vehicle based on a planned route, a start time and at least one planned stay period; an interface component configured to receive a measured actual stay period from the computer system; and an updating component configured to update the expected arrival time based on the current location of the vehicle, the current time, and the received actual stay period wherein updating includes charging the actual stay period up against the at least one planned stay period if the length of the actual stay period (sp-tr1, sp-tr2) exceeds a predefined threshold length (tsmin).", "6.A computer-implemented method for detecting a stay of a vehicle, the method comprising: periodically receiving location data (211-1 to 211-n, x-1 to x-11) from one or more location sensors attached to the vehicle; detecting a stop of the vehicle when at least two consecutive location data sets represent the same physical location within a tolerance range (tr1, tr2), thus defining a stop location ((x1, y1), (x2, y2)) of the vehicle, and determining a point in time corresponding to the earliest received data set of the consecutive location data sets as start of a stay period; and detecting a restart of the vehicle (10) when at least two consecutive location data sets are outside the tolerance range of the stop location and the location data sets outside the tolerance range show a trend that the vehicle is moving away from the stop location, and determining the point in time corresponding the earliest received data set of the consecutive location data sets outside the predefined tolerance range as end of the stay period.", "7.The method of claim 6, further comprising: updating an expected arrival time in a vehicle navigation system, wherein the expected arrival time is computed based on: a planned route, at least one planned stay period, a current location of the vehicle, the current time, and the measured actual stay period, and wherein updating includes charging the measured actual stay period up against the at least one planned stay period if the length of the actual stay period exceeds a predefined threshold length.", "8.The method of claim 6, further comprising: upon detecting a stop of the vehicle, filtering the periodically received location data by ignoring particular location data sets received after the start of the stay period if: the particular location data sets represent physical locations outside the tolerance range, and the particular location data sets are followed by at least one further location data set within the tolerance range.", "9.The method of claim 8, wherein a restart detection is invalidated if the particular location data sets correspond to the at least two consecutive location data sets outside the tolerance range of the stop location showing a trend that the vehicle is moving away from the stop location, and are followed by at least one further location data set within the tolerance range.", "10.The method of claim 6, wherein the stop location is the averaged location of the at least two consecutive location data sets representing the same physical location.", "11.The method of claim 6, wherein the tolerance range is defined by a predefined geometric shape with the stop location defined at a center of gravity associated with the geometric shape.", "12.The method of claim 6, wherein the size of the tolerance range is dynamically adjusted taking into account the quality of the positioning signal received by the location sensor.", "13.The method of claim 6, wherein the one or more location sensors are selected from the group consisting of: GPS sensor, GNSS sensor, GBAS sensor, LAAS sensor, and GRAS sensor.", "14.A stay detection computer program product having instructions that when loaded into a memory of a computing device and executed by at least one processor of the computing device executes the following steps: periodically receiving location data (211-1 to 211-n, x-1 to x-11) from one or more location sensors attached to the vehicle; detecting a stop of the vehicle when at least two consecutive location data sets represent the same physical location within a tolerance range (tr1, tr2), thus defining a stop location ((x1, y1), (x2, y2)) of the vehicle, and determining the point in time corresponding to the earliest received data set of the consecutive location data sets as start of a stay period; and detecting a restart of the vehicle (10) when at least two consecutive location data sets are outside the tolerance range of the stop location and the location data sets outside the tolerance range show a trend that the vehicle is moving away from the stop location, and determining the point in time corresponding the earliest received data set of the consecutive location data sets outside the predefined tolerance range as end of the stay period.", "15.The computer program product of claim 14, further comprising: updating an expected arrival time in a vehicle navigation system, wherein the expected arrival time is computed based on: a planned route, at least one planned stay period, a current location of the vehicle, the current time, and the measured actual stay period, and wherein updating includes charging the measured actual stay period up against the at least one planned stay period if the length of the actual stay period exceeds a predefined threshold length.", "16.The computer program product of claim 14, further comprising: upon detecting a stop of the vehicle, filtering the periodically received location data by ignoring particular location data sets received after the start of the stay period if: the particular location data sets represent physical locations outside the tolerance range, and the particular location data sets are followed by at least one further location data set within the tolerance range.", "17.The computer program product of claim 16, wherein a restart detection is invalidated if the particular location data sets correspond to the at least two consecutive location data sets outside the tolerance range of the stop location showing a trend that the vehicle is moving away from the stop location, and are followed by at least one further location data set within the tolerance range.", "18.The computer program product of claim 14, wherein the stop location is the averaged location of the at least two consecutive location data sets representing the same physical location.", "19.The computer program product of claim 14, wherein the size of the tolerance range is dynamically adjusted taking into account the quality of the positioning signal received by the location sensor.", "20.The computer program product of claim 14, wherein the one or more location sensors are selected from the group consisting of: GPS sensor, GNSS sensor, GBAS sensor, LAAS sensor, and GRAS sensor." ], [ "<SOH> BACKGROUND <EOH>Some applications in the context of route planning for vehicles or vehicle navigation require accurate information about stay periods of a vehicle.", "In some implementations, historical average values of expected wait times may be used to update a system for tracking a vehicle.", "However, such wait times are generally tracked by the user.", "In some implementations, a user (driver) amends stay times and wanted stay times which may then be used for changing the guiding of the vehicle in a dynamic manner.", "Tracking stay times by a user can result in subjective estimates, for example, when the user forgets to track the stop or start times and then estimates the duration of the stay later on.", "Further, manual input of stay times can be subject to unauthorized manipulation.", "This may not be acceptable in cases where the accuracy of stay times should be tracked in an objective manner.", "For example, legal provisions may require exact measurement and logging of stay times in a non-modifiable way.", "Hence, there is a need for an improved system and method for accurately and objectively determining stay periods of a vehicle." ], [ "<SOH> SUMMARY <EOH>In a first general aspect, a computer system for detecting a stay of a vehicle includes an interface component which is configured to periodically receive location data sets from one or more location sensors attached to the vehicle.", "Many vehicles are equipped with Global Positioning System (GPS) sensors to determine the current physical location of the vehicle.", "However, any other location sensor appropriate for determining the current location may be used, such as for example, sensors for WLAN signals or mobile communication cell signals where triangulation methods or similar methods can be applied.", "The interface component may communicate with the location sensor(s) via an appropriate network, such as for example, a CAN-Bus as used in most modern cars.", "However, the location sensor may also be attached to a mobile navigation system which is placed in the vehicle but not communicatively coupled with the vehicle electronics.", "In some cases, status data like the engine status or the rotational speed of the wheels cannot be accessed via the interface component.", "In such cases, the location sensor data can be used for stay detection without any further additional status information about the vehicle status.", "The computer system further has a motion detection component which is configured to detect when the vehicle stops and starts again.", "For detecting a stop of the vehicle, the system determines when at least two consecutive location data sets represent the same physical location within a tolerance range.", "The at least two consecutive location data sets define a stop location of the vehicle.", "The tolerance range can be a predefined or dynamically determined geometric shape around the stop location.", "Once a stop is detected the point in time corresponding to the earliest received data set of the consecutive location data sets is determined as start of a stay period of the vehicle.", "A point in time corresponding to the earliest received data set of consecutive location data sets, as used hereinafter, means that the point in time is either associated with the earliest received data set or at least related to the earliest received data set.", "Related to the earliest received data set includes using a later received data set which has a defined offset (e.g., a given number of sampling intervals) with regards to the earliest received data set.", "For detecting a restart of the vehicle, the system determines when at least two consecutive location data sets are outside the tolerance range of the stop location and the location data sets outside the tolerance range show a trend that the vehicle is moving away from the stop location.", "Once a restart of the vehicle is detected, the point in time corresponding to the earliest received data set of the consecutive location data sets outside the tolerance range is determined as an end of the stay period.", "Therefore, the system has accurately measured the length of the vehicle's stay period (i.e., the time between the end and the start of the stay period) completely independent of any user action or input.", "The system may utilize automatically measured sensor data and the analysis of such data implemented stop detection and restart detection algorithms.", "The user or driver of the vehicle (or any other human) cannot manipulate the measured stay length.", "In certain situations, the received sensor location data may be of low accuracy.", "For example, the location sensor may receive a GPS signal from only three satellites or may receive noisy cell tower signals.", "In this case the received location data may show a relatively high noise level and the received location data may be distributed around the actual stop location (e.g., in a Gaussian distribution).", "In one embodiment, the motion detection component of the system further includes a filter component which is configured to improve the reliability of the stay detection components by filtering out such noisy data.", "Once the motion detection component detects a stop of the vehicle it can filter the periodically received location data by ignoring particular location data sets received after the start of the stay period if the particular location data sets represent physical locations outside the tolerance range, and if the particular location data sets are followed by at least one further location data set within the tolerance range.", "In other words, if sensor data indicate locations of the vehicle outside the tolerance range (e.g., because of a low signal quality of the positioning signals) the system is able to filter out such wrong data if any one of the subsequently received location data is again within the tolerance range.", "In another general aspect a system may compute the stop location as the averaged location of the at least two consecutive location data sets representing the same physical location.", "The tolerance range may be defined by a predefined geometric shape with the stop location at its center.", "The predefined shape may be dynamically selected dependent on the environment of the stop location.", "When computing the averaged location continuously during the stay period, that is, as long as no restart detection occurs, the center of the tolerance range may also be continuously adjusted, thus improving the accuracy of the stop location and allowing improved filtering because less location data sets will fall out of the tolerance range.", "The motion detection component may even dynamically adjust the size of the tolerance range by taking into account the quality of the received location sensor data.", "That is, in case the positioning signal quality is relatively low the size of the tolerance range may be relatively increased so that more of the received location data sets fall into the tolerance range leading to an improved averaging of the stop location.", "It may occur that the system detects a restart of the vehicle because the location data outside the tolerance range indicates that the vehicle is moving away from the stop location.", "However, this trend may be accidental in that the location data sets outside the tolerance range may simply be noisy.", "In case such data sets are again followed by at least one further location data set within the tolerance range, the motion detection component may invalidate the detected restart detection.", "For example, the end flag for the location data set indicating the end of the stay period may be removed and the stay period is measured by the system as still ongoing.", "Under certain conditions, an invalidation of the restart detection may not happen.", "For example, if any one of the detected location data sets outside the tolerance range indicates a physical location which is so far away from the stop location that the deviation cannot be explained with the noise distribution of the positioning signal then the vehicle has likely moved away from the stop location and has returned later.", "Another situation where the system may prevent invalidating the restart detection is when the vehicle has moved to another stop location which is relatively close to the original stop location and the tolerance range of the later stop location overlaps with the tolerance range of the original stop location.", "Dependent on the noise level of the positioning signal, the system may determine that the vehicle has moved from a first to a second stop location by tracking the average stop position.", "In another general aspect, the stay detection system may be communicatively coupled to a vehicle navigation system.", "The navigation system may include a route planning component to compute an expected arrival time of the vehicle based on a planned route, a start time and at least one planned stay period.", "For example, navigation systems for trucks typically allow the planning of stay periods for the truck because the truck drivers often take breaks to comply with legal requirements.", "The navigation system further has an interface component to receive the measured actual stay period from the stay detection system.", "In many situations, such as for example, just in time delivery of goods for manufacturing, it is important to continuously update the expected arrival time of the vehicle based on the actual driving performance.", "In such cases, it may be advantageous for the navigation system to recognize whether a detected stay period of the vehicle corresponds to a planned stay period (pause) or whether the vehicle briefly stopped for some other reason (e.g., because of a traffic jam).", "For this purpose, the navigation system further has an updating component to update the expected arrival time based on the current location of the vehicle, the current time, and the received actual stay period.", "In some implementations, updating may include charging the actual stay period up against the at least one planned stay period if the length of the actual stay period exceeds a predefined threshold length.", "In other words, only when a detected stay period lasts longer than a certain period which defines a minimum duration of a pause it will be taken into account for balancing the planned stay periods with the actual pauses.", "Otherwise, the system recognizes that the delay caused by the stop of the vehicle is to be added to the expected arrival time.", "In another general aspect, a computer-implemented method is executed by the stay detection system to perform the above described functions of the system.", "In another general aspect, a computer program product includes instructions that are loaded into a memory of a stay detection computing device and executed by at least one processor of the computing device to cause the processor to perform the above describe functions.", "Further aspects of the present disclosure will be realized and attained by means of the elements and combinations particularly depicted in the appended claims.", "It is to be understood that both, the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the present disclosure as described." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application claims priority to, and is a continuation of, PCT Application No.", "PCT/EP2016/066822, filed on Jul.", "14, 2016, entitled “COMPUTER SYSTEM AND METHOD FOR DETERMINING STAY PERIODS OF A ROAD VEHICLE,” which, in turn, claims the benefit of priority based on EP Application No.", "15177499.9, filed on Jul.", "20, 2015, both of which are hereby incorporated by reference.", "TECHNICAL FIELD The present disclosure generally relates to systems for road vehicle navigation, and in particular to systems and methods for the determination of vehicle motion.", "BACKGROUND Some applications in the context of route planning for vehicles or vehicle navigation require accurate information about stay periods of a vehicle.", "In some implementations, historical average values of expected wait times may be used to update a system for tracking a vehicle.", "However, such wait times are generally tracked by the user.", "In some implementations, a user (driver) amends stay times and wanted stay times which may then be used for changing the guiding of the vehicle in a dynamic manner.", "Tracking stay times by a user can result in subjective estimates, for example, when the user forgets to track the stop or start times and then estimates the duration of the stay later on.", "Further, manual input of stay times can be subject to unauthorized manipulation.", "This may not be acceptable in cases where the accuracy of stay times should be tracked in an objective manner.", "For example, legal provisions may require exact measurement and logging of stay times in a non-modifiable way.", "Hence, there is a need for an improved system and method for accurately and objectively determining stay periods of a vehicle.", "SUMMARY In a first general aspect, a computer system for detecting a stay of a vehicle includes an interface component which is configured to periodically receive location data sets from one or more location sensors attached to the vehicle.", "Many vehicles are equipped with Global Positioning System (GPS) sensors to determine the current physical location of the vehicle.", "However, any other location sensor appropriate for determining the current location may be used, such as for example, sensors for WLAN signals or mobile communication cell signals where triangulation methods or similar methods can be applied.", "The interface component may communicate with the location sensor(s) via an appropriate network, such as for example, a CAN-Bus as used in most modern cars.", "However, the location sensor may also be attached to a mobile navigation system which is placed in the vehicle but not communicatively coupled with the vehicle electronics.", "In some cases, status data like the engine status or the rotational speed of the wheels cannot be accessed via the interface component.", "In such cases, the location sensor data can be used for stay detection without any further additional status information about the vehicle status.", "The computer system further has a motion detection component which is configured to detect when the vehicle stops and starts again.", "For detecting a stop of the vehicle, the system determines when at least two consecutive location data sets represent the same physical location within a tolerance range.", "The at least two consecutive location data sets define a stop location of the vehicle.", "The tolerance range can be a predefined or dynamically determined geometric shape around the stop location.", "Once a stop is detected the point in time corresponding to the earliest received data set of the consecutive location data sets is determined as start of a stay period of the vehicle.", "A point in time corresponding to the earliest received data set of consecutive location data sets, as used hereinafter, means that the point in time is either associated with the earliest received data set or at least related to the earliest received data set.", "Related to the earliest received data set includes using a later received data set which has a defined offset (e.g., a given number of sampling intervals) with regards to the earliest received data set.", "For detecting a restart of the vehicle, the system determines when at least two consecutive location data sets are outside the tolerance range of the stop location and the location data sets outside the tolerance range show a trend that the vehicle is moving away from the stop location.", "Once a restart of the vehicle is detected, the point in time corresponding to the earliest received data set of the consecutive location data sets outside the tolerance range is determined as an end of the stay period.", "Therefore, the system has accurately measured the length of the vehicle's stay period (i.e., the time between the end and the start of the stay period) completely independent of any user action or input.", "The system may utilize automatically measured sensor data and the analysis of such data implemented stop detection and restart detection algorithms.", "The user or driver of the vehicle (or any other human) cannot manipulate the measured stay length.", "In certain situations, the received sensor location data may be of low accuracy.", "For example, the location sensor may receive a GPS signal from only three satellites or may receive noisy cell tower signals.", "In this case the received location data may show a relatively high noise level and the received location data may be distributed around the actual stop location (e.g., in a Gaussian distribution).", "In one embodiment, the motion detection component of the system further includes a filter component which is configured to improve the reliability of the stay detection components by filtering out such noisy data.", "Once the motion detection component detects a stop of the vehicle it can filter the periodically received location data by ignoring particular location data sets received after the start of the stay period if the particular location data sets represent physical locations outside the tolerance range, and if the particular location data sets are followed by at least one further location data set within the tolerance range.", "In other words, if sensor data indicate locations of the vehicle outside the tolerance range (e.g., because of a low signal quality of the positioning signals) the system is able to filter out such wrong data if any one of the subsequently received location data is again within the tolerance range.", "In another general aspect a system may compute the stop location as the averaged location of the at least two consecutive location data sets representing the same physical location.", "The tolerance range may be defined by a predefined geometric shape with the stop location at its center.", "The predefined shape may be dynamically selected dependent on the environment of the stop location.", "When computing the averaged location continuously during the stay period, that is, as long as no restart detection occurs, the center of the tolerance range may also be continuously adjusted, thus improving the accuracy of the stop location and allowing improved filtering because less location data sets will fall out of the tolerance range.", "The motion detection component may even dynamically adjust the size of the tolerance range by taking into account the quality of the received location sensor data.", "That is, in case the positioning signal quality is relatively low the size of the tolerance range may be relatively increased so that more of the received location data sets fall into the tolerance range leading to an improved averaging of the stop location.", "It may occur that the system detects a restart of the vehicle because the location data outside the tolerance range indicates that the vehicle is moving away from the stop location.", "However, this trend may be accidental in that the location data sets outside the tolerance range may simply be noisy.", "In case such data sets are again followed by at least one further location data set within the tolerance range, the motion detection component may invalidate the detected restart detection.", "For example, the end flag for the location data set indicating the end of the stay period may be removed and the stay period is measured by the system as still ongoing.", "Under certain conditions, an invalidation of the restart detection may not happen.", "For example, if any one of the detected location data sets outside the tolerance range indicates a physical location which is so far away from the stop location that the deviation cannot be explained with the noise distribution of the positioning signal then the vehicle has likely moved away from the stop location and has returned later.", "Another situation where the system may prevent invalidating the restart detection is when the vehicle has moved to another stop location which is relatively close to the original stop location and the tolerance range of the later stop location overlaps with the tolerance range of the original stop location.", "Dependent on the noise level of the positioning signal, the system may determine that the vehicle has moved from a first to a second stop location by tracking the average stop position.", "In another general aspect, the stay detection system may be communicatively coupled to a vehicle navigation system.", "The navigation system may include a route planning component to compute an expected arrival time of the vehicle based on a planned route, a start time and at least one planned stay period.", "For example, navigation systems for trucks typically allow the planning of stay periods for the truck because the truck drivers often take breaks to comply with legal requirements.", "The navigation system further has an interface component to receive the measured actual stay period from the stay detection system.", "In many situations, such as for example, just in time delivery of goods for manufacturing, it is important to continuously update the expected arrival time of the vehicle based on the actual driving performance.", "In such cases, it may be advantageous for the navigation system to recognize whether a detected stay period of the vehicle corresponds to a planned stay period (pause) or whether the vehicle briefly stopped for some other reason (e.g., because of a traffic jam).", "For this purpose, the navigation system further has an updating component to update the expected arrival time based on the current location of the vehicle, the current time, and the received actual stay period.", "In some implementations, updating may include charging the actual stay period up against the at least one planned stay period if the length of the actual stay period exceeds a predefined threshold length.", "In other words, only when a detected stay period lasts longer than a certain period which defines a minimum duration of a pause it will be taken into account for balancing the planned stay periods with the actual pauses.", "Otherwise, the system recognizes that the delay caused by the stop of the vehicle is to be added to the expected arrival time.", "In another general aspect, a computer-implemented method is executed by the stay detection system to perform the above described functions of the system.", "In another general aspect, a computer program product includes instructions that are loaded into a memory of a stay detection computing device and executed by at least one processor of the computing device to cause the processor to perform the above describe functions.", "Further aspects of the present disclosure will be realized and attained by means of the elements and combinations particularly depicted in the appended claims.", "It is to be understood that both, the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the present disclosure as described.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a simplified block diagram of a stay detection computer system according to one embodiment of the present disclosure, wherein the system is communicatively coupled with a navigation system and one or more location sensors.", "FIG.", "2 is a simplified flow chart of a computer-implemented method for detecting the stay of a vehicle according to one embodiment of the present disclosure.", "FIG.", "3 is a terrain diagram illustrating the route of a vehicle with two stop locations.", "FIG.", "4 illustrates stay periods of the vehicle in relation to a minimum pause interval.", "FIG.", "5 is a further terrain diagram illustrating a noisy positioning signal.", "FIG.", "6 is a diagram that shows an example of a generic computer device and a generic mobile computer device, which may be used with the techniques described here.", "DETAILED DESCRIPTION FIG.", "1 is a simplified block diagram of a stay detection computer system 100 according to some implementations which is communicatively coupled with one or more location sensors 200 and which may further be coupled with a navigation system 300.FIG.", "1 is described in the context of FIG.", "2 which is a simplified flow chart of a computer-implemented method 1000 for detecting the stay of a vehicle being executed by the system 100 in operation.", "The reference figures relate to both, FIG.", "1 and FIG.", "2.Optional elements of the embodiments are illustrated by dashed lines in the figures.", "The one or more location sensors can be based on any technology which is capable to determine geo-coordinates of the respective sensor.", "Examples of location sensor types may include, but are not limited to: GNSS sensors (e.g., GPS sensors), GBAS sensors, LAAS sensors, and GRAS sensors.", "The one or more location sensors 200 are physically attached to the vehicle so that the determined location data correspond to the location data of the vehicle.", "The location sensor periodically provides location data sets 211-1 to 211-n to the stay detection computer system 100 through the interface 110.In vehicles, often a CAN-Bus is used for the internal communication between hard-/and software components of the vehicle.", "A typical time interval between two sensor data sets (e.g., 211-1, 211-2) received 1100 by the interface 110 is in the range of one minute.", "However, larger or smaller frequencies or sampling rates for the location data may be used.", "A motion detection component 120 of the system 100 can analyze the received location data sets to detect a stop of the vehicle and a restart of the vehicle by using respective stop and restart detection components 121, 122.The stop detection component 121 is configured to detect 1200 a stop of the vehicle 10 when at least two consecutive (i.e., consecutively received) location data sets 211-1, 211-2 represent the same physical location within a tolerance range.", "For example, the stop detection component 121 can compute a virtual circle with a predefined radius (or another appropriate geometric shape) to define the tolerance range around the particular physical location corresponding to the geo-coordinates of the latest received location data set.", "This particular physical location may be used as the center of gravity of the computed geometric shape.", "If the next received location data set corresponds to a physical location which is inside the tolerance range as defined by the geometric shape, the stop detection component determines a stop of the vehicle.", "The stop location of the vehicle may be associated with the earlier received particular physical location or it may be computed as the average location of the earlier received and the last received location data sets.", "The center (of gravity) of the geometric shape may be adjusted to the averaged stop location which may be updated after each received location data set within the tolerance range.", "The point in time corresponding to the earlier received data set 211-1 of the consecutive location data sets is then determined as start of a stay period of the vehicle.", "For example, the location data sets can be buffered in an appropriate data structure of a memory of the system 100 and the earlier received location data set 211-1 is flagged as start of the stay period.", "The restart detection component 122 is configured to detect 1400 a restart of the vehicle when at least two consecutive location data sets are outside the tolerance range of the stop location and the location data sets outside the tolerance range show a trend that the vehicle is moving away from the stop location.", "In other words, location data sets detected outside the tolerance range define a vector which is pointing away from the stop location indicating that the vehicle has moved away from the stop location during at least two sampling intervals of the location sensor data.", "In case of detecting 1400 a restart the restart detection component 122 determines point in time corresponding to the earliest received data set of the location data sets outside the tolerance range as defining the end of the stay period.", "In other words, the origin of the vector is flagged as end of the stay period.", "The disclosed stay detection system allows fully automated detection of stay periods of a vehicle without any user input or user interaction.", "Therefore, the measured stay periods are free from any subjective assessment of the driver regarding the length of a stay and can be determined with a high degree of accuracy which is determined by the sampling frequency of the location sensor data sets received by the stay detection system and the accuracy of the location information.", "The overall error in measuring the actual stay time of the vehicle is below two sampling intervals.", "That is, if an update interval of one minute is used, the error in the computation of the stay period is below two minutes.", "Further, the measured actual stay periods can be stored in a memory of the system which is not accessible for the driver/user.", "Thereby, the measured stay periods are secure against any manipulation by the driver.", "In one embodiment, the motion detection component further may have a filter component 123 which further improves the data quality of the stay detection system through filtering 1300 of noisy location data.", "Details of the filter component 123 are disclosed in FIG.", "5.In one embodiment, the system 100 may include a stay period detection component 130.The stay period detection component simply computes the length of the stay period as the time difference between the end and the start points of the stay period and can provide the length of the stay period to external systems via the interface 110.In one embodiment, the stay detection system 100 may be coupled to the navigation system 300.Alternatively, the stay detection system 100 can be an integral part of the navigation system 300.The navigation system 300 has a route planning component 320 configured to compute an expected arrival time of the vehicle based on a planned route, a start time and at least one planned stay period.", "Route planning algorithms are known by the person skilled in the art of navigation systems.", "Based on start and end point of the planned tour the system computes one or more alternative routes according to predefined constraints (e.g., shortest route, fastest route, economical route, etc.).", "The system uses information stored in maps about distance and average speed on certain parts of the route to compute the expected arrival time.", "The route planning component 320 further allows planning stay periods for a planned tour which are then used as input data when computing the expected arrival time.", "In a second mode, the route planning component can take into account actual measured stay periods when updating a planned route based on actual location and time data.", "Both modes can be run simultaneously.", "In operation, the navigation system 300 periodically receives the current location data from the one or more location sensors 200 of the vehicle and can update the expected arrival time by taking into account the current time, the current location of the vehicle and the remaining planned route.", "However, for a correct update of the expected arrival time it is advantageous for the navigation system to distinguish between actual stays of the vehicle which correspond to planned stay periods versus stays which may be caused by a traffic jam or similar incidents.", "For this purpose, the navigation system 300 can receive the measured actual stay period from the computer system 100 through the interface component 310 (e.g., via the internal communication bus of the vehicle).", "The updating component 330 of the navigation system can then update 1500 the expected arrival time based on the current location of the vehicle, the current time, and the received actual stay period.", "Thereby, updating 1500 includes charging the actual stay period up against the at least one planned stay period if the length of the actual stay period exceeds a predefined threshold length.", "In other words, the updating component 330 knows the minimum time interval required for a stay period which qualifies as a pause of the driver according to the planned stay periods.", "Only if the measured stay period is at least as long as the minimum time interval for a pause it will be taken into account as a planned stay period (pause) and the at least one planned stay period is reduced by the actual measured stay period.", "In this case, there is no effect on the expected arrival time unless the remainder of the at least one planned stay period is less than the minimum time interval.", "In this case, the difference between the minimum time interval and the remainder is added to the expected arrival time because an actual pause will always have at least the length of the minimum time interval.", "However, if the actual measured stay period is larger than the remaining at least one stay period the difference amount is added to the expected arrival time.", "A measured actual stay period which is shorter than the minimum time interval for a pause directly affects the computation of the expected arrival time as it is automatically added to the expected arrival time by not being compensated through the planned stay period(s).", "FIG.", "3 is a terrain diagram 500 illustrating the route of a vehicle 10 with two stop locations (x1,y1), (x2,y2).", "In the example, the terrain diagram 500 is simplified in that it only uses a two dimensional visualization of the terrain along the dimensions x and y represented by the x-axis and y-axis defining a coordinate system.", "Each point in the terrain diagram corresponds to a physical real-world location.", "The terrain 500 includes a section of a route 510 and a parking space (parking site) 520 which can be reached from the route.", "For example, the vehicle 10 illustrated by black rectangles is driving on the route 510 from the left to the right.", "Each individual black rectangle in FIG.", "3 corresponds to a position of the vehicle over time where location data is received.", "At the physical location (x1,y1) the vehicle comes to a standstill because of a traffic jam.", "As soon as the stay detection system of the vehicle 10 receives two consecutive sensor signals which represent the same physical location within the tolerance range tr1 the motion detection component of the stay detection system detects a stop of the vehicle.", "The stop location which is determined based on the received location sensor data may deviate from the actual physical stop location of the vehicle because of a noisy positioning signal.", "Thereby, various positioning signal types are associated with different levels of signal accuracy regarding the position data.", "For example, a GPS signal is more accurate than a cell tower signal but measuring the location takes more time, consumes more energy and only works outdoors.", "In case the vehicle is standing underneath a roof, the GPS signal may not work at all.", "The cell tower signal is faster but less accurate.", "Another option is using wireless local area network (WLAN, Wi-Fi) signals if there are multiple WLAN routers available so that triangulation methods can be applied.", "WLAN based positioning is faster and more accurate than GPS and may also be used indoors (e.g., under a roof).", "As a conclusion, on roads in most cases the system has to cope with cell tower triangulation (relatively fast but less accurate) and/or GPS (slower but more accurate).", "On parking sites, also WLAN may be available as a fast and accurate alternative.", "Some location sensors can provide the information about the source of the location data to the stay detection system.", "That is, the stay detection system knows from which kind of positioning signal the received location data sets originate.", "In such an embodiment, the stay detection system can dynamically adjust the size of the tolerance range dependent on the positioning signal type.", "For example, if WLAN signals were used by the location sensor the tolerance range can be smaller than in the case of GPS signals because the location data based on WLAN signals are more accurate (less noisy) than location data based on GPS signals.", "If cell tower signals are used by the sensor, the selected tolerance range advantageously is larger than in the case of GPS signals.", "Advantageously, the actual stop location is within the tolerance range tr2.As described earlier, multiple location data sets representing the same physical location can be averaged to determine the stop location of the vehicle and this averaged stop location may define the center (of gravity) of the tolerance range tr1, respectively.", "Advantageously, the shape of the tolerance range is a circle or a polygon which approximates a circle.", "Alternatively, other shapes, such as triangles, rectangles, squares, etc.", "can be used.", "Information about the current environment (e.g., derived from the map of a route planning or navigation system) may also be used to select an appropriate shape.", "For example, an elliptic shape may be selected in the current example, where the vehicle stops on the road because of a traffic jam.", "As illustrated in FIG.", "4, the system further determines t1 as start of a first stay period sp-tr1 by analyzing the received location data sets as described earlier.", "At t2, the traffic jam dissolves and the vehicle 10 continuous driving.", "Turning back to FIG.", "3, the stay detection system detects a restart of the vehicle 10 because the two consecutive location data sets corresponding to the two locations of the vehicle following the stop location (x1,y1) are outside the tolerance range tr1 of the stop location (x1,y1) and, at the same time, show a trend that the vehicle 10 is moving away from the stop location (x1,y1).", "Advantageously, the time interval at which location data sets are periodically received from the location sensor is between 30 seconds and 3 minutes.", "This allows to measure stay periods with an error between one minute and six minutes.", "Larger errors may also be acceptable in particular application scenarios.", "The vehicle 10 then takes the exit to the parking space 520 and arrives at a second stop location (x2,y2) for making a break.", "Again, the stay detection system detects a stop of the vehicle and determines the start time t3 of a second stay period sp-tr2 (cf.", "FIG.", "4).", "The tolerance range tr2 used for the stop detection at the second stop location may be different from the tolerance range that was used during the traffic jam.", "A typical radius for a tolerance range circle can be around seventy-five meters.", "For example, the parking space may be equipped with a plurality of WLAN routers providing a higher accuracy regarding the positioning signal.", "In this case, the tolerance range tr2 may be smaller than the tolerance range tr1.When the driver finishes the break and continues to drive the stay detection system detects a restart condition of the vehicle 10 once the two consecutive vehicle positions outside the tolerance range tr2 indicate that the vehicle 10 is moving away from the second stop location (x2, y2).", "Finally the vehicle enters the road 510 again.", "The system determines t4 as the end of the second stay period sp-tr2 (cf.", "FIG.", "4).", "Based on the measured lengths (duration) of the actual stay periods sp-tr1, sp-tr2 a navigation system can then determine, how the measured stay periods should be taken into account when updating the expected arrival time of the vehicle.", "In the example of FIG.", "4, the first stay period sp-tr1 is smaller than a predefined minimum stay period tsmin (e.g., a minimum pause interval).", "As a consequence, the first stay period is not used to compensate any planned stay periods for the expected arrival time computation.", "However, the second stay period sp-tr2 is longer than tsmin.", "As a consequence, this stay is perceived by the navigation system as a break which was already planned into the original route planning.", "Therefore, the measured second stay period will reduce the planned stay periods accordingly when the expected arrival time is updated.", "FIG.", "5 is a further terrain diagram 600 illustrating a noisy positioning signal.", "The terrain diagram 600 is a zoomed view of the terrain diagram of FIG.", "3 where the parking space 520 with the second stop location (x2,y2) is in focus.", "FIG.", "5 illustrates the functioning of the optional filter component of the stay detection system.", "Upon the detection of the stop of the vehicle 10 at the second stop location (x2,y2) the location data sets received from the location sensor may show considerable noise dependent on the type and quality of the positioning signal.", "In case of a low quality signal (e.g., cell tower signals) location data sets are received which correspond to locations outside the tolerance range tr2 although the vehicle is still standing at the stop location.", "In the example of FIG.", "5, the physical locations represented by the received location data sets are illustrated by an x separated by a dash from the number representing the order of receipt.", "x-1 corresponds to the physical location of the vehicle as it is taking the exit to the parking space 520.x-2 and x-3 correspond to the two consecutive location data sets for which the system detects a stop of the vehicle as they both represent the same physical location within the tolerance range tr2.In the example, the actual stop location (x2,y2) corresponds approximately to the averaged location of x-2 and x-3.The following location data sets x-4 and x-5 fall into the tolerance range indicating that the vehicle 10 is still staying.", "However, x-6 and x-7 are outside the tolerance range tr2 because of poor signal quality.", "In case the system determines a trend that the vehicle is moving away a restart of the vehicle would be detected erroneously.", "In the example this is unlikely because both locations x-6 and x-7 have approximately the same distance from the stop location.", "x-8 is again within tolerance range tr2.The system can filter the periodically received location data x-2 to x-8 by ignoring the location data sets x-6, x-7 received after the start t3 of the stay period sp-tr2 (cf.", "FIG.", "4) if the location data sets x-6, x-7 represent physical locations outside the tolerance range tr2 and are followed by at least one further location data set x-8 within the tolerance range tr2.This is the case in the example scenario.", "That is, the false positives x-6 and x-7 are simply ignored because they are followed by the true positive x-8 indicating that the vehicle is still staying.", "As a consequence, false positives do not trigger a restart detection.", "Even if x-6 and x-7 would show a trend of moving away from the stop location the system would invalidate the restart detection as soon as it recognizes that the vehicle is still at the stop location by evaluation further location data sets.", "This ensures that the true stay period is measured and not interrupted by artificial restart detections based on low quality positioning signal data.", "In cases where the location sensor receives only one cell tower signal and a triangulation method may not be applicable, the received location data sets indicate the location of the respective cell tower.", "In this case, the location information remains unchanged (i.e., exactly the same location information is received during the time period where only one cell tower signal is received).", "Such location data sets do not carry significant information for the restart detection and, therefore, can also be filtered out by the stay detection system.", "It may occur that the vehicle actually restarts and returns to the same stop location at a later point in time.", "To avoid an invalidation of the restart detection in this case the system can use a further tolerance range tr3 which is larger than the tolerance range tr2.For example, the further tolerance range may correspond to an average size of a parking area with a radius of the tolerance range circle tr3 around two hundred and fifty meters.", "Multiple consecutive location data sets x-10, x-11 outside the further tolerance range t3 indicate that the vehicle has definitely left its stop location.", "Even if the vehicle returns to the stop location (x2,y2) later on the detected restart condition is not invalidated.", "That is, the actual stay period sp-tr2 (cf.", "FIG.", "4) is not falsified by the return.", "The end of the stay period is associated with the location data set x-9.x-9 is the earliest data set of location data sets x-9, x-10, x-11 outside the tolerance range t3 and showing a trend that the vehicle is moving away from the stop location.", "FIG.", "6 is a diagram that shows an example of a generic computer device 900 and a generic mobile computer device 950, which may be used with the techniques described here.", "Computing device 900 is intended to represent various forms of digital computers, such as laptops, desktops, workstations, personal digital assistants, servers, blade servers, mainframes, and other appropriate computers.", "Generic computer device may 900 correspond to the computer system 100 for stay detection of FIG.", "1.Computing device 950 is intended to represent various forms of mobile devices, such as personal digital assistants, cellular telephones, smart phones, and other similar computing devices.", "For example, computing device 950 may include the navigation system 300 as shown in FIG.", "1.The components shown here, their connections and relationships, and their functions, are meant to be exemplary only, and are not meant to limit implementations of the subject matter described and/or claimed in this document.", "Computing device 900 includes a processor 902, memory 904, a storage device 906, a high-speed interface 908 connecting to memory 904 and high-speed expansion ports 910, and a low speed interface 912 connecting to low speed bus 914 and storage device 906.Each of the components 902, 904, 906, 908, 910, and 912, are interconnected using various busses, and may be mounted on a common motherboard or in other manners as appropriate.", "The processor 902 can process instructions for execution within the computing device 900, including instructions stored in the memory 904 or on the storage device 906 to display graphical information for a GUI on an external input/output device, such as display 916 coupled to high speed interface 908.In other implementations, multiple processors and/or multiple buses may be used, as appropriate, along with multiple memories and types of memory.", "Also, multiple computing devices 900 may be connected, with each device providing portions of the necessary operations (e.g., as a server bank, a group of blade servers, or a multi-processor system).", "The memory 904 stores information within the computing device 900.In one implementation, the memory 904 is a volatile memory unit or units.", "In another implementation, the memory 904 is a non-volatile memory unit or units.", "The memory 904 may also be another form of computer-readable medium, such as a magnetic or optical disk.", "The storage device 906 is capable of providing mass storage for the computing device 900.In one implementation, the storage device 906 may be or contain a computer-readable medium, such as a floppy disk device, a hard disk device, an optical disk device, or a tape device, a flash memory or other similar solid state memory device, or an array of devices, including devices in a storage area network or other configurations.", "A computer program product can be tangibly embodied in an information carrier.", "The computer program product may also contain instructions that, when executed, perform one or more methods, such as those described above.", "The information carrier is a computer- or machine-readable medium, such as the memory 904, the storage device 906, or memory on processor 902.The high speed controller 908 manages bandwidth-intensive operations for the computing device 900, while the low speed controller 912 manages lower bandwidth-intensive operations.", "Such allocation of functions is exemplary only.", "In one implementation, the high-speed controller 908 is coupled to memory 904, display 916 (e.g., through a graphics processor or accelerator), and to high-speed expansion ports 910, which may accept various expansion cards (not shown).", "In the implementation, low-speed controller 912 is coupled to storage device 906 and low-speed expansion port 914.The low-speed expansion port, which may include various communication ports (e.g., USB, Bluetooth, Ethernet, wireless Ethernet) may be coupled to one or more input/output devices, such as a keyboard, a pointing device, a scanner, or a networking device such as a switch or router, e.g., through a network adapter.", "The computing device 900 may be implemented in a number of different forms, as shown in the figure.", "For example, it may be implemented as a standard server 920, or multiple times in a group of such servers.", "It may also be implemented as part of a rack server system 924.In addition, it may be implemented in a personal computer such as a laptop computer 922.Alternatively, components from computing device 900 may be combined with other components in a mobile device (not shown), such as device 950.Each of such devices may contain one or more of computing device 900, 950, and an entire system may be made up of multiple computing devices 900, 950 communicating with each other.", "Computing device 950 includes a processor 952, memory 964, an input/output device such as a display 954, a communication interface 966, and a transceiver 968, among other components.", "The device 950 may also be provided with a storage device, such as a microdrive or other device, to provide additional storage.", "Each of the components 950, 952, 964, 954, 966, and 968, are interconnected using various buses, and several of the components may be mounted on a common motherboard or in other manners as appropriate.", "The processor 952 can execute instructions within the computing device 950, including instructions stored in the memory 964.The processor may be implemented as a chipset of chips that include separate and multiple analog and digital processors.", "The processor may provide, for example, for coordination of the other components of the device 950, such as control of user interfaces, applications run by device 950, and wireless communication by device 950.Processor 952 may communicate with a user through control interface 958 and display interface 956 coupled to a display 954.The display 954 may be, for example, a TFT LCD (Thin-Film-Transistor Liquid Crystal Display) or an OLED (Organic Light Emitting Diode) display, or other appropriate display technology.", "The display interface 956 may comprise appropriate circuitry for driving the display 954 to present graphical and other information to a user.", "The control interface 958 may receive commands from a user and convert them for submission to the processor 952.In addition, an external interface 962 may be provide in communication with processor 952, so as to enable near area communication of device 950 with other devices.", "External interface 962 may provide, for example, for wired communication in some implementations, or for wireless communication in other implementations, and multiple interfaces may also be used.", "The memory 964 stores information within the computing device 950.The memory 964 can be implemented as one or more of a computer-readable medium or media, a volatile memory unit or units, or a non-volatile memory unit or units.", "Expansion memory 984 may also be provided and connected to device 950 through expansion interface 982, which may include, for example, a SIMM (Single In Line Memory Module) card interface.", "Such expansion memory 984 may provide extra storage space for device 950, or may also store applications or other information for device 950.Specifically, expansion memory 984 may include instructions to carry out or supplement the processes described above, and may include secure information also.", "Thus, for example, expansion memory 984 may act as a security module for device 950, and may be programmed with instructions that permit secure use of device 950.In addition, secure applications may be provided via the SIMM cards, along with additional information, such as placing the identifying information on the SIMM card in a non-hackable manner.", "The memory may include, for example, flash memory and/or NVRAM memory, as discussed below.", "In one implementation, a computer program product is tangibly embodied in an information carrier.", "The computer program product contains instructions that, when executed, perform one or more methods, such as those described above.", "The information carrier is a computer- or machine-readable medium, such as the memory 964, expansion memory 984, or memory on processor 952, that may be received, for example, over transceiver 968 or external interface 962.Device 950 may communicate wirelessly through communication interface 966, which may include digital signal processing circuitry where necessary.", "Communication interface 966 may provide for communications under various modes or protocols, such as GSM voice calls, SMS, EMS, or MMS messaging, CDMA, TDMA, PDC, WCDMA, CDMA2000, or GPRS, among others.", "Such communication may occur, for example, through radio-frequency transceiver 968.In addition, short-range communication may occur, such as using a Bluetooth, WiFi, or other such transceiver (not shown).", "In addition, GPS (Global Positioning System) receiver module 980 may provide additional navigation- and location-related wireless data to device 950, which may be used as appropriate by applications running on device 950.Device 950 may also communicate audibly using audio codec 960, which may receive spoken information from a user and convert it to usable digital information.", "Audio codec 960 may likewise generate audible sound for a user, such as through a speaker, e.g., in a handset of device 950.Such sound may include sound from voice telephone calls, may include recorded sound (e.g., voice messages, music files, etc.)", "and may also include sound generated by applications operating on device 950.The computing device 950 may be implemented in a number of different forms, as shown in the figure.", "For example, it may be implemented as a cellular telephone 980.It may also be implemented as part of a smart phone 982, personal digital assistant, or other similar mobile device.", "Various implementations of the systems and techniques described here can be realized in digital electronic circuitry, integrated circuitry, specially designed ASICs (application specific integrated circuits), computer hardware, firmware, software, and/or combinations thereof These various implementations can include implementation in one or more computer programs that are executable and/or interpretable on a programmable system including at least one programmable processor, which may be special or general purpose, coupled to receive data and instructions from, and to transmit data and instructions to, a storage system, at least one input device, and at least one output device.", "These computer programs (also known as programs, software, software applications or code) include machine instructions for a programmable processor, and can be implemented in a high-level procedural and/or object-oriented programming language, and/or in assembly/machine language.", "As used herein, the terms “machine-readable medium” and “computer-readable medium” refer to any computer program product, apparatus and/or device (e.g., magnetic discs, optical disks, memory, Programmable Logic Devices (PLDs)) used to provide machine instructions and/or data to a programmable processor, including a machine-readable medium that receives machine instructions as a machine-readable signal.", "The term “machine-readable signal” refers to any signal used to provide machine instructions and/or data to a programmable processor.", "To provide for interaction with a user, the systems and techniques described here can be implemented on a computer having a display device (e.g., a CRT (cathode ray tube) or LCD (liquid crystal display) monitor) for displaying information to the user and a keyboard and a pointing device (e.g., a mouse or a trackball) by which the user can provide input to the computer.", "Other kinds of devices can be used to provide for interaction with a user as well; for example, feedback provided to the user can be any form of sensory feedback (e.g., visual feedback, auditory feedback, or tactile feedback); and input from the user can be received in any form, including acoustic, speech, or tactile input.", "The systems and techniques described here can be implemented in a computing device that includes a back end component (e.g., as a data server), or that includes a middleware component (e.g., an application server), or that includes a front end component (e.g., a client computer having a graphical user interface or a Web browser through which a user can interact with an implementation of the systems and techniques described here), or any combination of such back end, middleware, or front end components.", "The components of the system can be interconnected by any form or medium of digital data communication (e.g., a communication network).", "Examples of communication networks include a local area network (“LAN”), a wide area network (“WAN”), and the Internet.", "The computing device can include clients and servers.", "A client and server are generally remote from each other and typically interact through a communication network.", "The relationship of client and server arises by virtue of computer programs running on the respective computers and having a client-server relationship to each other.", "A number of embodiments have been described.", "Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the present disclosure.", "In addition, the logic flows depicted in the figures do not require the particular order shown, or sequential order, to achieve desirable results.", "In addition, other steps may be provided, or steps may be eliminated, from the described flows, and other components may be added to, or removed from, the described systems.", "Accordingly, other embodiments are within the scope of the following claims." ] ]
Patent_15875544
[ [ "VEHICLE SPEED CONTROL SYSTEM AND METHOD", "A vehicle speed control system operable to cause a vehicle to operate in accordance with a target speed value, the system being further operable automatically to control cross-axle locking means of an axle of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle.", "Thus, the speed control system may be operable automatically to command the cross-axle locking means to increase the resistance to relative rotation of wheels of the axle without a driver being required to intervene to command assumption of this condition." ], [ "1.A vehicle speed control system operable to cause a vehicle to operate in accordance with an automatic target speed value, the system being further operable to cause an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, wherein the system is operable to cause the axle to assume the cross-axle lock condition when it is determined that: (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel experiencing the lower surface coefficient of friction is rotating faster than the wheel experiencing the higher surface coefficient of friction by more than a prescribed amount.", "2.A vehicle speed control system according to claim 1 wherein the prescribed amount is a substantially fixed value.", "3.A vehicle speed control system according to claim 1 wherein the prescribed amount is a value determined in dependence on one or more parameters.", "4.A vehicle speed control system according to claim 3 wherein the value is determined from a look-up table or by using an algorithm.", "5.A vehicle speed control system according to claim 1 operable to cause the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "6.A vehicle speed control system according to claim 5 operable to cause the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "7.A vehicle speed control system according to claim 1 operable to cause the axle to assume the cross-axle lock condition independently of a steering angle of the vehicle.", "8.A vehicle speed control system according to claim 7 operable to cause the cross-axle lock condition to be assumed provided conditions (a) and (b) are met and the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle, regardless of the instant steering angle.", "9.A vehicle speed control system according to claim 1 operable to apply a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met.", "10.A vehicle speed control system according to claim 9 operable to apply the brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, the system being operable subsequently to cause the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "11.A vehicle speed control system according to claim 10 operable to determine whether to cause the axle to assume the cross-axle lock condition in dependence on speed of the vehicle over ground or rate of acceleration of the vehicle over ground.", "12.A vehicle speed control system according to claim 1 operable to cause the vehicle to maintain the automatic target speed value during application of brake and/or an increase in resistance to relative rotation of wheels of an axle by means of the cross-axle locking means.", "13.A vehicle comprising a vehicle speed control system according to claim 1.14.A method of controlling a vehicle comprising: causing a vehicle to operate in accordance with an automatic target speed value; and causing an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, the method comprising causing the axle to assume the cross-axle lock condition when it is determined that; (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel experiencing the lower surface coefficient of friction is rotating faster than the wheel experiencing the higher surface coefficient of friction by more than a prescribed amount.", "15.A method according to claim 14 comprising causing the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "16.A method according to claim 15 wherein the method comprises causing the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "17.A method according to claim 14 comprising applying a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, subsequently causing the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "18.A method according to claim 14 comprising causing the vehicle to maintain the automatic target speed value during application of brake and/or an increase in resistance to relative rotation of wheels of an axle by means of the cross-axle locking means." ], [ "<SOH> BACKGROUND <EOH>In known vehicle speed control systems, typically referred to as cruise control systems, the vehicle speed is maintained on-road once set by the user without further intervention by the user so as to improve the driving experience for the user by reducing workload.", "With typical cruise control systems, the user selects a speed at which the vehicle is to be maintained, and the vehicle is maintained at that speed for as long as the user does not apply a brake or, in the case of a vehicle having a manual transmission, depress a clutch pedal.", "The cruise control system takes its speed signal from a driveshaft speed sensor or wheel speed sensors.", "When the brake or the clutch is depressed, the cruise control system is disabled so that the user can override the cruise control system to change the vehicle speed without resistance from the system.", "If the user depresses the accelerator pedal the vehicle speed will increase, but once the user removes his foot from the accelerator pedal the vehicle reverts to the pre-set cruise speed by coasting.", "Such systems are usually operable only above a certain speed, typically around 15-20 kph, and are ideal in circumstances in which the vehicle is travelling in steady traffic conditions, and particularly on highways or motorways.", "In congested traffic conditions, however, where vehicle speed tends to vary widely, cruise control systems are ineffective, and especially where the systems are inoperable because of a minimum speed requirement.", "A minimum speed requirement is often imposed on cruise control systems so as to reduce the likelihood of low speed collision, for example when parking.", "Such systems are therefore ineffective in certain driving conditions (e.g.", "low speed) and are set to be automatically disabled in circumstances in which a user may not consider it to be desirable to do so.", "More sophisticated cruise control systems are integrated into the engine management system and may include an adaptive functionality which takes into account the distance to the vehicle in front using a radar-based system.", "For example, the vehicle may be provided with a forward-looking radar detection system so that the speed and distance of the vehicle in front is detected and a safe following speed and distance is maintained automatically without the need for user input.", "If the lead vehicle slows down, or another object is detected by the radar detection system, the system sends a signal to the engine or the braking system to slow the vehicle down accordingly, to maintain a safe following distance.", "Known cruise control systems also cancel in the event that a wheel slip event is detected requiring intervention by a traction control system (TCS) or stability control system (SCS).", "Accordingly, they are not well suited to maintaining vehicle progress when driving in off road conditions where such events may be relatively common.", "It is also known to provide a control system for a motor vehicle for controlling one or more vehicle subsystems.", "U.S. Pat.", "No.", "7,349,776 discloses a vehicle control system comprising a plurality of subsystem controllers including an engine management system, a transmission controller, a steering controller, a brakes controller and a suspension controller.", "The subsystem controllers are each operable in a plurality of subsystem function modes.", "The subsystem controllers are connected to a vehicle mode controller which controls the subsystem controllers to assume a required function mode so as to provide a number of driving modes for the vehicle.", "Each of the driving modes corresponds to a particular driving condition or set of driving conditions, and in each mode each of the sub-systems is set to the function mode most appropriate to those conditions.", "Such conditions are linked to types of terrain over which the vehicle may be driven such as grass/gravel/snow, mud and ruts, rock crawl, sand and a highway mode known as ‘special programs off’ (SPO).", "The vehicle mode controller may be referred to as a Terrain Response (TR)® System or controller.", "The driving modes may also be referred to as terrain modes, terrain response modes, or control modes." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>Embodiments of the invention may be understood with reference to the appended claims.", "Aspects of the present invention provide a system, a vehicle and a method.", "In one aspect of the invention for which protection is sought there is provided a vehicle speed control system operable to cause a vehicle to operate in accordance with a target speed value, the system being further operable automatically to control cross-axle locking means of an axle of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle.", "Thus, the speed control system may be operable automatically to command the cross-axle locking means to increase the resistance to relative rotation of wheels of the axle without a driver being required to intervene to command assumption of this condition.", "The increase in resistance to relative rotation may be referred to as an increase in cross-axle lock or assumption of a cross-axle lock condition, in some arrangements.", "The cross-axle locking means may comprise one or more clutch packs as described below.", "The system may be operable to control the cross-axle locking means to cause an increase in resistance to relative rotation of wheels of the axle in dependence at least in part on a determination that a wheel of the axle is rotating faster than another wheel of the axle by more than a prescribed amount.", "The prescribed amount may be a substantially fixed value.", "Alternatively the prescribed amount may be a value determined in dependence on one or more parameters.", "The value may be determined from a look-up table (LUT), by means of an algorithm, or by any other suitable means.", "In some embodiments the prescribed amount may be selected in dependence on the identity of a driving mode in which the vehicle is operating, such as the identity of a terrain response mode in which the vehicle is operating, and/or other driving mode.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle if it is determined that a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle in further dependence at least in part on a steering angle of the vehicle.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle in further dependence at least in part on wheel articulation.", "That is, the amount of an increase in resistance to relative rotation of wheels of an axle may in some embodiments be varied in dependence on the relative amounts of travel of a suspension of each wheel.", "By suspension travel is meant non-rotational travel of a wheel in an upward or downward direction relative to a reference position.", "The amount of the increase may be determined in dependence on steering angle in some embodiments.", "The increase may be greater for smaller steering angles, and smaller for larger steering angles, so as not to induce excessive understeer.", "In some embodiments the control system may take into account the expected difference in wheel speeds due to cornering in determining whether to command the cross-axle locking means to increase the resistance to relative rotation of the wheels of the axle.", "Alternatively, in some embodiments the control system may cause the cross-axle locking means to increase the resistance to relative rotation independently of steering angle.", "In some embodiments the control system may cause the cross-axle locking condition to be assumed provided the cross-axle surface mu delta condition exists and the further condition is met that one wheel of the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle by more than a threshold amount, regardless of the instant steering angle.", "The threshold amount may be substantially constant, or determined in dependence on one or more parameters.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle only if the steering angle is less than a prescribed value.", "It is to be understood that steering angle is defined herein as substantially zero for a straight-ahead steering position.", "The control system may determine steering angle by reference to a position of a steering wheel of the vehicle (or other user-operated steering control), or by reference to steerable roadwheel angle.", "The steering angle is considered to increase to a value greater than zero if the steering wheel (or steerable road wheels) are turned in a left or right-hand steering direction.", "Other arrangements are also useful.", "The system may be operable to apply a brake to a wheel of an axle to reduce a difference in speed between respective wheels of the axle in dependence at least in part on a result of the determination that the wheel is rotating faster than another wheel of the axle by more than the prescribed amount.", "Thus if a wheel is rotating faster than another wheel of the axle by more than the prescribed amount, the brake may be applied to the wheel that is rotating the fastest.", "This is because this wheel is likely to be a wheel experiencing a lower value of surface coefficient of friction with the driving surface and therefore the one that is failing to gain sufficient traction.", "The brake may be applied for a prescribed period of time or for a prescribed distance of travel.", "The prescribed period or prescribed distance may be determined in dependence on one or more parameters such as a speed of travel of the vehicle, a type of terrain over which the vehicle is travelling, a driving mode in which the vehicle is driving or any other suitable parameter.", "The prescribed amount may be an amount exceeding that expected for a current steering angle by more than a threshold value.", "The prescribed amount may be a substantially fixed amount.", "The system may be operable to apply the brake to the wheel of the axle to reduce the difference in speed between respective wheels of the axle, the system being operable subsequently to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means.", "The system may be operable to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means if at least one condition is met selected from amongst the conditions that the brake is applied for more than a prescribed period or distance of travel or the amount of brake pressure exceeds a prescribed amount for a prescribed period or distance of travel and the surface mu delta condition still exists.", "Thus, the control system may seek to reduce slip of one wheel over ground by application of a brake, and subsequently to command the cross-axle locking means to increase the resistance to relative rotation of wheels of the axle.", "The system may be operable to apply a brake to the wheel experiencing the lower surface coefficient of friction to slow rotation of the wheel and increase a probability of the wheel gaining improved traction.", "Other arrangements are also useful.", "The amount of the increase in resistance to relative rotation of the wheels induced by the cross-axle locking means may be set in dependence on the amount of brake force applied by the brake.", "The system may be operable to transition from application of brake force to resist relative rotation to the use of the cross-axle locking means to reduce relative rotation in a coordinated manner.", "The system may take into account an effect of application of a brake to one wheel on the torque developed at another wheel, in attempting to reduce excessive slip of one or more wheels.", "The value of surface mu for each wheel may be determined for example in dependence on an amount of wheel slip experienced by a wheel at a given location.", "Other arrangements are also useful.", "The system may be operable to apply the brake to the wheel of the axle to reduce the difference in speed between respective wheels of the axle, the system being operable subsequently to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means in dependence on a rate of progress of the vehicle over ground following application of the brake.", "The system may be operable to determine whether to command the increase in resistance to relative rotation of the wheels in dependence on speed of the vehicle over ground or rate of acceleration of the vehicle over ground.", "The system may determine whether the speed or acceleration is satisfactory once a prescribed period of time has elapsed, or a prescribed number of wheel revolutions have taken place.", "Other arrangements are also useful.", "In other words, in some embodiments the system may be operable to apply a brake to reduce excessive rotation of the wheel on the surface having the lower surface coefficient of friction before causing the axle to assume the cross-axle lock condition.", "If satisfactory progress over ground is not made whilst the brake is applied, the system may be operable to command the axle to assume the cross-axle lock condition.", "The system may be operable to control the amount by which a resistance to relative rotation of wheels of an axle is resisted by means of the cross-axle locking means and an amount of brake force applied by a brake of a wheel in anticipation of optimised values required to negotiate an obstacle.", "Thus the system may set the values of brake force and resistance to relative rotation of wheels of an axle by the cross-axle locking means in advance of an attempt to negotiate an obstacle.", "The obstacle may be in the form of a surface of relatively steep gradient and/or relatively low coefficient of friction.", "For example, if the vehicle is required to negotiate a step, or a pothole, such that only one wheel of a given axle is required to negotiate the step or pothole and therefore experience greater wheel speed than the other wheel, the cross-axle locking means may be controlled to reduce any resistance to relative rotation imposed thereby to a level sufficient to allow the difference in wheel speed to occur.", "The controller may therefore be operable to apply one or more brakes of the vehicle to reduce wheel slip whilst the obstacle is negotiated, in preference to use of the cross-axle locking means.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction instead of commanding the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means in dependence on steering angle.", "In some embodiments, if steering angle exceeds a prescribed value, the system may be operable not to cause the cross-axle lock condition to be assumed, but rather to apply a brake to the wheel experiencing the lower surface coefficient of friction, as described above.", "In one aspect of the invention there is provided a system according to a preceding aspect in combination with cross-axle locking means.", "The cross-axle locking means may comprise a differential gearbox.", "The differential gearbox may be operable to prevent relative rotation of respective left and right output portions thereof, the output portions being operable to drive respective left and right wheels of an axle.", "The cross-axle locking means may comprise at least one clutch, the at least one clutch being operable to increase the resistance to relative rotation of wheels of an axle.", "It is to be understood that the at least one clutch may comprise a dog clutch, a multi-plate wet clutch or any other suitable clutch.", "The clutch may be referred to as a cross-axle lock clutch.", "In the case of a clutch that is not a dog clutch, the clutch may be operable to allow slip between an input portion and an output portion thereof.", "The amount of the increase in resistance to relative rotation introduced by the cross-axle locking means may be controlled in some embodiments by controlling an amount of pressure (or force) urging two or more plates of the clutch together.", "The pressure may be applied by means of hydraulic fluid, an electrical actuator or any other suitable means.", "It is to be understood that the cross-axle locking means may increase the resistance to relative rotation of wheels of the axle by substantially preventing relative rotation.", "Where relative rotation is prevented, the cross-axle locking means may be considered to increase the resistance to relative rotation substantially to infinity.", "In a further aspect of the invention for which protection is sought vehicle comprising a system according to any preceding claim.", "In a further aspect of the invention for which protection is sought there is provided a method of controlling a vehicle comprising: causing a vehicle to operate in accordance with a target speed value; and automatically controlling cross-axle locking means of an axle of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle.", "In one aspect of the invention for which protection is sought there is provided a vehicle speed control system operable to cause a vehicle to operate in accordance with a target speed value, the system being further operable to cause an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, wherein the system is operable to cause the axle to assume the cross-axle lock condition when it is determined that (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel experiencing the lower surface coefficient of friction is rotating faster than the wheel experiencing the higher surface coefficient of friction by more than a prescribed amount.", "The prescribed amount may be a substantially fixed value.", "Alternatively the prescribed amount may be a value determined in dependence on one or more parameters.", "The value may be determined from a look-up table (LUT), by means of an algorithm, or by any other suitable means.", "Thus, the speed control system may be operable automatically to command the axle to assume the cross-axle lock condition without a driver being required to intervene to command assumption of this condition.", "The system may be operable to cause the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "Thus, the control system may take into account the expected difference in wheel speeds due to cornering in determining whether to command the cross-axle lock condition to be assumed.", "Alternatively, in some embodiments the control system may cause the cross-axle lock condition to be assumed independently of steering angle.", "In some embodiments the control system may cause the cross-axle lock condition to be assumed provided conditions (a) and (b) are met and the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle, regardless of the instant steering angle.", "For example, the control system may cause the cross-axle lock condition to be assumed if the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle at maximum steering angle, regardless of the instant steering angle.", "However, advantageously, the speed control system takes into account steering angle in determining whether to cause the cross-axle lock condition to be assumed.", "The system may be operable to cause the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "It is to be understood that steering angle is defined herein as substantially zero for a straight-ahead steering position.", "The control system may determine steering angle by reference to a position of a steering wheel of the vehicle (or other user-operated steering control), or by reference to steerable roadwheel angle.", "The steering angle is considered to increase to a value greater than zero if the steering wheel (or steerable road wheels) are turned in a left or right-hand steering direction.", "Other arrangements are also useful.", "The system may be operable in certain circumstances to apply a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met instead of or in addition to causing the axle to assume the cross-axle lock condition.", "Thus the system may be operable to apply a brake to the wheel experiencing the lower surface coefficient of friction to slow rotation thereof and increase a probability of the wheel gaining improved traction.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, the system being operable subsequently to cause the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "The system may be operable to determine whether to cause the axle to assume the cross-axle lock condition in dependence on speed of the vehicle over ground or rate of acceleration of the vehicle over ground.", "The system may determine whether the speed or acceleration is satisfactory once a prescribed period of time has elapsed, or a prescribed number of wheel revolutions have taken place.", "Other arrangements are also useful.", "In other words, in some embodiments the system may be operable to apply a brake to reduce excessive rotation of the wheel on the surface having the lower surface coefficient of friction before causing the axle to assume the cross-axle lock condition.", "If satisfactory progress over ground is not made when the brake is applied, the system may be operable to command the axle to assume the cross-axle lock condition provided conditions (a) and (b) are still met.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction instead of causing the axle to assume the cross-axle locking condition in dependence on steering angle.", "In some embodiments, if steering angle exceeds a prescribed value, the system may be operable not to cause the cross-axle lock condition to be assumed, but rather to apply a brake to the wheel experiencing the lower surface coefficient of friction.", "The system may be operable to cause the vehicle to maintain the target speed value during application of brake and/or an increase in resistance to relative rotation of wheels of an axle by means of the cross-axle locking means.", "The system may be operable to vary an amount of resistance to a difference in wheel speed between respective axles.", "The system may vary the amount of resistance by controlling an amount of resistance imposed by a centre differential of a vehicle.", "In one aspect of the invention for which protection is sought there is provided a vehicle comprising a system according to a preceding aspect.", "In a further aspect of the invention for which protection is sought there is provided a method of controlling a vehicle comprising: causing a vehicle to operate in accordance with a target speed value; and causing an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, the method comprising causing the axle to assume the cross-axle lock condition when it is determined that (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel The method may comprise causing the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "The method may comprise causing the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "The method may comprise applying a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met instead of causing the axle to assume the cross-axle lock condition.", "The method may comprise applying the brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, subsequently causing the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "Within the scope of this application it is expressly envisaged that the various aspects, embodiments, examples and alternatives set out in the preceding paragraphs, in the claims and/or in the following description and drawings, and in particular the individual features thereof, may be taken independently or in any combination.", "Features described in connection with one embodiment are applicable to all embodiments, unless such features are incompatible." ], [ "FIELD OF THE INVENTION This disclosure relates to a system for controlling the speed of a vehicle.", "In particular, but not exclusively, the disclosure relates to a system for controlling the speed of a land-based vehicle which is capable of driving in a variety of different and extreme terrains and conditions.", "Aspects of the invention relate to a system, to a method and to a vehicle.", "The content of UK patent application no GB1214651.0 and U.S. Pat.", "No.", "7,349,776 are hereby incorporated by reference.", "BACKGROUND In known vehicle speed control systems, typically referred to as cruise control systems, the vehicle speed is maintained on-road once set by the user without further intervention by the user so as to improve the driving experience for the user by reducing workload.", "With typical cruise control systems, the user selects a speed at which the vehicle is to be maintained, and the vehicle is maintained at that speed for as long as the user does not apply a brake or, in the case of a vehicle having a manual transmission, depress a clutch pedal.", "The cruise control system takes its speed signal from a driveshaft speed sensor or wheel speed sensors.", "When the brake or the clutch is depressed, the cruise control system is disabled so that the user can override the cruise control system to change the vehicle speed without resistance from the system.", "If the user depresses the accelerator pedal the vehicle speed will increase, but once the user removes his foot from the accelerator pedal the vehicle reverts to the pre-set cruise speed by coasting.", "Such systems are usually operable only above a certain speed, typically around 15-20 kph, and are ideal in circumstances in which the vehicle is travelling in steady traffic conditions, and particularly on highways or motorways.", "In congested traffic conditions, however, where vehicle speed tends to vary widely, cruise control systems are ineffective, and especially where the systems are inoperable because of a minimum speed requirement.", "A minimum speed requirement is often imposed on cruise control systems so as to reduce the likelihood of low speed collision, for example when parking.", "Such systems are therefore ineffective in certain driving conditions (e.g.", "low speed) and are set to be automatically disabled in circumstances in which a user may not consider it to be desirable to do so.", "More sophisticated cruise control systems are integrated into the engine management system and may include an adaptive functionality which takes into account the distance to the vehicle in front using a radar-based system.", "For example, the vehicle may be provided with a forward-looking radar detection system so that the speed and distance of the vehicle in front is detected and a safe following speed and distance is maintained automatically without the need for user input.", "If the lead vehicle slows down, or another object is detected by the radar detection system, the system sends a signal to the engine or the braking system to slow the vehicle down accordingly, to maintain a safe following distance.", "Known cruise control systems also cancel in the event that a wheel slip event is detected requiring intervention by a traction control system (TCS) or stability control system (SCS).", "Accordingly, they are not well suited to maintaining vehicle progress when driving in off road conditions where such events may be relatively common.", "It is also known to provide a control system for a motor vehicle for controlling one or more vehicle subsystems.", "U.S. Pat.", "No.", "7,349,776 discloses a vehicle control system comprising a plurality of subsystem controllers including an engine management system, a transmission controller, a steering controller, a brakes controller and a suspension controller.", "The subsystem controllers are each operable in a plurality of subsystem function modes.", "The subsystem controllers are connected to a vehicle mode controller which controls the subsystem controllers to assume a required function mode so as to provide a number of driving modes for the vehicle.", "Each of the driving modes corresponds to a particular driving condition or set of driving conditions, and in each mode each of the sub-systems is set to the function mode most appropriate to those conditions.", "Such conditions are linked to types of terrain over which the vehicle may be driven such as grass/gravel/snow, mud and ruts, rock crawl, sand and a highway mode known as ‘special programs off’ (SPO).", "The vehicle mode controller may be referred to as a Terrain Response (TR)® System or controller.", "The driving modes may also be referred to as terrain modes, terrain response modes, or control modes.", "SUMMARY OF THE INVENTION Embodiments of the invention may be understood with reference to the appended claims.", "Aspects of the present invention provide a system, a vehicle and a method.", "In one aspect of the invention for which protection is sought there is provided a vehicle speed control system operable to cause a vehicle to operate in accordance with a target speed value, the system being further operable automatically to control cross-axle locking means of an axle of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle.", "Thus, the speed control system may be operable automatically to command the cross-axle locking means to increase the resistance to relative rotation of wheels of the axle without a driver being required to intervene to command assumption of this condition.", "The increase in resistance to relative rotation may be referred to as an increase in cross-axle lock or assumption of a cross-axle lock condition, in some arrangements.", "The cross-axle locking means may comprise one or more clutch packs as described below.", "The system may be operable to control the cross-axle locking means to cause an increase in resistance to relative rotation of wheels of the axle in dependence at least in part on a determination that a wheel of the axle is rotating faster than another wheel of the axle by more than a prescribed amount.", "The prescribed amount may be a substantially fixed value.", "Alternatively the prescribed amount may be a value determined in dependence on one or more parameters.", "The value may be determined from a look-up table (LUT), by means of an algorithm, or by any other suitable means.", "In some embodiments the prescribed amount may be selected in dependence on the identity of a driving mode in which the vehicle is operating, such as the identity of a terrain response mode in which the vehicle is operating, and/or other driving mode.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle if it is determined that a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle in further dependence at least in part on a steering angle of the vehicle.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle in further dependence at least in part on wheel articulation.", "That is, the amount of an increase in resistance to relative rotation of wheels of an axle may in some embodiments be varied in dependence on the relative amounts of travel of a suspension of each wheel.", "By suspension travel is meant non-rotational travel of a wheel in an upward or downward direction relative to a reference position.", "The amount of the increase may be determined in dependence on steering angle in some embodiments.", "The increase may be greater for smaller steering angles, and smaller for larger steering angles, so as not to induce excessive understeer.", "In some embodiments the control system may take into account the expected difference in wheel speeds due to cornering in determining whether to command the cross-axle locking means to increase the resistance to relative rotation of the wheels of the axle.", "Alternatively, in some embodiments the control system may cause the cross-axle locking means to increase the resistance to relative rotation independently of steering angle.", "In some embodiments the control system may cause the cross-axle locking condition to be assumed provided the cross-axle surface mu delta condition exists and the further condition is met that one wheel of the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle by more than a threshold amount, regardless of the instant steering angle.", "The threshold amount may be substantially constant, or determined in dependence on one or more parameters.", "The system may be operable to control the cross-axle locking means of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle only if the steering angle is less than a prescribed value.", "It is to be understood that steering angle is defined herein as substantially zero for a straight-ahead steering position.", "The control system may determine steering angle by reference to a position of a steering wheel of the vehicle (or other user-operated steering control), or by reference to steerable roadwheel angle.", "The steering angle is considered to increase to a value greater than zero if the steering wheel (or steerable road wheels) are turned in a left or right-hand steering direction.", "Other arrangements are also useful.", "The system may be operable to apply a brake to a wheel of an axle to reduce a difference in speed between respective wheels of the axle in dependence at least in part on a result of the determination that the wheel is rotating faster than another wheel of the axle by more than the prescribed amount.", "Thus if a wheel is rotating faster than another wheel of the axle by more than the prescribed amount, the brake may be applied to the wheel that is rotating the fastest.", "This is because this wheel is likely to be a wheel experiencing a lower value of surface coefficient of friction with the driving surface and therefore the one that is failing to gain sufficient traction.", "The brake may be applied for a prescribed period of time or for a prescribed distance of travel.", "The prescribed period or prescribed distance may be determined in dependence on one or more parameters such as a speed of travel of the vehicle, a type of terrain over which the vehicle is travelling, a driving mode in which the vehicle is driving or any other suitable parameter.", "The prescribed amount may be an amount exceeding that expected for a current steering angle by more than a threshold value.", "The prescribed amount may be a substantially fixed amount.", "The system may be operable to apply the brake to the wheel of the axle to reduce the difference in speed between respective wheels of the axle, the system being operable subsequently to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means.", "The system may be operable to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means if at least one condition is met selected from amongst the conditions that the brake is applied for more than a prescribed period or distance of travel or the amount of brake pressure exceeds a prescribed amount for a prescribed period or distance of travel and the surface mu delta condition still exists.", "Thus, the control system may seek to reduce slip of one wheel over ground by application of a brake, and subsequently to command the cross-axle locking means to increase the resistance to relative rotation of wheels of the axle.", "The system may be operable to apply a brake to the wheel experiencing the lower surface coefficient of friction to slow rotation of the wheel and increase a probability of the wheel gaining improved traction.", "Other arrangements are also useful.", "The amount of the increase in resistance to relative rotation of the wheels induced by the cross-axle locking means may be set in dependence on the amount of brake force applied by the brake.", "The system may be operable to transition from application of brake force to resist relative rotation to the use of the cross-axle locking means to reduce relative rotation in a coordinated manner.", "The system may take into account an effect of application of a brake to one wheel on the torque developed at another wheel, in attempting to reduce excessive slip of one or more wheels.", "The value of surface mu for each wheel may be determined for example in dependence on an amount of wheel slip experienced by a wheel at a given location.", "Other arrangements are also useful.", "The system may be operable to apply the brake to the wheel of the axle to reduce the difference in speed between respective wheels of the axle, the system being operable subsequently to command the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means in dependence on a rate of progress of the vehicle over ground following application of the brake.", "The system may be operable to determine whether to command the increase in resistance to relative rotation of the wheels in dependence on speed of the vehicle over ground or rate of acceleration of the vehicle over ground.", "The system may determine whether the speed or acceleration is satisfactory once a prescribed period of time has elapsed, or a prescribed number of wheel revolutions have taken place.", "Other arrangements are also useful.", "In other words, in some embodiments the system may be operable to apply a brake to reduce excessive rotation of the wheel on the surface having the lower surface coefficient of friction before causing the axle to assume the cross-axle lock condition.", "If satisfactory progress over ground is not made whilst the brake is applied, the system may be operable to command the axle to assume the cross-axle lock condition.", "The system may be operable to control the amount by which a resistance to relative rotation of wheels of an axle is resisted by means of the cross-axle locking means and an amount of brake force applied by a brake of a wheel in anticipation of optimised values required to negotiate an obstacle.", "Thus the system may set the values of brake force and resistance to relative rotation of wheels of an axle by the cross-axle locking means in advance of an attempt to negotiate an obstacle.", "The obstacle may be in the form of a surface of relatively steep gradient and/or relatively low coefficient of friction.", "For example, if the vehicle is required to negotiate a step, or a pothole, such that only one wheel of a given axle is required to negotiate the step or pothole and therefore experience greater wheel speed than the other wheel, the cross-axle locking means may be controlled to reduce any resistance to relative rotation imposed thereby to a level sufficient to allow the difference in wheel speed to occur.", "The controller may therefore be operable to apply one or more brakes of the vehicle to reduce wheel slip whilst the obstacle is negotiated, in preference to use of the cross-axle locking means.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction instead of commanding the increase in resistance to relative rotation of wheels of the axle by means of the cross-axle locking means in dependence on steering angle.", "In some embodiments, if steering angle exceeds a prescribed value, the system may be operable not to cause the cross-axle lock condition to be assumed, but rather to apply a brake to the wheel experiencing the lower surface coefficient of friction, as described above.", "In one aspect of the invention there is provided a system according to a preceding aspect in combination with cross-axle locking means.", "The cross-axle locking means may comprise a differential gearbox.", "The differential gearbox may be operable to prevent relative rotation of respective left and right output portions thereof, the output portions being operable to drive respective left and right wheels of an axle.", "The cross-axle locking means may comprise at least one clutch, the at least one clutch being operable to increase the resistance to relative rotation of wheels of an axle.", "It is to be understood that the at least one clutch may comprise a dog clutch, a multi-plate wet clutch or any other suitable clutch.", "The clutch may be referred to as a cross-axle lock clutch.", "In the case of a clutch that is not a dog clutch, the clutch may be operable to allow slip between an input portion and an output portion thereof.", "The amount of the increase in resistance to relative rotation introduced by the cross-axle locking means may be controlled in some embodiments by controlling an amount of pressure (or force) urging two or more plates of the clutch together.", "The pressure may be applied by means of hydraulic fluid, an electrical actuator or any other suitable means.", "It is to be understood that the cross-axle locking means may increase the resistance to relative rotation of wheels of the axle by substantially preventing relative rotation.", "Where relative rotation is prevented, the cross-axle locking means may be considered to increase the resistance to relative rotation substantially to infinity.", "In a further aspect of the invention for which protection is sought vehicle comprising a system according to any preceding claim.", "In a further aspect of the invention for which protection is sought there is provided a method of controlling a vehicle comprising: causing a vehicle to operate in accordance with a target speed value; and automatically controlling cross-axle locking means of an axle of the vehicle to cause an increase in resistance to relative rotation of wheels of the axle.", "In one aspect of the invention for which protection is sought there is provided a vehicle speed control system operable to cause a vehicle to operate in accordance with a target speed value, the system being further operable to cause an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, wherein the system is operable to cause the axle to assume the cross-axle lock condition when it is determined that (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel experiencing the lower surface coefficient of friction is rotating faster than the wheel experiencing the higher surface coefficient of friction by more than a prescribed amount.", "The prescribed amount may be a substantially fixed value.", "Alternatively the prescribed amount may be a value determined in dependence on one or more parameters.", "The value may be determined from a look-up table (LUT), by means of an algorithm, or by any other suitable means.", "Thus, the speed control system may be operable automatically to command the axle to assume the cross-axle lock condition without a driver being required to intervene to command assumption of this condition.", "The system may be operable to cause the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "Thus, the control system may take into account the expected difference in wheel speeds due to cornering in determining whether to command the cross-axle lock condition to be assumed.", "Alternatively, in some embodiments the control system may cause the cross-axle lock condition to be assumed independently of steering angle.", "In some embodiments the control system may cause the cross-axle lock condition to be assumed provided conditions (a) and (b) are met and the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle, regardless of the instant steering angle.", "For example, the control system may cause the cross-axle lock condition to be assumed if the difference in wheel speeds exceeds that which would be expected for a cornering condition of the vehicle at maximum steering angle, regardless of the instant steering angle.", "However, advantageously, the speed control system takes into account steering angle in determining whether to cause the cross-axle lock condition to be assumed.", "The system may be operable to cause the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "It is to be understood that steering angle is defined herein as substantially zero for a straight-ahead steering position.", "The control system may determine steering angle by reference to a position of a steering wheel of the vehicle (or other user-operated steering control), or by reference to steerable roadwheel angle.", "The steering angle is considered to increase to a value greater than zero if the steering wheel (or steerable road wheels) are turned in a left or right-hand steering direction.", "Other arrangements are also useful.", "The system may be operable in certain circumstances to apply a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met instead of or in addition to causing the axle to assume the cross-axle lock condition.", "Thus the system may be operable to apply a brake to the wheel experiencing the lower surface coefficient of friction to slow rotation thereof and increase a probability of the wheel gaining improved traction.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, the system being operable subsequently to cause the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "The system may be operable to determine whether to cause the axle to assume the cross-axle lock condition in dependence on speed of the vehicle over ground or rate of acceleration of the vehicle over ground.", "The system may determine whether the speed or acceleration is satisfactory once a prescribed period of time has elapsed, or a prescribed number of wheel revolutions have taken place.", "Other arrangements are also useful.", "In other words, in some embodiments the system may be operable to apply a brake to reduce excessive rotation of the wheel on the surface having the lower surface coefficient of friction before causing the axle to assume the cross-axle lock condition.", "If satisfactory progress over ground is not made when the brake is applied, the system may be operable to command the axle to assume the cross-axle lock condition provided conditions (a) and (b) are still met.", "The system may be operable to apply the brake to the wheel experiencing the lower surface coefficient of friction instead of causing the axle to assume the cross-axle locking condition in dependence on steering angle.", "In some embodiments, if steering angle exceeds a prescribed value, the system may be operable not to cause the cross-axle lock condition to be assumed, but rather to apply a brake to the wheel experiencing the lower surface coefficient of friction.", "The system may be operable to cause the vehicle to maintain the target speed value during application of brake and/or an increase in resistance to relative rotation of wheels of an axle by means of the cross-axle locking means.", "The system may be operable to vary an amount of resistance to a difference in wheel speed between respective axles.", "The system may vary the amount of resistance by controlling an amount of resistance imposed by a centre differential of a vehicle.", "In one aspect of the invention for which protection is sought there is provided a vehicle comprising a system according to a preceding aspect.", "In a further aspect of the invention for which protection is sought there is provided a method of controlling a vehicle comprising: causing a vehicle to operate in accordance with a target speed value; and causing an axle of the vehicle to assume a cross-axle lock condition in which relative rotation of wheels of the axle with respect to one another is substantially prevented, the method comprising causing the axle to assume the cross-axle lock condition when it is determined that (a) a cross-axle surface mu delta condition exists, being a condition in which a difference in coefficient of friction, mu, between respective wheels of an axle and a corresponding driving surface exceeds a prescribed value, and (b) a wheel The method may comprise causing the axle to assume the cross-axle lock condition in further dependence at least in part on a steering angle of the vehicle.", "The method may comprise causing the axle to assume the cross-axle lock condition only if the steering angle is less than a prescribed value.", "The method may comprise applying a brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met instead of causing the axle to assume the cross-axle lock condition.", "The method may comprise applying the brake to the wheel experiencing the lower surface coefficient of friction when conditions (a) and (b) are met, subsequently causing the axle to assume the cross-axle lock condition in dependence on a rate of progress of the vehicle over ground following application of the brake.", "Within the scope of this application it is expressly envisaged that the various aspects, embodiments, examples and alternatives set out in the preceding paragraphs, in the claims and/or in the following description and drawings, and in particular the individual features thereof, may be taken independently or in any combination.", "Features described in connection with one embodiment are applicable to all embodiments, unless such features are incompatible.", "BRIEF DESCRIPTION OF THE DRAWINGS An embodiment of the invention will now be described, by way of example only, with reference to the following figures in which: FIG.", "1 is a schematic illustration of a vehicle according to an embodiment of the invention in plan view; FIG.", "2 shows the vehicle of FIG.", "1 in side view; FIG.", "3 is a high level schematic diagram of a vehicle speed control system of an embodiment of the present invention, including a cruise control system and a low-speed progress control system; FIG.", "4 is a schematic diagram of further features of the vehicle speed control system in FIG.", "3; FIG.", "5 illustrates a steering wheel and brake and accelerator pedals of a vehicle according to an embodiment of the present invention; and FIG.", "6 is a flowchart illustrating operation of a vehicle according to an embodiment of the present invention.", "DETAILED DESCRIPTION References herein to a block such as a function block are to be understood to include reference to software code for performing the function or action specified which may be an output that is provided responsive to one or more inputs.", "The code may be in the form of a software routine or function called by a main computer program, or may be code forming part of a flow of code not being a separate routine or function.", "Reference to function block is made for ease of explanation of the manner of operation of embodiments of the present invention.", "FIG.", "1 shows a vehicle 100 according to an embodiment of the present invention.", "The vehicle 100 has a powertrain 129 that includes an engine 121 that is connected to a driveline 130 having an automatic transmission 124.It is to be understood that embodiments of the present invention are also suitable for use in vehicles with manual transmissions, continuously variable transmissions or any other suitable transmission.", "The driveline 130 is arranged to drive a pair of front vehicle wheels 111,112 by means of a front differential 137 and a pair of front drive shafts 118.The driveline 130 also comprises an auxiliary driveline portion 131 arranged to drive a pair of rear wheels 114, 115 by means of an auxiliary driveshaft or prop-shaft 132, a rear differential 135 and a pair of rear driveshafts 139.Embodiments of the invention are suitable for use with vehicles in which the transmission is arranged to drive only a pair of front wheels or only a pair of rear wheels (i.e.", "front wheel drive vehicles or rear wheel drive vehicles) or selectable two wheel drive/four wheel drive vehicles.", "In the embodiment of FIG.", "1 the transmission 124 is releasably connectable to the auxiliary driveline portion 131 by means of a power transfer unit (PTU) 131P, allowing operation in a two wheel drive mode or a four wheel drive mode.", "It is to be understood that embodiments of the invention may be suitable for vehicles having more than four wheels or where only two wheels are driven, for example two wheels of a three wheeled vehicle or four wheeled vehicle or a vehicle with more than four wheels.", "A control system for the vehicle engine 121 includes a central controller 10, referred to as a vehicle control unit (VCU) 10, a powertrain controller 11, a brake controller 13 and a steering controller 170C.", "The brake controller 13 forms part of a braking system 22 (FIG.", "3).", "The VCU 10 receives and outputs a plurality of signals to and from various sensors and subsystems (not shown) provided on the vehicle.", "The VCU 10 includes a low-speed progress (LSP) control system 12 shown in FIG.", "3 and a stability control system (SCS) 14.The SCS 14 improves the safety of the vehicle 100 by detecting and managing loss of traction.", "When a reduction in traction or steering control is detected, the SCS 14 is operable automatically to command a brake controller 13 to apply one or more brakes of the vehicle to help to steer the vehicle 100 in the direction the user wishes to travel.", "In the embodiment shown the SCS 14 is implemented by the VCU 10.In some alternative embodiments the SCS 14 may be implemented by the brake controller 13.Further alternatively, the SCS 14 may be implemented by a separate controller.", "Although not shown in detail in FIG.", "3, the VCU 10 further includes a Dynamic Stability Control (DSC) function block, a Traction Control (TC) function block, an Anti-Lock Braking System (ABS) function block and a Hill Descent Control (HDC) function block.", "These function blocks are implemented in software code run by a computing device of the VCU 10 and provide outputs indicative of, for example, DSC activity, TC activity, ABS activity, brake interventions on individual wheels and engine torque requests from the VCU 10 to the engine 121 in the event a wheel slip event occurs.", "Each of the aforementioned events indicate that a wheel slip event has occurred.", "Other vehicle sub-systems such as a roll stability control system or the like may also be useful.", "As noted above, the vehicle 100 also includes a cruise control system 16 which is operable to automatically maintain vehicle speed at a selected speed when the vehicle is travelling at speeds in excess of 25 kph.", "The cruise control system 16 is provided with a cruise control HMI (human machine interface) 18 by which means the user can input a target vehicle speed to the cruise control system 16 in a known manner.", "In one embodiment of the invention, cruise control system input controls are mounted to a steering wheel 171 (FIG.", "5).", "The cruise control system 16 may be switched on by pressing a cruise control system selector button 176.When the cruise control system 16 is switched on, depression of a ‘set-speed’ control 173 sets the current value of a cruise control set-speed parameter, cruise_set-speed to the current vehicle speed.", "Depression of a ‘+’ button 174 allows the value of cruise_set-speed to be increased whilst depression of a ‘−’ button 175 allows the value of cruise_set-speed to be decreased.", "A resume button 173R is provided that is operable to control the cruise control system 16 to resume speed control at the instant value of cruise_set-speed following driver over-ride.", "It is to be understood that known on-highway cruise control systems including the present system 16 are configured so that, in the event that the user depresses a brake pedal 163 or, in the case of vehicles with a manual transmission, a clutch pedal (not shown), the cruise control function is cancelled and the vehicle 100 reverts to a manual mode of operation which requires accelerator pedal input by a user in order to maintain vehicle speed.", "In addition, detection of a wheel slip event, as may be initiated by a loss of traction, also has the effect of cancelling the cruise control function.", "Speed control by the system 16 is resumed if the driver subsequently depresses the resume button 173R.", "The cruise control system 16 monitors vehicle speed and any deviation from the target vehicle speed is adjusted automatically so that the vehicle speed is maintained at a substantially constant value, typically in excess of 25 kph.", "In other words, the cruise control system is ineffective at speeds lower than 25 kph.", "The cruise control HMI 18 may also be configured to provide an alert to the user about the status of the cruise control system 16 via a visual display of the HMI 18.In the present embodiment the cruise control system 16 is configured to allow the value of cruise_set-speed to be set to any value in the range 25-150 kph.", "The LSP control system 12 also provides a speed-based control system for the user which enables the user to select a very low target speed at which the vehicle can progress without any pedal inputs being required by the user.", "Low-speed speed control (or progress control) functionality is not provided by the on-highway cruise control system 16 which operates only at speeds above 25 kph.", "The LSP control system 12 is activated by means of a LSP control system selector button 172 mounted on the steering wheel 171.The system 12 is operable to apply selective powertrain, traction control and braking actions to one or more wheels of the vehicle 100, collectively or individually, to maintain the vehicle 100 at the desired speed.", "The LSP control system 12 is configured to allow a user to input a desired value of set-speed parameter, LSP_set-speed to the LSP control system 12 via a low-speed progress control HMI (LSP HMI) 20 (FIG.", "1, FIG.", "3) which shares certain input buttons 173-175 with the cruise control system 16 and a hill descent control (HDC) control system 12HD.", "Provided the vehicle speed is within the allowable range of operation of the LSP control system (which is the range from 2 to 30 kph in the present embodiment although other ranges are also useful) the LSP control system 12 controls vehicle speed in accordance with the value of LSP_set-speed.", "Unlike the cruise control system 16, the LSP control system 12 is configured to operate independently of the occurrence of a traction event.", "That is, the LSP control system 12 does not cancel speed control upon detection of wheel slip.", "Rather, the LSP control system 12 actively manages vehicle behaviour when slip is detected.", "The LSP control HMI 20 is provided in the vehicle cabin so as to be readily accessible to the user.", "The user of the vehicle 100 is able to input to the LSP control system 12, via the LSP HMI 20, an indication of the speed at which the user desires the vehicle to travel (referred to as “the target speed”) by means of the ‘set-speed’ button 173 and the ‘+’/‘−’ buttons 174, 175 in a similar manner to the cruise control system 16.The LSP HMI 20 also includes a visual display upon which information and guidance can be provided to the user about the status of the LSP control system 12.The LSP control system 12 receives an input from the braking system 22 of the vehicle indicative of the extent to which the user has applied braking by means of the brake pedal 163.The LSP control system 12 also receives an input from an accelerator pedal 161 indicative of the extent to which the user has depressed the accelerator pedal 161.An input is also provided to the LSP control system 12 from the transmission or gearbox 124.This input may include signals representative of, for example, the speed of an output shaft of the gearbox 124, torque converter slip and a gear ratio request.", "Other inputs to the LSP control system 12 include an input from the cruise control HMI 18 which is representative of the status (ON/OFF) of the cruise control system 16, and an input from the LSP control HMI 20.The HDC function block of the VCU 10 forms part of the HDC system 12HD.", "When the HDC system 12HD is active, the system 12HD controls the braking system 22 (of which the ABS function block forms part) in order to limit vehicle speed to a value corresponding to that of a HDC set-speed parameter HDC_set-speed which may be set by a user.", "The HDC set-speed may also be referred to as an HDC target speed.", "Provided the user does not override the HDC system by depressing the accelerator pedal when the HDC system is active, the HDC system 12HD controls the braking system 22 (FIG.", "3) to prevent vehicle speed from exceeding the HDC_set-speed.", "In the present embodiment the HDC system 12HD is not operable to apply positive drive torque.", "Rather, the HDC system 12HD is only operable to apply negative brake torque.", "A HDC system HMI 20HD is provided by means of which a user may control the HDC system 12HD, including setting the value of HDC_set-speed.", "An HDC system selector button 177 is provided on the steering wheel 171 by means of which a user may activate the HDC system 12HD to control vehicle speed.", "As noted above, the HDC system 12HD is operable to allow a user to set a value of HDC set-speed parameter HDC_set-speed and to adjust the value of HDC_set-speed using the same controls as the cruise control system 16 and LSP control system 12.Thus, in the present embodiment, when the HDC system 12HD is controlling vehicle speed, the HDC system set-speed may be increased, decreased or set to an instant speed of the vehicle in a similar manner to the set-speed of the cruise control system 16 and LSP control system, using the same control buttons 173, 173R, 174, 175.The HDC system 12HD is operable to allow the value of HDC_set-speed to be set to any value in the range from 2-30 kph.", "If the HDC system 12HD is selected when the vehicle 100 is travelling at a speed of 50 kph or less and no other speed control system is in operation, the HDC system 12HD sets the value of HDC_set-speed to a value selected from a look-up table.", "The value output by the look-up table is determined in dependence on the identity of the currently selected transmission gear, the currently selected PTU gear ratio (Hi/LO) and the currently selected driving mode.", "The HDC system 12HD then applies the powertrain 129 and/or braking system 22 to slow the vehicle 100 to the HDC system set-speed provided the driver does not override the HDC system 12HD by depressing the accelerator pedal 161.The HDC system 12HD is configured to slow the vehicle 100 to the set-speed value at a deceleration rate not exceeding a maximum allowable rate.", "The rate is set as 1.25 ms-2 in the present embodiment, however other values are also useful.", "If the user subsequently presses the ‘set-speed’ button 173 the HDC system 12HD sets the value of HDC_set-speed to the instant vehicle speed provided the instant speed is 30 kph or less.", "If the HDC system 12HD is selected when the vehicle 100 is travelling at a speed exceeding 50 kph, the HDC system 12HD ignores the request and provides an indication to the user that the request has been ignored due to vehicle speed being above the limit for operation of the system 12HD.", "It is to be understood that the VCU 10 is configured to implement a known Terrain Response (TR)® System of the kind described above in which the VCU 10 controls settings of one or more vehicle systems or sub-systems such as the powertrain controller 11 in dependence on a selected driving mode.", "The driving mode may be selected by a user by means of a driving mode selector 141S (FIG.", "1).", "The driving modes may also be referred to as terrain modes, terrain response modes, or control modes.", "In the embodiment of FIG.", "1 four driving modes are provided: an ‘on-highway’ driving mode suitable for driving on a relatively hard, smooth driving surface where a relatively high surface coefficient of friction exists between the driving surface and wheels of the vehicle; a ‘sand’ driving mode suitable for driving over sandy terrain; a ‘grass, gravel or snow’ driving mode suitable for driving over grass, gravel or snow, a ‘rock crawl’ driving mode suitable for driving slowly over a rocky surface; and a ‘mud and ruts’ driving mode suitable for driving in muddy, rutted terrain.", "Other driving modes may be provided in addition or instead.", "In some embodiments, the LSP control system 12 may be in either one of an active condition, a standby condition and an ‘off’ condition.", "In the active condition, the LSP control system 12 actively manages vehicle speed by controlling powertrain torque and braking system torque.", "In the standby condition, the LSP control system 12 does not control vehicle speed until a user presses the resume button 173R or the ‘set speed’ button 173.In the off condition the LSP control system 12 is not responsive to input controls unless the LSP control system selector button 172 is depressed.", "In the present embodiment the LSP control system 12 is also operable to assume an intermediate condition similar to that of the active mode but in which the LSP control system 12 is prevented from commanding the application of positive drive torque to one or more wheels of the vehicle 100 by the powertrain 129.Thus, only braking torque may be applied, by means of the braking system 22 and/or powertrain 129.Other arrangements are also useful.", "With the LSP control system 12 in the active condition, the user may increase or decrease the vehicle set-speed by means of the ‘+’ and ‘−’ buttons 174, 175.In addition, the user may also increase or decrease the vehicle set-speed by lightly pressing the accelerator or brake pedals 161, 163 respectively.", "In some embodiments, with the LSP control system 12 in the active condition the ‘+’ and ‘−’ buttons 174, 175 are disabled such that adjustment of the value of LSP_set-speed can only be made by means of the accelerator and brake pedals 161, 163.This latter feature may prevent unintentional changes in set-speed from occurring, for example due to accidental pressing of one of the ‘+’ or ‘−’ buttons 174, 175.Accidental pressing may occur for example when negotiating difficult terrain where relatively large and frequent changes in steering angle may be required.", "Other arrangements are also useful.", "It is to be understood that in the present embodiment the LSP control system 12 is operable to cause the vehicle to travel in accordance with a value of set-speed in the range from 2-30 kph whilst the cruise control system is operable to cause the vehicle to travel in accordance with a value of set-speed in the range from 25-150 kph although other values are also useful.", "If the LSP control system 12 is selected when the vehicle speed is above 30 kph but less than or substantially equal to 50 kph, the LSP control system 12 assumes the intermediate mode.", "In the intermediate mode, if the driver releases the accelerator pedal 161 whilst travelling above 30 kph the LSP control system 12 deploys the braking system 22 to slow the vehicle 100 to a value of set-speed corresponding to the value of parameter LSP_set-speed.", "Once the vehicle speed falls to 30 kph or below, the LSP control system 12 assumes the active condition in which it is operable to apply positive drive torque via the powertrain 129, as well as brake torque via the powertrain 129 (via engine braking) and the braking system 22 in order to control the vehicle in accordance with the LSP_set-speed value.", "If no LSP set-speed value has been set, the LSP control system 12 assumes the standby mode.", "It is to be understood that if the LSP control system 12 is in the active mode, operation of the cruise control system 16 is inhibited.", "The two systems 12, 16 therefore operate independently of one another, so that only one can be operable at any one time, depending on the speed at which the vehicle is travelling.", "In some embodiments, the cruise control HMI 18 and the LSP control HMI 20 may be configured within the same hardware so that, for example, the speed selection is input via the same hardware, with one or more separate switches being provided to switch between the LSP input and the cruise control input.", "FIG.", "4 illustrates the means by which vehicle speed is controlled in the LSP control system 12.As described above, a speed selected by a user (set-speed) is input to the LSP control system 12 via the LSP control HMI 20.A vehicle speed sensor 34 associated with the powertrain 129 (shown in FIG.", "1) provides a signal 36 indicative of vehicle speed to the LSP control system 12.The LSP control system 12 includes a comparator 28 which compares the set-speed 38 (also referred to as a ‘target speed’ 38) selected by the user with the measured speed 36 and provides an output signal 30 indicative of the comparison.", "The output signal 30 is provided to an evaluator unit 40 of the VCU 10 which interprets the output signal 30 as either a demand for additional torque to be applied to the vehicle wheels 111-115, or for a reduction in torque applied to the vehicle wheels 111-115, depending on whether the vehicle speed needs to be increased or decreased to maintain the speed LSP_set-speed.", "An increase in torque is generally accomplished by increasing the amount of powertrain torque delivered to a given position of the powertrain, for example an engine output shaft, a wheel or any other suitable location.", "A decrease in torque at a given wheel to a value that is less positive or more negative may be accomplished by decreasing powertrain torque delivered to a wheel and/or by increasing a braking force on a wheel.", "It is to be understood that in some embodiments in which a powertrain 129 has one or more electric machines operable as a generator, negative torque may be applied by the powertrain 129 to one or more wheels by the electric machine.", "Negative torque may also be applied by means of engine braking in some circumstances, depending at least in part on the speed at which the vehicle 100 is moving.", "If one or more electric machines are provided that are operable as propulsion motors, positive drive torque may be applied by means of the one or more electric machines.", "An output 42 from the evaluator unit 40 is provided to the powertrain controller 11 and brake controller 13 which in turn control a net torque applied to the vehicle wheels 111-115.The net torque may be increased or decreased depending on whether the evaluator unit 40 demands positive or negative torque.", "In order to cause application of the necessary positive or negative torque to the wheels, the evaluator unit 40 may command that positive or negative torque is applied to the vehicle wheels by the powertrain 129 and/or that a braking force is applied to the vehicle wheels by the braking system 22, either or both of which may be used to implement the change in torque that is necessary to attain and maintain a required vehicle speed.", "In the illustrated embodiment the torque is applied to the vehicle wheels individually so as to maintain the vehicle at the required speed, but in another embodiment torque may be applied to the wheels collectively to maintain the required speed.", "In some embodiments, the powertrain controller 11 may be operable to control an amount of torque applied to one or more wheels by controlling a driveline component such as a rear drive unit, front drive unit, differential or any other suitable component.", "For example, one or more components of the driveline 130 may include one or more clutches operable to allow an amount of torque applied to one or more wheels to be varied.", "Other arrangements are also useful.", "Where a powertrain 129 includes one or more electric machines, for example one or more propulsion motors and/or generators, the powertrain controller 11 may be operable to modulate torque applied to one or more wheels by means of one or more electric machines.", "The LSP control system 12 also receives a signal 48 indicative of a wheel slip event having occurred.", "This may be the same signal 48 that is supplied to the on-highway cruise control system 16 of the vehicle, and which in the case of the latter triggers an override or inhibit mode of operation in the on-highway cruise control system 16 so that automatic control of vehicle speed by the on-highway cruise control system 16 is suspended or cancelled.", "However, the LSP control system 12 is not arranged to cancel or suspend operation in dependence on receipt of a wheel slip signal 48 indicative of wheel slip.", "Rather, the system 12 is arranged to monitor and subsequently manage wheel slip so as to reduce driver workload.", "During a slip event, the LSP control system 12 continues to compare the measured vehicle speed with the value of LSP_set-speed, and continues to control automatically the torque applied to the vehicle wheels so as to maintain vehicle speed at the selected value.", "It is to be understood therefore that the LSP control system 12 is configured differently to the cruise control system 16, for which a wheel slip event has the effect of overriding the cruise control function so that manual operation of the vehicle must be resumed, or speed control by the cruise control system 12 resumed by pressing the resume button 173R or set-speed button 173.In a further embodiment of the present invention (not shown) a wheel slip signal 48 is derived not just from a comparison of wheel speeds, but further refined using sensor data indicative of the vehicle's speed over ground.", "Such a speed over ground determination may be made via global positioning (GPS) data, or via a vehicle mounted radar or laser based system arranged to determine the relative movement of the vehicle 100 and the ground over which it is travelling.", "A camera system may be employed for determining speed over ground in some embodiments.", "At any stage of the LSP control process the user can override the function by depressing the accelerator pedal 161 and/or brake pedal 163 to adjust the vehicle speed in a positive or negative sense.", "However, in the event that a wheel slip event is detected via signal 48, the LSP control system 12 remains active and control of vehicle speed by the LSP control system 12 is not suspended.", "As shown in FIG.", "4, this may be implemented by providing a wheel slip event signal 48 to the LSP control system 12 which is then managed by the LSP control system 12.In the embodiment shown in FIG.", "1 the SCS 14 generates the wheel slip event signal 48 and supplies it to the LSP control system 12 and cruise control system 16.In some arrangements the SCS 14 provides the wheel slip event signal 48 to the LSP control system 12 or cruise control system 16 depending on which system is operating at the time.", "In some arrangements the SCS 14 broadcasts the signal 48 on a controller area network (CAN) bus (not shown) with which the LSP control system 12 and cruise control system 16 are in communication, whereby the systems 12, 16 may detect the signal 48, A wheel slip event is triggered when a loss of traction occurs at any one of the vehicle wheels.", "Wheels and tyres may be more prone to losing traction when travelling for example on snow, ice, mud or sand and/or on steep gradients or cross-slopes.", "A vehicle 100 may also be more prone to losing traction in environments where the terrain is more uneven or slippery compared with driving on a highway in normal on-road conditions.", "Embodiments of the present invention therefore find particular benefit when the vehicle 100 is being driven in an off-road environment, or in conditions in which wheel slip may commonly occur.", "Manual operation by the user in such conditions can be a difficult and often stressful experience and may result in an uncomfortable ride.", "The vehicle 100 is also provided with additional sensors (not shown) which are representative of a variety of different parameters associated with vehicle motion and status.", "These may be inertial systems unique to the LSP or HDC control system 12, 12HD or part of an occupant restraint system or any other sub-system which may provide data from sensors such as gyros and/or accelerometers that may be indicative of vehicle body movement and may provide a useful input to the LSP and/or HDC control systems 12, 12HD.", "The signals from the sensors provide, or are used to calculate, a plurality of driving condition indicators (also referred to as terrain indicators) which are indicative of the nature of the terrain conditions over which the vehicle is travelling.", "The sensors (not shown) on the vehicle 100 include, but are not limited to, sensors which provide continuous sensor outputs to the VCU 10, including wheel speed sensors, as mentioned previously and as shown in FIG.", "5, an ambient temperature sensor, an atmospheric pressure sensor, tyre pressure sensors, wheel articulation sensors, gyroscopic sensors to detect vehicular yaw, roll and pitch angle and rate, a vehicle speed sensor, a longitudinal acceleration sensor, an engine torque sensor (or engine torque estimator), a steering angle sensor, a steering wheel speed sensor, a gradient sensor (or gradient estimator), a lateral acceleration sensor which may be part of the SCS 14, a brake pedal position sensor, a brake pressure sensor, an accelerator pedal position sensor, longitudinal, lateral and vertical motion sensors, and water detection sensors forming part of a vehicle wading assistance system (not shown).", "In other embodiments, only a selection of the aforementioned sensors may be used.", "The VCU 10 also receives a signal from the steering controller 170C.", "The steering controller 170C is in the form of an electronic power assisted steering unit (ePAS unit).", "The steering controller 170C provides a signal to the VCU 10 indicative of the steering force being applied to steerable road wheels 111, 112 of the vehicle 100.This force corresponds to that applied by a user to the steering wheel 171 in combination with steering force generated by the ePAS unit 170C.", "The VCU 10 evaluates the various sensor inputs to determine the probability that each of a plurality of different control modes (driving modes) for the vehicle subsystems is appropriate, with each control mode corresponding to a particular terrain type over which the vehicle is travelling (for example, mud and ruts, sand, grass/gravel/snow).", "If the user has selected operation of the vehicle in an automatic driving mode selection condition, the VCU 10 then selects the most appropriate one of the control modes and is configured automatically to control the subsystems according to the selected mode.", "This aspect of the invention is described in further detail in our co-pending patent application nos.", "GB1111288.5, GB1211910.3 and GB1202427.9, the contents of each of which is incorporated herein by reference.", "The nature of the terrain over which the vehicle is travelling (as determined by reference to the selected control mode) may also be utilised in the LSP control system 12 to determine an appropriate increase or decrease in drive torque that is to be applied to the vehicle wheels.", "For example, if the user selects a value of LSP_set-speed that is not suitable for the nature of the terrain over which the vehicle is travelling, the system 12 is operable to automatically adjust the vehicle speed downwards by reducing the speed of the vehicle wheels.", "In some cases, for example, the user selected speed may not be achievable or appropriate over certain terrain types, particularly in the case of uneven or rough surfaces.", "If the system 12 selects a set-speed that differs from the user-selected set-speed, a visual indication of the speed constraint is provided to the user via the LSP HMI 20 to indicate that an alternative speed has been adopted.", "When the vehicle 100 is operating with the LSP control system 12 active and the vehicle 100 is in the four wheel drive mode of operation, the powertrain controller 11 is operable automatically to command the rear differential 135 to increase a resistance to relative rotation of the left and right rear driveshafts 139 by assuming a cross-axle lock condition.", "In the cross-axle lock condition, relative rotation of left and right rear driveshafts 139 is substantially prevented.", "This feature is useful in assisting the vehicle 100 in making progress over terrain where the left and right hand rear wheels 114, 115 are experiencing different values of surface coefficient of friction with their respective driving surfaces.", "The differential 135 is operable to assume the cross-axle lock condition by closure of a clutch 135C provided within a housing of the differential 135.When the clutch 135C is open, the differential 135 allows the left and right rear drive shafts 139 to rotate at different respective speeds in the conventional manner, for example whilst cornering.", "When the clutch 135C is fully closed, the differential 135 substantially prevents relative rotation of the left and right rear drive shafts 139.As described below, in some embodiments the amount of resistance to relative rotation of the wheels imposed by the differential 135 may be varied by varying the amount of pressure applied by an actuator of the clutch 135C to close the clutch 135C.", "If the LSP control system 12 is not active, the powertrain controller 11 is operable to command the rear differential 135 to assume the cross-axle lock condition in response to user selection of that condition by means of a user-operable selector button 11XA.", "The selector button 11XA is accessible to a driver whilst driving the vehicle 100.The powertrain controller 11 causes the clutch 135C to assume either a fully open condition or a fully closed condition in dependence on a state of the selector button 11XA.", "The powertrain controller 11 is configured to monitor a speed of rotation of each wheel of the vehicle 111, 112, 114, 115 and a speed of the vehicle 100 over ground.", "From this data the powertrain controller 11 is able to generate an estimate of slip of each wheel and an estimate of a surface coefficient of friction between each wheel and the respective driving surface.", "It is to be understood that, in general, only wheel slip values obtained from wheels that are being driven by the powertrain 129 are useful in determining surface coefficient of friction.", "This is because slip between non-driven wheels and the driving surface is generally negligible even for relatively low values of surface coefficient of friction.", "In some embodiments, surface coefficient of friction may be estimated from non-driven wheels when a brake is applied to the wheel to slow the vehicle.", "The speed of driven wheels and non-driven wheels may be compared in some embodiments.", "The powertrain controller 11 is also configured to monitor a value of steering angle generated by the steering controller 170C.", "The powertrain controller 11 is configured to detect when a cross-axle surface mu delta condition exists in respect of rear axle 136 (which includes the rear drive shafts 139 and rear differential 135).", "For the purposes of the present embodiment, the cross-axle surface mu delta condition is defined as a condition in which a difference in coefficient of friction, mu, between respective wheels 114, 115 of the rear axle 136 and the driving surface exceeds a prescribed value.", "In the present embodiment the prescribed value is substantially 0.1 although other values such as 0.05, 0.2, 0.25 or any other suitable value are also useful.", "The prescribed value may be set in dependence on selected driving mode in some embodiments.", "In use, the powertrain controller 11 is configured automatically to command the rear differential 135 to assume the cross-axle lock condition if the controller 11 detects that (a) a cross-axle surface mu delta condition exists; (b) a steering angle is less than a prescribed value, and (c) the wheel 114, 115 experiencing the lower value of surface coefficient of friction is rotating at a speed that is greater than that of the wheel 115, 114 experiencing the higher value of surface coefficient of friction by more than a factor of 1.5.Other values of factor are also useful in some embodiments.", "Steering angle may be determined by reference to steerable road wheel angle, steering wheel position or any other suitable means.", "In the present embodiment, the prescribed value of steering angle corresponds to a steerable road wheel angle of 5 degrees to the left or right of a straight-ahead steering position in response to driver manipulation of steering wheel 171.If the powertrain controller 11 commands the rear differential 135 to assume the cross-axle lock condition in response to conditions (a) to (c), the controller 11 continues to monitor the value of surface coefficient of friction between the rear wheels 114, 115 and the driving surface, and the steering angle.", "If at any time the steering angle exceeds 5 degrees, the controller 11 is configured to provide an alert to a user indicating the steering angle is no longer within the allowable limit for automatic application of cross-axle lock.", "If the steering angle does not fall below 5 degrees within a prescribed period of time of the steering angle exceeding the allowable limit, the controller 11 automatically causes the cross-axle lock condition to terminate by commanding the clutch 135C to open.", "This feature has the advantage that brief excursions in steering wheel position beyond substantially 5 degrees relative to a straight-ahead position do not cause the cross-axle lock condition to be assumed, reducing the amount of unnecessary operation of the cross-axle lock feature of the differential 135.Similarly, if the controller 11 determines that the vehicle 100 has travelled more than 25 metres since the cross-axle lock condition was assumed automatically, the controller 11 is operable automatically to cause the cross-axle lock condition to terminate.", "If the controller 11 subsequently detects that conditions (a) to (c) above are met, the controller 11 is operable to command the rear differential 135 to again assume the cross-axle lock condition.", "Embodiments of the present invention have the advantage that vehicle composure may be enhanced and driver workload may be reduced, since the powertrain controller 11 automatically causes the rear differential 135 to assume the cross-axle lock condition when driving conditions so warrant.", "Embodiments of the invention have the advantage that degradation of a driving surface may be reduced.", "This is because excessive slip of a wheel of an axle that is experiencing a lower value of surface coefficient of friction may be substantially prevented or at least reduced.", "In some embodiments, the powertrain controller 11 is operable to command the brake controller 13 to apply a brake 114B, 115B to the wheel 114, 115 experiencing the lower value of surface coefficient of friction when conditions (a) to (c) are met without commanding the rear differential 135 to assume the cross-axle lock condition.", "The controller 11 may apply a substantially fixed amount of brake pressure or brake torque in some embodiments.", "In some alternative embodiments an amount of brake pressure or brake torque applied may be so as to reduce wheel speed such that the difference in speed of rotation of the rear wheels does not exceed that expected for zero slip by more than the prescribed amount.", "The amount of brake pressure or brake torque applied may be limited to a prescribed amount in some embodiments.", "The controller 11 subsequently monitors vehicle progress over the driving surface by continuing to measure wheel slip and vehicle ground speed.", "If the controller 11 determines that wheel slip exceeds ground speed by more than a prescribed allowable value (which value may be determined in dependence on vehicle speed, terrain type, vehicle driving mode or any other suitable parameter), the controller 11 commands the rear differential 135 to assume the cross-axle lock condition.", "The controller 11 also commands a reduction in the brake pressure or brake torque that was applied to reduce the wheel speed difference, to the value applied before the increase due to wheel speed difference.", "Other arrangements are also useful.", "In addition or instead, in some embodiments the powertrain controller 11 may be operable to command the brake controller 13 to apply a brake 114B, 115B in the manner described above if conditions (a) and (c) are met but not condition (b), i.e.", "the steering angle exceeds the prescribed value.", "The controller 11 may be configured not to cause the rear differential 135 to assume the cross-axle lock condition whilst the condition exists that the steering angle exceeds the prescribed value.", "This feature has the advantage that understeer due to operation of the rear axle 136 in the cross-axle lock condition may be prevented when the steering angle exceeds the prescribed value.", "Other arrangements are also useful.", "In some embodiments, the rear differential 135 may be operable to assume a condition intermediate the cross-axle lock condition.", "That is, the rear differential 135 may be operable to increase a resistance of the rear wheels 114, 115 to relative rotation without substantially preventing relative rotation.", "In some embodiments in which the rear differential 135 has a clutch 135C, this may be achieved by varying an amount of pressure applied to urge clutch plates or the like of a clutch pack of the clutch 135C together in order to close the clutch 135C.", "This pressure may be referred to as a cross-axle lock clutch closure pressure in some embodiments.", "In some embodiments the powertrain controller 11 may be operable to vary the cross-axle lock clutch closure pressure in dependence on one or more parameters.", "The one or more parameters may include steering angle.", "Thus, the amount of closure pressure may reduce with increasing steering angle in some embodiments.", "In some embodiments the powertrain controller 11 may be operable to set a prescribed baseline value of cross-axle lock clutch closure pressure in dependence on a selected driving mode or terrain response mode.", "The controller 11 may be configured to set the baseline value of clutch pressure when the driving mode is selected.", "The baseline value may be varied when in a given mode in dependence on vehicle speed and/or one or more other parameters.", "If the powertrain controller 11 subsequently detects that relative rotation of the wheels 114, 115 is occurring, the wheel speed difference being greater than that expected for the current steering angle by more than a prescribed amount, the controller 11 may be configured to increase the cross-axle lock clutch closure pressure in order to reduce the amount of relative rotation.", "In some embodiments if the controller 11 intervenes to increase the cross-axle lock clutch closure pressure in order to reduce the amount of relative rotation of the wheels 114, 115, the controller 11 may set the amount of the increase to a value that permits a prescribed amount of relative rotation of the wheels 114, 115.This allows the controller 11 to determine when the increase in cross-axle lock clutch closure pressure is no longer required, allowing the amount of cross-axle lock clutch closure pressure to be reduced, thereby reducing understeer associated with increasing the cross-axle lock clutch closure pressure.", "The controller 11 may be configured to vary the amount of cross-axle lock clutch closure pressure subject to the condition that the amount does not fall below the baseline value for the current driving mode.", "It is to be understood that in some embodiments the front differential 137 may be provided with cross-axle lock functionality in addition to or instead of the rear differential 135, optionally by means of a clutch (not shown).", "The powertrain controller 11 may be operable to control the front differential 137 to increase a resistance to relative rotation of the front wheels 111, 112 in a corresponding manner to that described above in respect of rear differential 135.In some embodiments the powertrain controller 11 may be operable to monitor a difference in speed of rotation of the front wheels 111, 112, and to control the front differential 137 to increase the resistance imposed by the differential 137 to relative rotation of the wheels 111, 112 of front axle 136F in the event the difference in speed of the wheels 111, 112 exceeds that corresponding to the current steering angle (i.e.", "assuming substantially zero slip of each wheel) by more than a prescribed amount.", "In some embodiments the controller 11 may be configured not to increase the resistance imposed by the differential 137 to relative rotation of the wheels 111, 112 of the front axle 136F in the event the speed difference of the wheels 111, 112 exceeds that corresponding to the current steering angle, if the current steering angle exceeds a prescribed amount.", "Thus, any change in understeer experienced by the vehicle 100 as a consequence of increasing the resistance to relative rotation of the wheels 111, 112 of the front axle 136F may be prevented.", "In some such embodiments the controller 11 may still command an increase in resistance to relative rotation of the rear wheels 114, 115 in dependence on the difference in speed of rotation.", "This feature has the advantage that traction may be improved, whilst avoiding a change in understeer due to the front axle 136F.", "FIG.", "6 is a flowchart illustrating a method of operation of a vehicle 100 according to an embodiment of the present invention.", "At step S101 the powertrain controller 11 commences execution of a portion of software code.", "At step S103 the controller 11 sets a value of cross-axle lock clutch closure pressure of the clutch 135C of rear differential 135 to a predetermined baseline value for the currently selected driving mode.", "The pressure value may therefore be different depending on the driving mode, such as whether the driving mode is the rock crawl mode, sand mode, grass/gravel/snow mode, SPO mode or other driving mode.", "At step S105 the controller 11 determines whether a relative speed of rotation of rear wheels 114, 115 exceeds that expected for zero slip of the wheels 114, 115 by more than a predetermined amount for the current value of steering angle, and the currently selected driving mode.", "If the relative speed of rotation does exceed the predetermined amount, the controller 11 continues to step S107.If it does not, the controller continues at step S103.The predetermined amount may be determined by means of an algorithm, a look up table (LUT) or any other suitable means.", "The predetermined amount may be set to a value sufficient to accommodate differences in wheel speed due to local variations in terrain height that will almost inevitably be encountered.", "At step S107 the controller 11 commands an increase in brake torque applied to the brake 114B, 115B of the wheel 114, 115 exhibiting excessive slip, in order to manage that slip.", "The controller 11 then continues at step S109.At step S109 the controller 11 waits for a prescribed number of wheel rotations to take place and continues to monitor wheel speed.", "The controller 11 then continues at step S111.At step S111 the controller 11 determines whether the speed of rotation of one or both of the rear wheels 114, 155 exceeds ground speed by more than a prescribed amount.", "The determination takes into account steering angle and the currently selected driving mode.", "If at step S111 the controller determines that the speed of rotation of one or both of the rear wheels 114, 155 does not exceed ground speed by more than the prescribed amount, the controller 11 continues at step S112.At step S112 the controller 11 reduces the amount of brake torque applied to the wheel 114, 115 that was exhibiting excessive slip at step S107 to the value applied immediately prior to the increase at step S107.The controller 11 then continues at step S103.If the controller 11 determines that the speed of rotation of one or both of the rear wheels 114, 155 does exceed ground speed by more than the prescribed amount, the controller 11 continues at step S113.At step S113 the controller 11 determines whether the current steering angle is less than a prescribed amount.", "If the controller 11 determines that the current steering angle is not less than the prescribed amount, the controller 11 continues at step S109.If the controller 11 determines that the current steering angle is less than the prescribed amount the controller 11 continues at step S115.At step S115 the controller 11 commands a reduction in the amount of brake torque applied to the brake 114B, 115B of the wheel 114, 115 to the value applied prior to the increase at step S107.Substantially simultaneously, the controller 11 also commands an increase in cross-axle lock clutch closure pressure by an amount that is sufficient to reduce relative rotation of the rear wheels 114, 115 to a value that is between a prescribed lower wheel speed difference value and a prescribed upper wheel speed difference value.", "The values may be proportional to wheel speed, absolute values, or any other suitable values.", "The controller 11 then continues at step S117.At step S117 the controller 11 maintains the speed difference between the wheels 114, 115 at a value between upper and lower wheels speed difference values until cross-axle lock clutch closure pressure falls to the baseline value.", "The controller 11 then continues at step S103.It is to be understood that one or more of the steps listed above may be performed in a different order to that described, or modified according to the requirements of a particular embodiment.", "Embodiments of the present invention have the advantage that cross-axle lock functionality of a vehicle 100 may be controlled automatically by a controller 11, increasing vehicle composure and reducing driver workload.", "Degradation of a driving surface due to slip of one or more wheels may be reduced or substantially prevented in some embodiments.", "Throughout the description and claims of this specification, the words “comprise” and “contain” and variations of the words, for example “comprising” and “comprises”, means “including but not limited to”, and is not intended to (and does not) exclude other moieties, additives, components, integers or steps.", "Throughout the description and claims of this specification, the singular encompasses the plural unless the context otherwise requires.", "In particular, where the indefinite article is used, the specification is to be understood as contemplating plurality as well as singularity, unless the context requires otherwise.", "Features, integers, characteristics, compounds, chemical moieties or groups described in conjunction with a particular aspect, embodiment or example of the invention are to be understood to be applicable to any other aspect, embodiment or example described herein unless incompatible therewith." ] ]
Patent_15875545
[ [ "METHOD FOR OPERATING AND INVERTER, INVERTER, AND PHOTOVOLTAIC SYSTEM", "A method for operating an inverter, the DC voltage input of which is connected to a positive pole (PV+) and to a negative pole (PV−) of a PV generator and the AC voltage output of which is connected to an AC grid via a transformer, is disclosed.", "The method includes determining a potential of the positive pole and/or the negative pole (UPV+, UPV−) of the PV generator with respect to a ground potential by a monitoring circuit, and selecting a modulation method for controlling power switches of the inverter from a number of modulation methods stored in a storage device of the inverter based on the determined potential (UPV+, UPV−) such that a voltage stress on components of the PV system arranged on the AC side, with respect to ground, is reduced.", "The method further includes using the selected modulation method to control the power switches for the purpose of converting a DC input voltage into an AC output voltage." ], [ "1.A method for operating an inverter, the DC voltage input of which is connected to a positive pole (PV+) and to a negative pole (PV−) of a PV generator and the AC voltage output of which is connected to an AC grid via a transformer, the method comprising: determining a potential of the positive pole and/or the negative pole (UPV+, UPV−) of the PV generator with respect to a ground potential by a monitoring circuit, selecting a modulation method for controlling power switches of the inverter from a number of modulation methods stored in a storage device of the inverter based on the determined potential (UPV+, UPV−) such that a voltage stress on components of the PV system arranged on the AC side, with respect to ground, is reduced, and using the selected modulation method to control the power switches for the purpose of converting a DC input voltage into an AC output voltage.", "2.The method as claimed in claim 1, wherein selecting the modulation method comprises comparing an absolute value of the potential of the positive pole with respect to ground (|UPV+|) with an absolute value of the potential of the negative pole with respect to ground (|UPV−|).", "3.The method as claimed in claim 2, wherein a first modulation method is selected if the absolute value of the potential of the positive pole with respect to ground (|UPV+|) is less than the absolute value of the potential of the negative pole with respect to ground (|UPV−|) and a second, different modulation method is selected if the absolute value of the potential of the positive pole with respect to ground (|UPV+|) is greater than the absolute value of the potential of the negative pole with respect to ground (|UPV−|).", "4.The method as claimed in claim 3, wherein the first modulation method is a DPWMMAX modulation method, and the second modulation method is a DPWMMIN modulation method.", "5.The method as claimed in claim 1, wherein determining the potential of the positive pole and/or the negative pole (UPV+, UPV−) of the PV generator with respect to ground potential by the monitoring circuit and selecting the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter based on the determined potential (UPV+, UPV−) are carried out before the inverter is connected to the AC grid.", "6.The method as claimed in claim 1, wherein determining the potential of the positive pole and/or the negative pole (UPV+, UPV−) of the PV generator with respect to ground potential by the monitoring circuit and selecting the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter based on the determined potential (UPV+, UPV−) are carried out while the inverter is connected to the AC grid.", "7.The method as claimed in claim 1, wherein the method is carried out several times a day.", "8.The method as claimed in claim 1, wherein the modulation methods stored in the storage device of the inverter comprise a DPWMMAX method and/or a DPWMMIN method.", "9.The method as claimed in claim 1, wherein a change from the modulation method selected at an earlier first time t1 to another, different modulation method is carried out at a time t2 only when a difference between t1 and t2 is greater than a minimum period Δtmin.", "10.The method as claimed in claim 1, wherein a change from the modulation method selected at a first time t1 to another, different modulation method is carried out when a difference in the absolute values of the potentials of the positive pole and of the negative pole with respect to ground (|UPV+|−|UPV−) at a second time t2 differs in the terms of a sign from a difference determined at the earlier first time t1 and an absolute value of the difference in the absolute values of the potentials of the positive and negative poles with respect to ground at the times t1 and t2 is greater than a threshold value (USchwell).", "11.A PV system comprising a PV generator and an inverter connected to the PV generator via a positive pole (PV+) and a negative pole (PV−), wherein the PV system comprises a transformer and is connected to an AC voltage grid via said transformer, wherein the PV system comprises a monitoring circuit configured to determine a potential of the positive pole and/or the negative pole (UPV+, UPV−) of the PV generator with respect to ground potential, wherein the inverter comprises a storage device for storing information for carrying out at least two different modulation methods, wherein the inverter further comprises power switches and a controller configured to control these power switches for the purpose of converting a DC input voltage into an AC output voltage, wherein the controller further comprises communication circuitry configured to communicate with the monitoring circuit and for selecting a modulation method for controlling power switches of the inverter from the modulation methods stored in the storage device of the inverter based on the determined potential (UPV+, UPV−) of the positive pole and/or the negative pole with respect to ground potential.", "12.An inverter configured to carry out the method as claimed in claim 1." ], [ "<SOH> BACKGROUND <EOH>Photovoltaic generators or PV generators for short are used, as part of photovoltaic systems (PV systems), to convert solar energy into electrical energy.", "In this case, the PV generators usually comprise a plurality of photovoltaic modules (PV modules) each in turn having a multiplicity of photovoltaic cells (PV cells).", "The PV generators are generally coupled to an inverter which converts the DC voltage generated by the PV generators into an AC voltage in order to feed the latter into a public power grid or into a private power grid (so-called island grid).", "In this case, in order to increase the voltage, the output voltage of the inverter can be increased to a higher voltage by means of a transformer in order to be able to directly feed into a medium-voltage or high-voltage grid, for example.", "Depending on the intended purpose and the amount of the electrical power produced, the structure of such PV systems varies considerably.", "The AC voltage generated by the inverter can therefore differ, for example, both with regard to its amplitude, its frequency and in the number of phases.", "Inverters which have a low output power often have a single-phase design and those which output a high power have a three-phase design.", "However, depending on the design of the grid to which these inverters are connected, other embodiments are also conceivable.", "The output voltage of the PV generators is usually between 500 and 1000 V, but there are currently attempts to increase this voltage to 1500 V. Selecting a relatively high DC voltage reduces resistive losses in the DC lines running between the PV generators and the inverters.", "However, this also results in technical problems, for example with regard to the level of the insulation voltages and, associated with this, the load on the individual components of the PV system.", "This relates both to components arranged on the DC side and to components arranged on the AC side, for example the transformer or the semiconductor switches of the inverter.", "In PV systems in which a transformer is arranged between the AC voltage output of the inverter and the AC grid, the system part from the PV generator to the transformer is initially potential-free.", "As a result of insulation resistances which are not endlessly high, in particular of DC lines running between the PV generators and the inverters, a potential is established at the positive and negative poles during operation, which potential is approximately symmetrical around ground potential.", "In the case of a photovoltaic voltage of 1000 V, for example, at the output of a PV generator, the negative pole of the PV generator is at a potential of approximately −500 V with respect to ground potential and the positive pole is at a potential of approximately +500 V with respect to ground potential.", "Owing to the design, an excessively high negative potential of the PV module or parts of the PV module with respect to ground potential is undesirable in some types of PV modules or an excessively high positive potential of the PV module or parts of the PV module with respect to ground potential is undesirable in other types.", "Different measures for setting the potentials with respect to ground potential can then be taken.", "A distinction is made here, for example, between direct (rigid) grounding of a pole of the PV generator, so-called PV+ or PV− grounding, and indirect grounding variants in which the potentials with respect to ground result from (system-related) impedances or from the deliberate introduction of impedances between a pole of the PV generator and ground.", "In addition, there are also more complex apparatuses which allow targeted potential control.", "Numerous documents describe corresponding apparatuses which allow the potential of a pole of the PV generator to be shifted.", "By way of example, the documents DE202006008936 U1, EP2136449 B1 and DE102010060463 B4 are cited here.", "Semiconductor switches, for example MOSFETs or IGBTs, are used in the currently customary inverter topologies, for instance the B6 topology.", "In order to convert a DC voltage into an AC voltage, these semiconductor switches are controlled by means of suitable driver circuits.", "This is generally referred to as clocking of the semiconductor switches.", "In this case, very different clocking methods can be used; so-called pulse width modulation methods (PWM methods) are widespread.", "An insight into these methods is provided, for example, by J. Holtz, “ Pulsewidth modulation - A survey,” in Proc.", "IEEE PESC' 92, 1992, p. 11-18 or Kazmierkowski M. P., Dzieniakowski M. A.", "(1994): Review of current regulation techniques for three - phase PWM inverters.", "IEEE Conference on Industrial Electronics, Control and Instrumentation, Record , p. 567-575.In the prior art, the selection of a PWM method suitable for a particular inverter or for a particular PV system is generally based on the fact that an appropriate method contributes, as far as possible, to reducing harmonics and/or minimizing the power loss, for example caused by switching losses.", "Which method ultimately provides particularly good results depends, inter alia, on the inverter topology.", "However, other components, for instance snubbers, and their interaction with the PWM method must also be taken into account.", "In the known PWM modulation methods, a distinction is made, in particular, between so-called symmetrical and discontinuous or asymmetrical methods.", "In short, some switches of a bridge are clocked more frequently than others in the asymmetrical methods.", "In the case of a B6 bridge, the lower semiconductor switches (bottom) are clocked less frequently than the upper semiconductor switches (top) of the inverter bridge in a modulation method, for example.", "In another method, this relationship is reversed.", "Such methods are described, for example, in the publication M. RAJENDER REDDY, “SIMPLE AND NOVEL UNIFIED PULSE WIDTH MODULATION ALGORITHM FOR VOLTAGE SOURCE INVERTERS IN THE ENTIRE MODULATION RANGE”, Acta Electrotechnica et Informatica , Vol.", "13, No.", "3, 2013, p. 48-55, the content of which is therefore fully part of the present disclosure.", "SHAOLIANG AN; LU LAI; XIANGDONG SUN; YANRU ZHONG; BIYING REN; QI ZHANG “Neutral point voltage-balanced control method based on discontinuous pulse width modulation for a NPC 3-level inverter”, 2015 9th International Conference on Power Electronics and ECCE Asia (ICPE-ECCE Asia), 2015, p. 2820-2825, discloses a balancing method for the partial voltages in the intermediate circuit of an NPC solar inverter.", "US20140 376 293A1 discloses an inverter having a midpoint grounded photovoltaic generator." ], [ "<SOH> SUMMARY <EOH>The disclosure is directed to a method for operating an inverter, which method reduces the voltage load on, in particular, components of the PV system arranged on the AC side, with respect to ground by suitably selecting a modulation method for controlling the power switches of the inverter.", "This makes it possible to reduce a stress on the insulation at the undervoltage connection of the transformer, for example.", "This increases the service life of the stressed components.", "In a method according to one embodiment of the disclosure for operating an inverter, the DC voltage input of the inverter is connected to a positive pole and to a negative pole of a PV generator, the AC voltage output of the inverter is connected to an AC grid via a transformer, and a potential of the positive and/or negative pole of the PV generator with respect to ground potential is determined by a monitoring means.", "A modulation method for controlling power switches of the inverter is selected from a number of modulation methods stored in a storage device of the inverter on the basis of this potential in such a manner that the voltage stress on components of the PV system arranged on the AC side, with respect to ground is reduced.", "The selected modulation method is used to control the power switches and therefore to convert the DC input voltage into an AC output voltage.", "The present disclosure is based on the knowledge that, in PV systems which have a transformer and are connected to the AC voltage grid (AC grid) via such a transformer, the stress on, in particular, components of the PV system which are arranged on the AC side can be reduced by suitably selecting a modulation method for controlling the power switches of an inverter by taking into account the potentials of the positive and/or negative poles of the PV generators with respect to ground potential as criteria for selecting from the multiplicity of modulation methods which are known per se.", "The prior art does not contain any suggestion of using the potential difference of the PV generator or of its poles as a criterion to select a suitable PWM method.", "In a method according to one embodiment of the disclosure, the modulation methods stored in the storage device of the inverter comprise, in particular, a modulation method referred to as a DPWMMIN method and/or a modulation method referred to as a DPWMMAX method.", "Details of these methods are found, for example, in the publication X. Yang, “ Generalized Space Vector Pulse Width Modulation Technique for Cascaded Multilevel Inverters”; International Journal of Control and Automation , Vol.", "7, No.", "1 (2014), p. 11-26, the content of which is incorporated by reference and therefore fully part of the present disclosure.", "One embodiment of the method according to the disclosure may provide for an absolute value of the potential of the positive pole with respect to ground to be compared with an absolute value of the potential of the negative pole with respect to ground.", "In another embodiment of the method according to the disclosure, a first modulation method is selected if the absolute value of the potential of the positive pole with respect to ground is less than the absolute value of the potential of the negative pole with respect to ground and a second modulation method is selected if the absolute value of the potential of the positive pole with respect to ground is greater than the absolute value of the potential of the negative pole with respect to ground.", "In one embodiment of the method according to the disclosure, the first modulation method is a DPWMMAX modulation method, and the second modulation method is a DPWMMIN modulation method.", "When using the DPWMMAX modulation method, the upper semiconductor switches referred to as the top are clocked more rarely than the lower semiconductor switches referred to as the bottom on temporal average in a B6 bridge, as already mentioned above by way of example.", "In contrast, when using the DPWMMIN modulation method, the lower semiconductor switches referred to as the bottom are clocked more rarely than the upper semiconductor switches referred to as the top on temporal average in a B6 bridge.", "In one embodiment, if an ungrounded system is involved, with the result that the two absolute values mentioned are approximately equal to zero, the DPWMMIN modulation method is used.", "In a method according to one embodiment of the disclosure, provision may be made for the method to be carried out before the inverter is connected to the AC grid and/or for the method to be carried out several times a day.", "Furthermore, provision may be made for the determination of the potential of the positive and/or negative pole of the PV generator with respect to ground potential by means of a monitoring means and the selection of the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter on the basis of the previously determined potential to be carried out before the inverter is connected to the AC grid.", "In another embodiment of the method according to the disclosure, the determination of the potential of the positive and/or negative pole of the PV generator with respect to ground potential by means of a monitoring means and the selection of the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter on the basis of the previously determined potential are carried out while the inverter is connected to the AC grid.", "In this case, it is also conceivable in one embodiment for the method to be carried out continuously.", "The absolute values of the potentials of the positive and negative poles with respect to ground could therefore be permanently monitored.", "If it emerges in this case that the conditions have changed with respect to those which were present when selecting the modulation method in such a manner that a different modulation method should now be selected, the more suitable modulation method is selected.", "In order to avoid continuously changing between different modulation methods, provision may be made in one embodiment for a change from the modulation method selected at an earlier first time to another modulation method to be carried out at a time only when the difference between the two times is greater than a minimum period of 1 minute, for example.", "Instead of specifying a minimum period, it is also possible to specify a threshold value U Schwell for the level of the required changes in the difference in the absolute values of the potentials of the positive and negative poles with respect to ground.", "This threshold value is determined on the basis of the voltage difference between the positive and negative poles of the PV generator, for example as a percentage thereof, or can be specified as a fixed value, for example 50 V. A change from the modulation method selected at a first time to another modulation method is carried out when a difference in the absolute values of the potentials of the positive pole and of the negative pole with respect to ground at a second time differs in terms of the sign from a difference determined at the earlier first time and an absolute value of the difference in the absolute values of the potentials of the positive and negative poles with respect to ground at the two times is greater than a threshold value of, for example, 50 V, that is to say if the following applies: in-line-formulae description=\"In-line Formulae\" end=\"lead\"?", "∥(| U PV+ |−|U PV− |) t=t1 |−|(| U PV+ |−|U PV− |) t=t2 ∥>U Schwell in-line-formulae description=\"In-line Formulae\" end=\"tail\"?", "In another embodiment of the disclosure, a PV system has a PV generator and an inverter connected to the PV generator via a positive pole and a negative pole.", "The PV system has a transformer and is connected to an AC voltage grid via said transformer; it also has a monitoring means for determining a potential of the positive and/or negative pole of the PV generator with respect to ground potential.", "The inverter has a storage device for storing information for carrying out at least two different modulation methods and comprises power switches and means for controlling these power switches in order to convert a DC input voltage into an AC output voltage.", "The inverter also has a controller which comprises means for communicating with the monitoring means and for selecting a modulation method for controlling power switches of the inverter from the modulation methods stored in the storage device of the inverter on the basis of the previously determined potential of the positive and/or negative pole with respect to ground potential.", "An inverter according to the disclosure has power switches and a means for controlling these power switches for the purpose of converting a DC input voltage into an AC output voltage.", "The inverter has a storage device for storing information for carrying out at least two different modulation methods and a controller.", "The controller comprises means for communicating with a monitoring means and for selecting a modulation method for controlling the power switches of the inverter from the modulation methods stored in the storage device of the inverter on the basis of a previously determined potential of a positive and/or negative pole with respect to ground potential." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of PCT Patent Application Number PCT/EP2016/066092, filed on Jul.", "7, 2016, which claims priority to German Patent Application Number DE 10 2015 111 804.1, filed on Jul.", "21, 2015, which are hereby incorporated by reference in their entirety.", "FIELD The disclosure relates to a method for operating an inverter, the DC voltage input of which is connected to a positive pole and to a negative pole of a PV generator and the AC voltage output of which is connected to an AC grid via a transformer, to a photovoltaic system and to an inverter which is configured to carry out such a method.", "BACKGROUND Photovoltaic generators or PV generators for short are used, as part of photovoltaic systems (PV systems), to convert solar energy into electrical energy.", "In this case, the PV generators usually comprise a plurality of photovoltaic modules (PV modules) each in turn having a multiplicity of photovoltaic cells (PV cells).", "The PV generators are generally coupled to an inverter which converts the DC voltage generated by the PV generators into an AC voltage in order to feed the latter into a public power grid or into a private power grid (so-called island grid).", "In this case, in order to increase the voltage, the output voltage of the inverter can be increased to a higher voltage by means of a transformer in order to be able to directly feed into a medium-voltage or high-voltage grid, for example.", "Depending on the intended purpose and the amount of the electrical power produced, the structure of such PV systems varies considerably.", "The AC voltage generated by the inverter can therefore differ, for example, both with regard to its amplitude, its frequency and in the number of phases.", "Inverters which have a low output power often have a single-phase design and those which output a high power have a three-phase design.", "However, depending on the design of the grid to which these inverters are connected, other embodiments are also conceivable.", "The output voltage of the PV generators is usually between 500 and 1000 V, but there are currently attempts to increase this voltage to 1500 V. Selecting a relatively high DC voltage reduces resistive losses in the DC lines running between the PV generators and the inverters.", "However, this also results in technical problems, for example with regard to the level of the insulation voltages and, associated with this, the load on the individual components of the PV system.", "This relates both to components arranged on the DC side and to components arranged on the AC side, for example the transformer or the semiconductor switches of the inverter.", "In PV systems in which a transformer is arranged between the AC voltage output of the inverter and the AC grid, the system part from the PV generator to the transformer is initially potential-free.", "As a result of insulation resistances which are not endlessly high, in particular of DC lines running between the PV generators and the inverters, a potential is established at the positive and negative poles during operation, which potential is approximately symmetrical around ground potential.", "In the case of a photovoltaic voltage of 1000 V, for example, at the output of a PV generator, the negative pole of the PV generator is at a potential of approximately −500 V with respect to ground potential and the positive pole is at a potential of approximately +500 V with respect to ground potential.", "Owing to the design, an excessively high negative potential of the PV module or parts of the PV module with respect to ground potential is undesirable in some types of PV modules or an excessively high positive potential of the PV module or parts of the PV module with respect to ground potential is undesirable in other types.", "Different measures for setting the potentials with respect to ground potential can then be taken.", "A distinction is made here, for example, between direct (rigid) grounding of a pole of the PV generator, so-called PV+ or PV− grounding, and indirect grounding variants in which the potentials with respect to ground result from (system-related) impedances or from the deliberate introduction of impedances between a pole of the PV generator and ground.", "In addition, there are also more complex apparatuses which allow targeted potential control.", "Numerous documents describe corresponding apparatuses which allow the potential of a pole of the PV generator to be shifted.", "By way of example, the documents DE202006008936 U1, EP2136449 B1 and DE102010060463 B4 are cited here.", "Semiconductor switches, for example MOSFETs or IGBTs, are used in the currently customary inverter topologies, for instance the B6 topology.", "In order to convert a DC voltage into an AC voltage, these semiconductor switches are controlled by means of suitable driver circuits.", "This is generally referred to as clocking of the semiconductor switches.", "In this case, very different clocking methods can be used; so-called pulse width modulation methods (PWM methods) are widespread.", "An insight into these methods is provided, for example, by J. Holtz, “Pulsewidth modulation-A survey,” in Proc.", "IEEE PESC'92, 1992, p. 11-18 or Kazmierkowski M. P., Dzieniakowski M. A.", "(1994): Review of current regulation techniques for three-phase PWM inverters.", "IEEE Conference on Industrial Electronics, Control and Instrumentation, Record, p. 567-575.In the prior art, the selection of a PWM method suitable for a particular inverter or for a particular PV system is generally based on the fact that an appropriate method contributes, as far as possible, to reducing harmonics and/or minimizing the power loss, for example caused by switching losses.", "Which method ultimately provides particularly good results depends, inter alia, on the inverter topology.", "However, other components, for instance snubbers, and their interaction with the PWM method must also be taken into account.", "In the known PWM modulation methods, a distinction is made, in particular, between so-called symmetrical and discontinuous or asymmetrical methods.", "In short, some switches of a bridge are clocked more frequently than others in the asymmetrical methods.", "In the case of a B6 bridge, the lower semiconductor switches (bottom) are clocked less frequently than the upper semiconductor switches (top) of the inverter bridge in a modulation method, for example.", "In another method, this relationship is reversed.", "Such methods are described, for example, in the publication M. RAJENDER REDDY, “SIMPLE AND NOVEL UNIFIED PULSE WIDTH MODULATION ALGORITHM FOR VOLTAGE SOURCE INVERTERS IN THE ENTIRE MODULATION RANGE”, Acta Electrotechnica et Informatica, Vol.", "13, No.", "3, 2013, p. 48-55, the content of which is therefore fully part of the present disclosure.", "SHAOLIANG AN; LU LAI; XIANGDONG SUN; YANRU ZHONG; BIYING REN; QI ZHANG “Neutral point voltage-balanced control method based on discontinuous pulse width modulation for a NPC 3-level inverter”, 2015 9th International Conference on Power Electronics and ECCE Asia (ICPE-ECCE Asia), 2015, p. 2820-2825, discloses a balancing method for the partial voltages in the intermediate circuit of an NPC solar inverter.", "US20140 376 293A1 discloses an inverter having a midpoint grounded photovoltaic generator.", "SUMMARY The disclosure is directed to a method for operating an inverter, which method reduces the voltage load on, in particular, components of the PV system arranged on the AC side, with respect to ground by suitably selecting a modulation method for controlling the power switches of the inverter.", "This makes it possible to reduce a stress on the insulation at the undervoltage connection of the transformer, for example.", "This increases the service life of the stressed components.", "In a method according to one embodiment of the disclosure for operating an inverter, the DC voltage input of the inverter is connected to a positive pole and to a negative pole of a PV generator, the AC voltage output of the inverter is connected to an AC grid via a transformer, and a potential of the positive and/or negative pole of the PV generator with respect to ground potential is determined by a monitoring means.", "A modulation method for controlling power switches of the inverter is selected from a number of modulation methods stored in a storage device of the inverter on the basis of this potential in such a manner that the voltage stress on components of the PV system arranged on the AC side, with respect to ground is reduced.", "The selected modulation method is used to control the power switches and therefore to convert the DC input voltage into an AC output voltage.", "The present disclosure is based on the knowledge that, in PV systems which have a transformer and are connected to the AC voltage grid (AC grid) via such a transformer, the stress on, in particular, components of the PV system which are arranged on the AC side can be reduced by suitably selecting a modulation method for controlling the power switches of an inverter by taking into account the potentials of the positive and/or negative poles of the PV generators with respect to ground potential as criteria for selecting from the multiplicity of modulation methods which are known per se.", "The prior art does not contain any suggestion of using the potential difference of the PV generator or of its poles as a criterion to select a suitable PWM method.", "In a method according to one embodiment of the disclosure, the modulation methods stored in the storage device of the inverter comprise, in particular, a modulation method referred to as a DPWMMIN method and/or a modulation method referred to as a DPWMMAX method.", "Details of these methods are found, for example, in the publication X. Yang, “Generalized Space Vector Pulse Width Modulation Technique for Cascaded Multilevel Inverters”; International Journal of Control and Automation, Vol.", "7, No.", "1 (2014), p. 11-26, the content of which is incorporated by reference and therefore fully part of the present disclosure.", "One embodiment of the method according to the disclosure may provide for an absolute value of the potential of the positive pole with respect to ground to be compared with an absolute value of the potential of the negative pole with respect to ground.", "In another embodiment of the method according to the disclosure, a first modulation method is selected if the absolute value of the potential of the positive pole with respect to ground is less than the absolute value of the potential of the negative pole with respect to ground and a second modulation method is selected if the absolute value of the potential of the positive pole with respect to ground is greater than the absolute value of the potential of the negative pole with respect to ground.", "In one embodiment of the method according to the disclosure, the first modulation method is a DPWMMAX modulation method, and the second modulation method is a DPWMMIN modulation method.", "When using the DPWMMAX modulation method, the upper semiconductor switches referred to as the top are clocked more rarely than the lower semiconductor switches referred to as the bottom on temporal average in a B6 bridge, as already mentioned above by way of example.", "In contrast, when using the DPWMMIN modulation method, the lower semiconductor switches referred to as the bottom are clocked more rarely than the upper semiconductor switches referred to as the top on temporal average in a B6 bridge.", "In one embodiment, if an ungrounded system is involved, with the result that the two absolute values mentioned are approximately equal to zero, the DPWMMIN modulation method is used.", "In a method according to one embodiment of the disclosure, provision may be made for the method to be carried out before the inverter is connected to the AC grid and/or for the method to be carried out several times a day.", "Furthermore, provision may be made for the determination of the potential of the positive and/or negative pole of the PV generator with respect to ground potential by means of a monitoring means and the selection of the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter on the basis of the previously determined potential to be carried out before the inverter is connected to the AC grid.", "In another embodiment of the method according to the disclosure, the determination of the potential of the positive and/or negative pole of the PV generator with respect to ground potential by means of a monitoring means and the selection of the modulation method for controlling the power switches of the inverter from the number of modulation methods stored in the storage device of the inverter on the basis of the previously determined potential are carried out while the inverter is connected to the AC grid.", "In this case, it is also conceivable in one embodiment for the method to be carried out continuously.", "The absolute values of the potentials of the positive and negative poles with respect to ground could therefore be permanently monitored.", "If it emerges in this case that the conditions have changed with respect to those which were present when selecting the modulation method in such a manner that a different modulation method should now be selected, the more suitable modulation method is selected.", "In order to avoid continuously changing between different modulation methods, provision may be made in one embodiment for a change from the modulation method selected at an earlier first time to another modulation method to be carried out at a time only when the difference between the two times is greater than a minimum period of 1 minute, for example.", "Instead of specifying a minimum period, it is also possible to specify a threshold value USchwell for the level of the required changes in the difference in the absolute values of the potentials of the positive and negative poles with respect to ground.", "This threshold value is determined on the basis of the voltage difference between the positive and negative poles of the PV generator, for example as a percentage thereof, or can be specified as a fixed value, for example 50 V. A change from the modulation method selected at a first time to another modulation method is carried out when a difference in the absolute values of the potentials of the positive pole and of the negative pole with respect to ground at a second time differs in terms of the sign from a difference determined at the earlier first time and an absolute value of the difference in the absolute values of the potentials of the positive and negative poles with respect to ground at the two times is greater than a threshold value of, for example, 50 V, that is to say if the following applies: ∥(|UPV+|−|UPV−|)t=t1|−|(|UPV+|−|UPV−|)t=t2∥>USchwell In another embodiment of the disclosure, a PV system has a PV generator and an inverter connected to the PV generator via a positive pole and a negative pole.", "The PV system has a transformer and is connected to an AC voltage grid via said transformer; it also has a monitoring means for determining a potential of the positive and/or negative pole of the PV generator with respect to ground potential.", "The inverter has a storage device for storing information for carrying out at least two different modulation methods and comprises power switches and means for controlling these power switches in order to convert a DC input voltage into an AC output voltage.", "The inverter also has a controller which comprises means for communicating with the monitoring means and for selecting a modulation method for controlling power switches of the inverter from the modulation methods stored in the storage device of the inverter on the basis of the previously determined potential of the positive and/or negative pole with respect to ground potential.", "An inverter according to the disclosure has power switches and a means for controlling these power switches for the purpose of converting a DC input voltage into an AC output voltage.", "The inverter has a storage device for storing information for carrying out at least two different modulation methods and a controller.", "The controller comprises means for communicating with a monitoring means and for selecting a modulation method for controlling the power switches of the inverter from the modulation methods stored in the storage device of the inverter on the basis of a previously determined potential of a positive and/or negative pole with respect to ground potential.", "BRIEF DESCRIPTION OF THE DRAWINGS The disclosure is described below with the aid of figures, in which FIG.", "1 shows a PV system according to the disclosure, FIG.", "2 shows a simplified illustration of a B6 bridge, FIG.", "3 shows a flowchart of one embodiment of the method according to the disclosure, FIG.", "4a shows the voltage profile of a phase with respect to ground for different modulation methods when grounding the negative pole, FIG.", "4b shows the voltage profile of a phase with respect to ground for different modulation methods when grounding the positive pole of a PV generator, and FIG.", "5 shows a graph for illustrating the conditions for selecting the modulation methods.", "DETAILED DESCRIPTION FIG.", "1 shows a schematic illustration of a PV system 1 according to the disclosure.", "The positive pole PV+ and the negative pole PV− of the PV generator 2 are connected to the DC voltage input 17 of the inverter 3 via the DC voltage lines 15, 16.Details of the configuration of the inverter 3 and of the DC voltage intermediate circuit, for example intermediate circuit capacitances, fuse elements, etc., have been dispensed with for better clarity.", "In the present case, the negative pole PV− of the PV generator 2 is connected to ground potential 12.FIG.", "1 also shows a monitoring means 5 such as voltage monitoring circuitry for determining the potentials of the positive and negative poles (UPV+, UPV−) with respect to ground 12.In a manner differing from this, variants are also conceivable in which only one of the potentials UPV+ or UPV− is determined.", "The potential which is not determined directly can be determined from the knowledge of a further variable, for instance an intermediate circuit voltage UPV, that is to say the voltage between the DC voltage lines 15, 16.The monitoring means 5 comprises means for communicating 6 at least with the controller 4 of the inverter 3.In this case, the type of configuration of the communication means 6 is not important.", "Both, wired and wireless circuits, structures and methods can therefore be used to transmit the information.", "Furthermore, it is irrelevant to the present disclosure whether the transmission is carried out on the basis of electrical, electromagnetic or other signals.", "The controller 4 in turn has corresponding means for communicating 7 (e.g., same as means 6) with the monitoring means 5.In this case, the storage device 8, which is part of the controller 4 in the embodiment illustrated, is used to store all necessary information and all necessary parameters for carrying out at least two different modulation methods.", "The controller 4 also comprises means for controlling 9 the power switches of the inverter 3.The AC voltage output 18 of the inverter 3 is connected to an AC grid 11 via AC-side disconnecting elements 13 and a transformer 10.In FIG.", "1, the inverter has a three-phase design on the AC side and has an AC-side filter 14.Further details have also been dispensed with here again for better clarity and only the components needed to understand the disclosure have been depicted.", "FIG.", "2 shows a highly simplified illustration of a so-called B6 bridge, as can be used, for example, in the three-phase inverter 3 illustrated in FIG.", "1.In this case, the upper semiconductor switches are referred to as the top and the lower semiconductor switches are referred to as the bottom.", "FIG.", "3 shows a flowchart of one embodiment of the method according to the disclosure.", "At S1, the potentials of the positive and/or negative pole (UPV+, UPV−) of the PV generator 2 with respect to ground potential 12 are first of all determined at the time t1 by means of the monitoring means 5.These values are transmitted to the controller 4 with the aid of the communication means 6, 7 at S2.These measured values are evaluated there at S3.For this purpose, in one embodiment the absolute values of the potentials of the positive and negative poles (UPV+, UPV−) of the PV generator 2 with respect to ground potential 12 are compared with one another.", "If this comparison reveals that the absolute value of the potential of the positive pole PV+ with respect to ground (|UPV+|) is less than the absolute value of the potential of the negative pole PV− with respect to ground (|UPV−|) , the so-called DPWMMAX modulation method is selected at S3a.", "If, in the reverse case, the absolute value of the potential of the positive pole PV+ with respect to ground (|UPV+|) is greater than the absolute value of the potential of the negative pole PV− with respect to ground (|UPV−|), the DPWMMIN modulation method is selected at S3b.", "In an alternative embodiment, the potentials are evaluated inside the monitoring means 5 in the manner described above.", "According to the result of the evaluation at S3, the means for controlling 9 the power switches generates switching pulses corresponding to the selected modulation method at S4 in order to clock the semiconductor switches top, bottom of the inverter bridge according to the selected modulation method.", "At S5 and S6, the potentials UPV+ and UPV− are determined again by the monitoring means 5 and the measured values are evaluated in a similar manner to act S3 at a time t2 at S7.This operation can take place in a quasi-continuous manner, with the result that corresponding measured values are continuously recorded and evaluated, or fixed times, intervals of time or other events, for example a renewed increase in the intermediate circuit voltage UPV after a rapid fall in the latter, can be used as an opportunity to determine and evaluate the potentials UPV+ and UPV− again.", "However, a change to act S1 of the stated method is carried out only when the difference in the above-mentioned absolute values of the potentials |UPV+|−|UPV−| at the current time t2 differs in terms of the sign (YES at S7) from that when selecting the currently used modulation method at the time t1 and the corresponding absolute value of the difference in the absolute values of the potentials of the positive and negative poles with respect to ground at the times t1, t2 is greater than a threshold value.", "If this is not the case (NO at S7), the original modulation method is maintained.", "FIGS.", "4a and 4b illustrate, by way of example, the temporal profile of the voltage of one phase at the output of the inverter 3 with respect to ground for two different modulation methods when grounding the negative and/or positive pole (PV−, PV+) of the PV generator 2 with an intermediate circuit voltage UPV of 1000 V. The respective graphs show very clearly the different influence of the modulation methods on the position of the output voltages of the inverter 3 with respect to ground potential 0.An arrangement is first of all considered in which the negative pole of the PV generator is grounded.", "In FIG.", "4a, a voltage with respect to ground of at most 970 V is established in such a system when using the DPWMMIN modulation method, whereas the maximum is at 1330 V when using the DPWMMAX modulation method.", "In this case, the AC-side components are therefore subjected to less stress when using the DPWMMIN modulation method.", "The reverse situation is present in FIG.", "4b when grounding the positive pole.", "In this case, the maximum absolute value of the voltage with respect to ground is 1330 V when using the DPWMMIN modulation method and is 970 V when using the DPWMMAX modulation method.", "In this case, it is therefore now more advantageous if the DPWMMAX modulation method is used in order to protect the above-mentioned components.", "FIG.", "5 illustrates the absolute value of the potential of the negative pole PV− with respect to ground (|UPV−|) as a function of the difference between the potential of the positive pole PV+ and the potential of the negative pole PV− of the PV generator with respect to ground potential (UPV+−UPV−).", "The straight line G1 arises for the situation in which neither the positive pole nor the negative pole of the PV generator is grounded.", "In this symmetrical case, |UPV−|=1/2(UPV+−UPV−), that is to say the “operating points” are on this straight line for all radiation conditions.", "In the case of the so-called PV+ grounding, a straight line having the gradient 1 (not illustrated in the graph) results within the graph.", "This straight line is therefore always above that of the symmetrical case G1 and the DPWMMAX modulation method should then be selected.", "In contrast, a straight line G4 having a gradient 0 runs along the abscissa in the case of the so-called PV− grounding.", "In such cases, the DPWMMIN modulation method should then be selected.", "In addition to these cases which are static with respect to the grounding conditions, there are technically relevant cases in which, for example, one pole of the PV generator is held at a fixed voltage value which is not equal to ground potential, for example by specifying an external potential, or in which the potential of one pole of the PV generator with respect to ground is restricted to a maximum value.", "In these cases, for example in the event of changes in the radiation conditions, the operating point may shift from a range, in which one modulation method should be advantageously used, to another range in which another modulation method should be used.", "In such cases, there is therefore a change between different modulation methods—between two different modulation methods in the case illustrated—during operation of the inverter 3.In order to avoid a repeated change between the modulation methods in the transition range, it is possible either to specify a minimum period Δtmin during which the modulation method cannot be changed again, as already described further above, or to define a range within which the existing modulation method is maintained, as is indicated in FIG.", "5 by means of the double-hatched area between the two straight lines G2, G3 illustrated using dashed lines.", "If, for example, the operating point changes in such a manner that the absolute value of the potential of the negative pole PV− with respect to ground (|UPV−|) becomes larger and the operating point changes from a range below the solid straight line G1 to the range above it, the modulation method (here DPWMMIN) is initially maintained.", "A change in the modulation methods, here to the DPWMMAX modulation method for example, is carried out only if the upper straight line G2 illustrated using dashed lines is exceeded.", "If the absolute value of the corresponding potential of the negative pole with respect to ground (|UPV−|) is reduced again, the method changes to the DPWMMIN modulation method only when the current operating point undershoots the lower dashed straight line G3." ] ]
Patent_15875546
[ [ "METHODS FOR CONTROLLING TOWED MARINE SENSOR ARRAY GEOMETRY", "A method for towing a streamer array includes moving a vessel along a body of water.", "Streamers are towed by vessel.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one of the streamers is deflected at at least one longitudinal position along the streamer in response to the determined positions to maintain the streamers in a selected geometry.", "The selected geometry is related to one of survey vessel heading, energy source trajectory, previously plotted sensor trajectory and a lateral separation related to distance from the towing vessel." ], [ "1-18.", "(canceled) 19.A method, comprising: towing a plurality of sensor streamers behind a survey vessel in a body of water; accessing information that specifies a predetermined geodetic path; determining relative positions at selected points along ones of the sensor streamers with respect to one or more adjacent streamers; determining geodetic position of one or more points along one or more of the sensor streamers; and laterally deflecting at least one sensor streamer at a plurality of positions based on the predetermined geodetic path, the relative positions, and the determined geodetic position of the one or more points, such that the at least one sensor streamer follows the predetermined geodetic path.", "20.The method of claim 19, wherein the predetermined geodetic path is determined based on geodetic paths of one or more sensor streamers in a prior survey pass.", "21.The method of claim 19, wherein the determining relative positions is performed using acoustic ranging via acoustic sensors disposed on ones of the sensor streamers.", "22.The method of claim 19, wherein the determining geodetic position is performed based on global positioning system (GPS) data.", "23.The method of claim 19, further comprising: deflecting at least one sensor streamer in a vertical direction based on the predetermined geodetic path, the relative positions, and the determined geodetic position of the one or more points such that the at least one sensor streamer follows the predetermined geodetic path.", "24.The method of claim 19, further comprising: receiving sensor data from the plurality of sensor streamers; and storing the sensor data on a tangible, computer-readable medium.", "25.The method of claim 19, wherein the laterally deflecting is performed using selected wing angles for ones of a plurality of streamer control devices.", "26.A non-transitory computer-readable medium having instructions stored thereon that in response to execution by a computing system, cause the computing system to carry out operations comprising: towing a plurality of sensor streamers behind a survey vessel in a body of water; accessing information that specifies a predetermined geodetic path; determining relative positions at selected points along ones of the sensor streamers with respect to one or more adjacent streamers; determining geodetic position of one or more points along one or more of the sensor streamers; and laterally deflecting at least one sensor streamer at a plurality of positions based on the predetermined geodetic path, the relative positions, and the determined geodetic position of the one or more points, such that the at least one sensor streamer follows the predetermined geodetic path.", "27.The non-transitory computer-readable medium of claim 26, wherein the predetermined geodetic path corresponds to previously-recorded geodetic paths of one or more sensor streamers in a prior survey pass.", "28.The non-transitory computer-readable medium of claim 26, wherein the determining relative positions is performed using acoustic ranging.", "29.The non-transitory computer-readable medium of claim 26, wherein the determining geodetic position is performed based on data from a satellite navigation system.", "30.The non-transitory computer-readable medium of claim 26, wherein the operations further comprise: deflecting at least one sensor streamer in a vertical direction based on the predetermined geodetic path, the relative positions, and the determined geodetic position of the one or more points such that the at least one sensor streamer follows the predetermined geodetic path.", "31.The non-transitory computer-readable medium of claim 26, wherein the operations further comprise: receiving sensor data from the plurality of sensor streamers; and storing the sensor data on a tangible, computer-readable medium.", "32.The non-transitory computer-readable medium of claim 26, wherein the operations further comprise selecting wing angles for ones of a plurality of streamer control devices to perform the laterally deflecting.", "33.A system, comprising: a plurality of sensor streamers configured to be towed behind the survey vessel in a body of water; one or more storage element configured to store information that specifies a predetermined geodetic path; survey equipment configured to: determine relative positions at selected points along ones of the sensor streamers with respect to one or more adjacent streamers; determine geodetic position of one or more points along one or more of the sensor streamers; and laterally deflect at least one sensor streamer at a plurality of positions based on the predetermined geodetic path, the relative positions, and the determined geodetic position of the one or more points, such that the at least one sensor streamer follows the predetermined geodetic path.", "34.The system of claim 33, wherein the predetermined geodetic path corresponds to geodetic paths of one or more sensor streamers in a prior survey pass.", "35.The system of claim 33, wherein the survey equipment is configured to determine geodetic position based on global positioning system (GPS) data.", "36.The system of claim 33, wherein the survey equipment is configured to determine relative positions using acoustic ranging by acoustic sensors disposed on ones of the sensor streamers.", "37.The system of claim 33, wherein the survey equipment is configured to: receive sensor data from the plurality of sensor streamers; and store the sensor data on a tangible, computer-readable medium.", "38.The system of claim 33, wherein the survey equipment is configured to: selecting angles for ones of a plurality of streamer control devices to laterally deflect the at least one sensor streamer." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>A method for towing a sensor streamer array in a body of water according to one aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel in the water.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which positions along each streamer substantially follow a geodetic heading of the survey vessel.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which determined positions along each streamer substantially follow a geodetic trajectory of a geophysical energy source towed in the water.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which determined positions along each streamer substantially follow a selected geodetic path.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A lateral deflecting force is applied at selected positions along each streamer.", "The lateral deflecting force at each selected position is proportional to a lateral distance of a forward end of each streamer from a center line of the survey vessel.", "Other aspects and advantages of the invention will be apparent from the following description and the appended claims." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present application is a Continuation-In-Part of U.S. patent application Ser.", "No.", "12/148,610 filed on Apr.", "21, 2008.STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT Not applicable.", "BACKGROUND OF THE INVENTION Field of the Invention The invention relates generally to the field of marine geophysical surveying.", "More particularly, the invention relates to methods for controlling the spatial distribution or geometry of an array of geophysical sensor streamers towed behind a survey vessel.", "Background Art Marine geophysical surveying systems such as seismic acquisition systems and electromagnetic survey systems are used to acquire geophysical data from formations disposed below the bottom of a body of water, such as a lake or the ocean.", "Marine seismic surveying systems, for example, typically include a seismic survey vessel having onboard navigation, seismic energy source control, and geophysical data recording equipment.", "The seismic survey vessel is typically configured to tow one or more (typically a plurality) of laterally spaced apart sensor streamers through the water.", "At selected times, the seismic energy source control equipment causes one or more seismic energy sources (which may be towed in the water by the seismic vessel or by another vessel) to actuate.", "Signals generated by various sensors on the one or more streamers in response to detected seismic energy are ultimately conducted to the recording equipment.", "A record with respect to time is made in the recording system of the signals generated by each sensor (or groups of such sensors).", "The recorded signals are later interpreted to infer the structure and composition of the formations below the bottom of the body of water.", "Corresponding components for inducing electromagnetic fields and detecting electromagnetic phenomena originating in the subsurface in response to such imparted fields may be used in marine electromagnetic geophysical survey systems.", "The one or more sensor streamers are in the most general sense long cables that have geophysical sensors disposed at spaced apart positions along the length of the cables.", "A typical streamer can extend behind the geophysical survey vessel for several kilometers.", "Because of the great length of the typical streamer, the streamer may not travel entirely in a straight line behind the survey vessel at every point along its length due to interaction of the streamer with the water and currents in the water, among other factors.", "Streamers towed by a vessel configured for towing multiple streamers are associated with equipment that maintain the forward ends of the streamers at selected lateral distances from each other and from the centerline of the survey vessel as they are towed through the water.", "Such multiple streamer systems are used in what are known as three dimensional and four dimensional geophysical surveys.", "A four dimensional seismic survey is a three dimensional survey over a same area of the Earth's subsurface repeated at selected times.", "The individual streamers in such systems are affected by the same forces that affect a single streamer.", "The quality of geophysical images of the Earth's subsurface produced from three dimensional surveys is affected by how well the positions of the individual sensors on the streamers are controlled.", "The quality of images generated from the detected signals also depends to an extent on the relative positions of the sensors being maintained throughout the geophysical survey.", "Various devices are known in the art for positioning streamers laterally and/or at a selected depth below the water surface.", "U.S. Pat.", "No.", "5,443,027 issued to Owsley et al., for example, describes a lateral force device for displacing a towed underwater acoustic cable that provides displacement in the horizontal and vertical directions.", "The device has a hollow spool and a rotationally mounted winged fuselage.", "The hollow spool is mounted on a cable with cable elements passing therethrough.", "The winged fuselage is made with the top half relatively positively buoyant and the bottom half relatively negatively buoyant.", "The winged fuselage is mounted about the hollow spool with clearance to allow rotation of the winged fuselage.", "The difference in buoyancy between the upper and lower fuselage maintains the device in the correct operating position.", "Wings on the fuselage are angled to provide lift in the desired direction as the winged fuselage is towed through the water.", "The device disclosed in the Owsley et al.", "patent provides no active control of direction or depth of the streamer, however.", "U.S. Pat.", "No.", "6,011,752 issued to Ambs et al.", "describes a seismic streamer position control module having a body with a first end and a second end and a bore therethrough from the first end to the second end for receiving a seismic streamer therethrough.", "The module has at least one control surface, and at least one recess in which is initially disposed the at least one control surface.", "The at least one control surface is movably connected to the body for movement from and into the at least one recess and for movement, when extended from the body, for attitude adjustment.", "Generally, the device described in the Ambs et al.", "patent is somewhat larger diameter, even when closed, than the streamer to which it is affixed, and such diameter may become an issue when deploying and retrieving streamers from the water.", "U.S. Pat.", "No.", "6,144,342 issued to Bertheas et al.", "describes a method for controlling the navigation of a towed seismic streamer using “birds” affixable to the exterior of the streamer.", "The birds are equipped with variable-incidence wings and are rotatably fixed onto the streamer.", "Through a differential action, the wings allow the birds to be turned about the longitudinal axis of the streamer so that a hydrodynamic force oriented in any given direction about the longitudinal axis of the streamer is obtained.", "Power and control signals are transmitted between the streamer and the bird by rotary transformers.", "The bird is fixed to the streamer by a bore closed by a cover.", "The bird can be detached automatically as the streamer is raised so that the streamer can be wound freely onto a drum.", "The disclosed method purportedly allows the full control of the deformation, immersion and heading of the streamer.", "UK Patent 2,364,388, by Canter et al.", "discloses a method of repeating a marine seismic survey of a sub-surface area that has been previously surveyed.", "U.S. Pat.", "Nos.", "6,932,017; 7,080,607; 7,222,579 and 7,293,520 (all based directly or indirectly on PCT Application PCT/IB99/01590) describe various aspects of a control system for positioning of marine seismic streamers.", "SUMMARY OF THE INVENTION A method for towing a sensor streamer array in a body of water according to one aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel in the water.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which positions along each streamer substantially follow a geodetic heading of the survey vessel.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which determined positions along each streamer substantially follow a geodetic trajectory of a geophysical energy source towed in the water.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A relative position is determined at selected points along each streamer with respect to the vessel.", "At least one streamer is deflected at at least one longitudinal position therealong in response to the determined positions such that the streamers are maintained in a selected geometry in the water, in which determined positions along each streamer substantially follow a selected geodetic path.", "A method for towing a sensor streamer array in a body of water according to another aspect of the invention includes towing a plurality of sensor streamers behind a survey vessel.", "A lateral deflecting force is applied at selected positions along each streamer.", "The lateral deflecting force at each selected position is proportional to a lateral distance of a forward end of each streamer from a center line of the survey vessel.", "Other aspects and advantages of the invention will be apparent from the following description and the appended claims.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 shows an array of seismic streamers each including lateral force and depth control devices for adjusting geometry of the respective streamer.", "FIGS.", "2 through 4 schematically show various examples of controlling array geometry according to the invention.", "DETAILED DESCRIPTION FIG.", "1 shows a typical marine geophysical survey system that can include a plurality of sensor streamers.", "Each of the sensor streamers can be guided through the water by one or more lateral force and depth (“LFD”) control devices cooperatively engaged with each of the streamers.", "As will be explained further below, the use of LFD devices, which provide depth control capability in addition to horizontal position control, is a matter of choice.", "It is only necessary for purposes of the invention that the devices associated with the sensor streamers provide directional control, that is, to affect the direction of the streamer parallel to the plane of the water surface as it moves through the water.", "The geophysical survey system includes a survey vessel 10 that moves along the surface of a body of water 11 such as a lake or the ocean.", "The survey vessel 10 may include thereon equipment, shown generally at 12 and for convenience collectively referred to as a “recording system.” The recording system 12 typically includes devices such as a data recording unit (not shown separately) for making a record with respect to time of signals generated by various sensors in the acquisition system.", "The recording system 12 also typically includes navigation equipment (not shown separately) to determine and record, at selected times, the geodetic position of the vessel 10, and using other devices to be explained below, each of a plurality of geophysical sensors 22 disposed at spaced apart locations on streamers 20 towed by the survey vessel 10.In one example, the device for determining the geodetic position may be a geodetic position signal receiver 12A such as a global positioning system (“GPS”) receiver, shown schematically at 12A.", "Other geodetic position determination devices are known in the art.", "The foregoing elements of the recording system 12 are familiar to those skilled in the art, and with the exception of the geodetic position detecting receiver 12A, are not shown separately in the figures herein for clarity of the illustration.", "The geophysical sensors 22 can be any type of geophysical sensor known in the art.", "Non-limiting examples of such sensors may include particle motion-responsive seismic sensors such as geophones and accelerometers, pressure-responsive seismic sensors, pressure time gradient-responsive seismic sensors, electrodes, magnetometers, temperature sensors or combinations of the foregoing.", "In various implementations of the invention, the geophysical sensors 22 may measure, for example, seismic or electromagnetic field energy primarily reflected from or refracted by various structures in the Earth's subsurface below the bottom of the water 11 in response to energy imparted into the subsurface by an energy source 17.Seismic energy, for example, may originate from a seismic energy source, or an array of such sources, deployed in the water 11 and towed by the survey vessel 10 or by another vessel.", "Electromagnetic energy may be provided by passing electric current through a wire loop or electrode pair (not shown for clarity).", "The energy source (not shown) may be towed in the water 11 by the survey vessel 10 or a different vessel (not shown).", "The recording system 12 may also include energy source control equipment (not shown separately) for selectively operating the energy source 17.In the survey system shown in FIG.", "1, there are four sensor streamers 20 towed by the survey vessel 10.The number of sensor streamers shown in FIG.", "1, however, is only for purposes of explaining the invention and is not a limitation on the number of streamers that may be used in any particular geophysical survey system according to the invention.", "As explained in the Background section herein, in marine geophysical acquisition systems such as shown in FIG.", "1 that include a plurality of laterally spaced apart streamers, the streamers 20 are typically coupled to towing equipment that secures the forward end of each of the streamers 20 at a selected lateral position with respect to adjacent streamers and with respect to the seismic vessel 10.As shown in FIG.", "1, the towing equipment can include two paravane tow ropes 8 each coupled to the vessel 10 at one end through a winch 19 or similar spooling device that enables changing the deployed length of each paravane tow rope 8.The distal end of each paravane tow rope 8 is functionally coupled to a paravane 14.The paravanes 14 are each shaped to provide a lateral component of motion to the various towing components deployed in the water 11 when the paravanes 14 are moved through the water 11.“Lateral” in the present context means transverse to the direction of motion of the survey vessel 10 in the water 11.The lateral motion component of each paravane 14 is opposed to that of the other paravane 14.The combined lateral motion component of the paravanes 14 separates the paravanes 14 from each other until they put into tension one or more spreader ropes or cables 24, functionally coupled end to end between the paravanes 14.The sensor streamers 20 can each be coupled, at the axial end thereof nearest the vessel 10 (the “forward end”), to a respective lead-in cable termination 20A.", "The lead-in cable terminations 20A can be coupled to or associated with the spreader ropes or cables 24 so as to fix the lateral positions of the streamers 20 with respect to each other and with respect to the centerline of the vessel 10.Electrical and/or optical connection between the appropriate components in the recording system 12 and, ultimately, the geophysical sensors 22 (and/or other circuitry) in the ones of the streamers 20 inward of the lateral edges of the system may be made using inner lead-in cables 18, each of which terminates in a respective lead-in cable termination 20A.", "A lead-in termination 20A is disposed at the forward end of each streamer 20.Corresponding electrical and/or optical connection between the appropriate components of the recording system 12 and the sensors 22 in the laterally outermost streamers 20 may be made through respective lead-in terminations 20A, using outermost lead-in cables 16.Each of the inner lead-in cables 18 and outermost lead-in cables 16 may be deployed by a respective winch 19 or similar spooling device such that the deployed length of each cable 16, 18 can be changed.", "The type of towing equipment coupled to the forward end of each streamer shown in FIG.", "1 is only intended to illustrate a type of equipment that can tow an array of laterally spaced apart streamers in the water.", "Other towing structures may be used in other examples of geophysical acquisition system according to the invention.", "The acquisition system shown in FIG.", "1 can also include a plurality of lateral force and depth (“LFD”) control devices 26 cooperatively engaged with each of the streamers 20 at selected positions along each streamer 20.Each LFD control device 26 can include one or more rotatable control surfaces (not shown separately) that when moved to a selected rotary orientation with respect to the direction of movement of such surfaces through the water 11 creates a hydrodynamic lift in a selected direction to urge the streamer 20 in any selected direction upward or downward in the water 11 or laterally along the water surface with respect to the direction of motion of the vessel 10.Thus, such LFD control devices 26 can be used to maintain the streamers in a selected geometric arrangement.", "A non-limiting example of LFD device that may be used in some examples is described in U.S. Patent Application Publication No.", "2008/0008033 by Fossum et al.", "The particular configuration of the LFD devices 26, however, is not a limit on the scope of the present invention.", "As previously explained, for purposes of the present invention it is only necessary for any devices used as the LFD devices 26 be able to apply a selectable lateral force to the associated streamers 20.Depth control of the streamers 20 may be provided passively, such as by providing the streamers 20 with a selected overall specific gravity, or by separate depth control devices (not shown).", "Therefore, any reference to “depth” control as provided by the LFD devices 26 is only intended to cover the present example implementation, such as using the device shown in the Fossum et al.", "'033 patent application publication referred to above.", "Any reference to active depth control of the streamers 20 is not a limit on the scope of the present invention.", "For purposes of defining the scope of the invention, therefore, the LFD devices 26 need only perform the function of “lateral force” control devices, and the inclusion of depth control as a part of the function of the LFD devices 26 explained herein is intended to ensure that those of ordinary skill in the art understand that the use of the example LFD devices 26 disclosed herein, and any other similar examples, are within the scope of the present invention.", "In a particular implementation of the invention, a position determination device may be associated with each LFD device 26.In one example, the position determination device may be an acoustic range sensing device (“ARD”) 26A.", "Such ARDs typically include an ultrasonic transceiver or transmitter and electronic circuitry configured to cause the transceiver to emit pulses of acoustic energy.", "Travel time of the acoustic energy between a transmitter and a receiver disposed at a spaced apart position such as along the same streamer and/or on a different streamer, is related to the distance between the transmitter and a receiver, and the acoustic velocity of the water.", "The acoustic velocity can be assumed to not change substantially during a survey, or it can be measured by a device such as a water velocity test cell.", "Alternatively or additionally, acoustic range sensing devices (“ARDs”) may be disposed at selected positions along each one of the streamers not collocated with the LFD devices 26.Such ARDs are shown at 23 in FIG.", "1.Each of the ARDs 26A, 23 may be in signal communication with the recording system 12 such that at any moment in time the distance between any two ARDs 26A, 23 on any of the streamers 20 is determinable.", "One or more ARDs may be placed at selected positions proximate the aft end of the vessel 10 so that relative distances between the selected positions on the vessel 10 and any of the ARDs on the streamers may also be determined.", "A non-limiting example of an ARD and system used with such ARDs is described in U.S. Pat.", "No.", "7,376,045 issued to Falkenberg et al.", "and assigned to the assignee of the present invention.", "The streamers 20 may additionally or alternatively include a plurality of heading sensors 29 disposed at spaced apart positions along each streamer 20.The heading sensors 29 may be geomagnetic direction sensors such as magnetic compass devices affixed to the exterior of the streamer 20.One type of compass device is described in U.S. Pat.", "No.", "4,481,611 issued to Burrage and incorporated herein by reference.", "The heading sensors 29 provide a signal indicative of the heading (direction with respect to magnetic north) of the streamer 20 at the axial position of the heading sensor 29 along the respective streamer.", "Measurements of such heading at spaced apart locations along each streamer may be used to interpolate the geometry (spatial distribution) of each streamer.", "Each streamer 20 may include at the distal end thereof a tail buoy 25.The tail buoy 25 may include, among other sensing devices, a geodetic position signal receiver 25A such as a GPS receiver that can determine the geodetic position of each tail buoy 25.The geodetic position receiver 25A in each tail buoy 25 may be in signal communication with the recording system 12.By determining the distance between ARDs 26A, 23, including the one or more ARDs on the vessel 10, and/or by interpolating the spatial distribution of the streamers from the heading sensor 29 measurements, an estimate of the geometry of each streamer 20 may be made.", "Collectively, the geometry of the streamers 20 may be referred to as the “array geometry.” For purposes of defining the scope of the present invention, the various position measurement components described above, including those from the heading sensors 29, from the ARDs 26A, 23, and, if used, from the additional geodetic position receivers 25A in the tail buoys 25, may be used individually or in any combination.", "The ARDs and heading sensors may be referred to for convenience in defining the invention as “relative position determination” sensors.", "By determining relative positions at each point along each streamer with reference to a selected point on the survey vessel or the energy source, is it possible to determine the geodetic position of each such streamer point if the geodetic position of the vessel or the energy source is determined.", "As explained above, the navigation portion of the recording system 12 may include a GPS receiver or any other geodetic location receiver 12A.", "In some examples, the energy source 17 may also include a geodetic position location receiver 17A such as a GPS receiver.", "A particular example of a system for determining relative positions of the streamers using acoustic signals is described in the Falkenberg et al.", "patent referred to above.", "During operation of the geophysical acquisition system shown in FIG.", "1, it may be desirable to adjust portions of the streamers 20 laterally in order to maintain a predetermined array geometry during geophysical surveying.", "The recording system 12 may be configured to send suitable control signals to each of the LFD devices 26 to move associated portions of each streamer 20 laterally.", "Such lateral motion may be selected so that each point along each streamer is located at a predetermined relative position at any moment in time.", "The relative positions may be referenced to the position of either the survey vessel 10 or the energy source 17.Examples of various array geometry control modes according to the invention are provided below.", "During operation of the acquisition system shown in FIG.", "1 when used for seismic surveying, for example, it is desirable for the streamers 20 to be arranged as evenly as practicable behind the vessel 10 to avoid “holes” in the survey coverage.", "“Evenly” or “even” in the present context means that the streamers 20 are substantially parallel to each other along their entire length, that there is substantially equal lateral distance between adjacent streamers, and that the streamers extend substantially parallel to a selected direction.", "Deviation from such an even arrangement, as is known in the art, may be caused by rip currents in the body of water 11 and propeller wash from the survey vessel 10, among other causes.", "“Holes” in the coverage is a condition known in the art wherein seismic sensors are disposed more sparsely than would be the case if the geometry of the array were “even” as defined above.", "1.Vessel Heading Follow Mode: Referring to FIG.", "2, an automatic technique to maintain a substantially even array geometry uses the vessel heading (geodetic direction) as a control parameter for adjustment of the array geometry.", "A sensor (not shown), such as a magnetic compass, in or associated with the recording system (12 in FIG.", "1) measures the geodetic heading 42 of the survey vessel 10 and communicates such position to the recording system (12 in FIG.", "1) at selected times according to operational programming of the recording system (12 in FIG.", "1).", "The measurements of geodetic heading 42 may be filtered.", "A method according to the Vessel Heading Follow Mode includes communicating control signals to each LFD device (26 in FIG.", "1) such that the lateral distance of each streamer from each adjacent streamer as measured by the ARDs (23 and 26A in FIG.", "1) is maintained at a selected value, and the streamers 20 are maintained substantially in a selected geometry with respect to the vessel heading direction.", "The selected geometry may be a straight line that is parallel to the direction of the vessel heading.", "However, the selected geometry may be a substantially straight line at an angle to the vessel heading direction.", "The selected geometry may be a streamer configuration in which the streamers are maintained in a configuration other than a straight line.", "In the present example, the recording system may generate control signals for each LFD device such that the lateral distance 30A from the centerline 48 of the vessel measured by each of the ARDs is maintained at a selected value along the length of each streamer 20 and the geodetic position of any location along the streamers 20 follows the vessel heading trajectory.", "The vessel heading 42 may differ from the vessel trajectory 40 because of the direction and magnitude of current flow 44 in the water 11.It is believed the vessel heading follow mode may have advantages under particular environmental conditions, such as when a current flow 44 in the water transverse to the towing direction varies in magnitude along the towing direction.", "An example of such transverse current would be found in an ocean lake or bay proximate the mouth of a river.", "Other examples will occur to those skilled in the art.", "2.Source Trajectory Follow Mode: In another example, the trajectory 46 of the energy source (17 in FIG.", "1) may be used as the reference for controlling the array geometry.", "Referring to FIG.", "3, an automatic technique to maintain a substantially even array geometry uses the source trajectory (geodetic direction) 46 as a control parameter for adjustment of the array geometry.", "A filtered value of the source trajectory 46 is used as a reference to define the selected travel path for each streamer 20.The source trajectory 46 may be calculated in the navigation portion of the recording system.", "Such calculation may include making a record with respect to time of the geodetic position of the source 17 within selected time intervals.", "The calculation programming instructions may include a smoothing filter for the record of source position with respect to time.", "In the present example, the recording system may generate control signals for each LFD device such that the lateral distance 30 with respect to the source trajectory 46 measured by each of the ARDs is maintained at a selected value and/or the geodetic trajectory of any location along the streamers 20 follows the source trajectory.", "A method according to the Source Trajectory Follow Mode includes communicating control signals to each LFD device (26 in FIG.", "1) such that the lateral distance of each streamer from each adjacent streamer as measured by the ARDs (23 and 26A in FIG.", "1) is maintained in a selected geometry with respect to the source trajectory direction.", "The selected geometry may be substantially a straight line that is parallel to the vessel trajectory direction.", "However, the selected geometry may be a substantially straight line at an angle to the vessel trajectory direction.", "The selected geometry may be a streamer configuration in which the streamers are maintained in a configuration other than a straight line.", "3.Preplot Follow Mode: A seismic survey “preplot” line (a geodetic path defined by the subsurface area being investigated by the seismic survey) can also be used as a control variable for the selected travel path of each streamer.", "In the preplot follow mode, reference to the geodetic position and/or heading of the vessel or the source may be omitted.", "The array geometry may be configured to move the geophysical sensors along a path based only on the geodetic path intended to be followed by the sensors in making measurements of the subsurface.", "In one example, a preplot survey path may be predetermined for a geophysical survey of an area of the subsurface, and for subsequent surveys conducted over the same area, the same preplot survey path may be utilized for conducting the subsequent geophysical surveys.", "In another example, subsequent geophysical surveys may be conducted over substantially the same area of the subsurface as a previous survey, and the recorded locations of the sensors from the previous survey are utilized for guidance in selecting the travel path for each streamer.", "The preplot follow mode may have advantages in “4D” seismic surveying, wherein a survey is repeatedly conducted over a same area of the subsurface at selected times.", "As is known in the art, the accuracy of such 4D surveys may be increased by causing the geophysical sensors to as closely as possible follow a same geodetic path each time a survey is conducted.", "The preplot follow mode may improve the capability of the sensor array to make such repeated travel paths.", "The preplot follow mode may be performed using geodetic position signals detected, for example, using the geodetic position signal receivers (25A in FIG.", "1) on the tail buoys (25 in FIG.", "1) to determine geodetic position of at least one point in the array.", "Relative positions between the sensors in the array may be determined as explained above using ARDs (23 and 26A in FIG.", "1).", "Geodetic heading or direction of the streamers may be determined, for example, using the heading sensors (29 in FIG.", "1) as explained above.", "The LFDs (26 in FIG.", "1) may be operated such that the streamers follow a selected geodetic path substantially irrespective of the vessel position and the vessel heading.", "It will be appreciated by those skilled in the art that the possible range of array geometry may be limited by the lengths of the various towing components and the offset of the vessel trajectory from the centerline of the predetermined array travel path, however the preplot follow mode may be used to provide more consistent survey results between successive surveys of the same subsurface geodetic area than methods that control array geometry only by reference to the vessel trajectory or heading.", "4.Proportional Force Separation Mode: During deployment and retrieval of the streamers from the vessel, and during periods of severe weather, the focus of the steering of seismic streamers is not on subsurface coverage, but on increasing the safety of the streamers from entanglement with each other.", "One way to obtain a high degree of safety is to apply a selected lateral force (or constant LFD steering element deflection angles) on each streamer in a direction outward from the centerline of the vessel, with the largest lateral force being applied on the laterally outermost streamers, and with a linear or other proportional relationship between the lateral force being applied on each streamer and the lateral distance from the centerline of the vessel of each respective streamer.", "As an example, for a 10 streamer array, the rightmost streamer (designated streamer 1) could apply a 400N (or, for example, a 15 degree “wing angle” on the LFD device) lateral force outward from the centerline of the vessel, and lateral outward forces of 320N, 240N, 160N and 80N, respectively, on streamers 2, 3, 4 and 5.The same lateral force values could be applied for streamers 6 through 10, but in the opposite direction from the centerline of the vessel.", "The foregoing steering principle is illustrated in FIG.", "4, where lateral force F1 and F3 are smaller than corresponding lateral forces F2 and F4.The term “proportional” as used herein is intended to mean a substantially monotonically increasing force with respect to lateral distance from the centerline of the vessel and is not limited to a linear or other directly proportional relationship.", "By providing such lateral outward forces on each streamer, a sufficient lateral separation of the streamers can be achieved even without the need for high quality position information, or even with no positioning information, whether relative or absolute.", "To further increase the safety of deployment and retrieval operations, the depth of each streamer could be related to lateral distance from the centerline of the vessel, such that separation of the streamers may be maintained in two planes.", "It might sometimes be desirable to steer all devices in one direction.", "The principle then is as above, but with the outmost streamer on one side applying a large force and with a reduction of force for every streamer until zero or a small force is applied on the outermost streamer on the other side.", "The main principle of such mode is that an individually selected, constant force is applied to the steering devices to optimize streamer control.", "Methods for operating LFD devices and controlling geometry of a sensor array according to the various aspects of the invention may provide more even coverage in marine geophysical surveying, may provide more accurate positioning of geophysical sensors, and may improve safety of the array in hostile environments.", "While the invention has been described with respect to a limited number of embodiments, those skilled in the art, having benefit of this disclosure, will appreciate that other embodiments can be devised which do not depart from the scope of the invention as disclosed herein.", "Accordingly, the scope of the invention should be limited only by the attached claims." ] ]
Patent_15875547
[ [ "MAGNETIC MEMORY", "A magnetic memory of an embodiment includes: a first terminal to third terminals; a first nonmagnetic layer, which is conductive, including a first portion, a second portion, and a third portion, the first portion being disposed between the second portion and the third portion, the second portion being electrically connected to the first terminal, and the third portion being electrically connected to the second terminal; a first magnetoresistive element including a first magnetic layer electrically connected to the third terminal, a second magnetic layer disposed between the first magnetic layer and the first portion, and a second nonmagnetic layer disposed between the first magnetic layer and the second magnetic layer; and a first layer at least disposed between the first portion and the second magnetic layer, and including at least one of Mg, Al, Si, Hf, or a rare earth element, and at least one of oxygen or nitrogen." ], [ "1.A magnetic memory comprising: a first terminal, a second terminal, a third terminal and a fourth terminal; a first nonmagnetic layer, which is conductive, including a first portion, a second portion, a third portion, and a fourth portion, the first portion being disposed between the second portion and the third portion, the fourth portion being disposed between the first portion and the second portion, the second portion being electrically connected to the first terminal, and the third portion being electrically connected to the second terminal; a first magnetoresistive element disposed corresponding to the first portion, and including a first magnetic layer electrically connected to the third terminal, a second magnetic layer disposed between the first magnetic layer and the first portion, and a second nonmagnetic layer disposed between the first magnetic layer and the second magnetic layer; a second magnetoresistive element disposed corresponding to the fourth portion, and including a third magnetic layer electrically connected to the fourth terminal, a fourth magnetic layer disposed between the third magnetic layer and the fourth portion, and a third nonmagnetic layer disposed between the third magnetic layer and the fourth magnetic layer; a first layer at least disposed between the first portion and the second magnetic layer, the first layer including at least one of Mg, Al, Si, Hf, or a rare earth element, and the first layer further including at least one of oxygen or nitrogen; and a second layer at least disposed between the fourth portion and the fourth magnetic layer, the second layer including at least one of Mg, Al, Si, Hf, or a rare earth element, and the second layer further including at least one of oxygen or nitrogen.", "2.The memory according to claim 1, wherein the first layer and the second layer are connected to each other.", "3.The memory according to claim 1, wherein the first layer and the second layer are separated from each other.", "4.The memory according to claim 1, further comprising: a circuit configured to apply a first potential to the third and fourth terminals, to flow a first write current between the first terminal and the second terminal, to apply a second potential to the third or the fourth terminal that is connected to one of the first or the second magnetoresistive element to which data is to be written, and to flow a second write current in a direction opposite to a direction of the first write current between the first terminal and the second terminal.", "5.The memory according to claim 1, further comprising: a circuit configured to apply a first potential to the third terminal and a second potential that is different from the first potential to the fourth terminal and to flow a first write current between the first terminal and the second terminal, and to apply the second potential to the third terminal and the first potential to the fourth terminal and to flow a second write current in a direction opposite to a direction of the first write current between the first terminal and the second terminal.", "6.The memory according to claim 1, wherein the second magnetic layer includes a fifth magnetic layer, a sixth magnetic layer disposed between the fifth magnetic layer and the first layer, and a fourth nonmagnetic layer disposed between the fifth magnetic layer and the sixth magnetic layer.", "7.The memory according to claim 1, wherein the first nonmagnetic layer includes: Cu-Bi or at least one of Ta, W, Re, Os, Ir, Pt, Au, or Ag.", "8.The memory according to claim 1, further comprising a first switching element electrically connected to the third terminal, a second switching element electrically connected to the fourth terminal, and a third switching element electrically connected to the second terminal.", "9.A magnetic memory, comprising: a first terminal, a second terminal, and a third terminal; a first nonmagnetic layer, which is conductive, including a first portion, a second portion, and a third portion, the first portion being disposed between the second portion and the third portion, the second portion being electrically connected to the first terminal, and the third portion being electrically connected to the second terminal; a first magnetoresistive element including a first magnetic layer electrically connected to the third terminal, a second magnetic layer disposed between the first magnetic layer and the first portion, and a second nonmagnetic layer disposed between the first magnetic layer and the second magnetic layer; and a first layer at least disposed between the first portion and the second magnetic layer, the first layer including at least one of Mg, Al, Si, Hf, or a rare earth element, and the first layer further including at least one of oxygen or nitrogen, wherein an area of a surface of the first layer facing the first nonmagnetic layer is greater than an area of a surface of the second magnetic layer facing the first layer.", "10.The memory according to claim 9, wherein the second magnetic layer includes a third magnetic layer, a fourth magnetic layer disposed between the third magnetic layer and the first layer, and a third nonmagnetic layer disposed between the third magnetic layer and the fourth magnetic layer.", "11.The memory according to claim 9, wherein the first nonmagnetic layer includes: Cu-Bi or at least one of Ta, W, Re, Os, Ir, Pt, Au, or Ag.", "12.The memory according to claim 9, further comprising a first switching element electrically connected to the third terminal, and a second switching element electrically connected to the second terminal." ], [ "<SOH> BACKGROUND <EOH>Recently, research and development of magnetic memories employing write methods using spin orbit torque or spin Hall effect is being actively performed.", "The spin Hall effect is a phenomenon caused by a current flowing through a nonmagnetic layer.", "Due to the influence of the current, electrons having a spin angular momentum (“spin”) are diffused in one direction and electrons having a spin angular momentum in a direction opposite to the one direction are diffused in the opposite direction to cause a spin current Is that flows in a direction perpendicular to the direction in which the current flowing through the nonmagnetic layer.", "As a result, opposite spins are accumulated around opposite interfaces of the nonmagnetic layer.", "A magnetic tunnel junction (MTJ) element includes a first magnetic layer (“reference layer”) in which the magnetization direction is fixed, a second magnetic layer (“storage layer”) in which the magnetization direction is changeable, and a nonmagnetic insulating layer disposed between the first magnetic layer and the second magnetic layer.", "If the second magnetic layer (storage layer) of the MTJ element is disposed on the aforementioned nonmagnetic layer, and a current is caused to flow through the nonmagnetic layer to generate a spin current in the nonmagnetic layer, the magnetization direction of the storage layer may be switched by the spin orbit torque (SOT) applied to the storage layer by means of the spin current generated in the nonmagnetic layer and the electrons with a spin accumulated near the MTJ element.", "A magnetic random access memory (MRAM) to which data is written by using the spin orbit torque or spin Hall effect is called “SOT-MRAM.” Data is read from the SOT-MRAM using a magnetoresistive effect (MR effect) of the MTJ element, by causing a read current to flow between the reference layer and the nonmagnetic layer.", "An MRAM called STT-MRAM is also known, to which data is written by causing a write current to flow between the storage layer and the reference layer of the MTJ element to apply a spin transfer torque (STT) to the storage layer.", "Data is read from the STT-MRAM in the same manner as in the write operation, by causing a read current to flow between the storage layer and the reference layer.", "Thus, the read current path and the write current path are the same in the STT-MRAM.", "This increases the variation in device characteristics as the device size is decreased.", "Therefore, it is difficult to secure the margin in each of the read current, the write current, the current flowing through the transistor connected to the MTJ element, and the breakdown current of the nonmagnetic insulating layer of the MTJ element by suppressing the variation in each current.", "In contrast, the margin with respect to the variation of each current is greater in the SOT-MRAM since the read current path is different from the write current path.", "Therefore, the variation in each of the read current, the transistor current, and the breakdown current of the nonmagnetic insulating layer of the MTJ element may be controlled in a manner from the control of the variation in each the write current, the transistor current, and the electromigration current to the nonmagnetic layer.", "Thus, if the MTJ elements acting as the memory elements are miniaturized (to increase the capacity), the margin with respect to the variation in each current is considerably greater than that of the STT-MRAM.", "However, at present, the write efficiency of the SOT-MRAM is inferior to that of the STT-MRAM." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1 is a perspective view of an example of a memory cell of a SOT-MRAM.", "FIG.", "2 is a perspective view of an example of a memory cell of a STT-MRAM.", "FIG.", "3 is a photograph used for explaining a problem of the memory cell of the SOT-MRAM.", "FIG.", "4 is a graph showing the dependency of the spin Hall angle on the thickness of conductive layer.", "FIG.", "5 is a graph showing the dependency of the variation in coercive force on the thickness of storage layer in an MTJ element.", "FIG.", "6A is a perspective view showing a magnetic memory according to a first embodiment.", "FIG.", "6B is a perspective view showing a magnetic memory according to a first modification of the first embodiment.", "FIG.", "7A is a perspective view of a magnetic memory according to a second modification of the first embodiment.", "FIG.", "7B is a perspective view of a magnetic memory according to a third modification of the first embodiment.", "FIG.", "8 is a cross-sectional view of a storage layer or reference layer including a multilayer structure.", "FIG.", "9 is a perspective view of a magnetic memory according to a second embodiment.", "FIG.", "10 is a perspective view of a magnetic memory according to a modification of the second embodiment.", "FIG.", "11 is a diagram showing a result of measurement of saturation magnetization Ms of a magnetic memory according to a first example.", "FIG.", "12 is a diagram showing a result of measurement of coercive force Hc of the magnetic memory according to the first example.", "FIG.", "13 is a diagram showing a result of evaluation of write current of a magnetic memory according to a second example.", "FIG.", "14 is a diagram showing a result of measurement of write current of the magnetic memory according to the second example.", "FIG.", "15 is a diagram showing the dependency of the write current on the thickness of the layer 15 in a magnetic memory according to a third example.", "FIG.", "16 is a diagram showing the magnetization switching characteristics of a magnetic memory according to a fourth example.", "FIG.", "17 is a diagram showing the relationship between the voltage applied to an MTJ element and the value of a current caused to flow through the conductive layer, for which the magnetization switching is observed, in the magnetic memory according to the fourth example.", "FIG.", "18 is a circuit diagram of a magnetic memory according to a third embodiment.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application is a divisional application of U.S. application Ser.", "No.", "15/445,475, filed on Feb. 28, 2017, which is based upon and claims the benefit of priority from prior Japanese Patent Application No.", "2016-153898 filed on Aug. 04, 2016 in Japan, the entire contents of which are incorporated herein by reference.", "FIELD Embodiments described herein relate generally to magnetic memories.", "BACKGROUND Recently, research and development of magnetic memories employing write methods using spin orbit torque or spin Hall effect is being actively performed.", "The spin Hall effect is a phenomenon caused by a current flowing through a nonmagnetic layer.", "Due to the influence of the current, electrons having a spin angular momentum (“spin”) are diffused in one direction and electrons having a spin angular momentum in a direction opposite to the one direction are diffused in the opposite direction to cause a spin current Is that flows in a direction perpendicular to the direction in which the current flowing through the nonmagnetic layer.", "As a result, opposite spins are accumulated around opposite interfaces of the nonmagnetic layer.", "A magnetic tunnel junction (MTJ) element includes a first magnetic layer (“reference layer”) in which the magnetization direction is fixed, a second magnetic layer (“storage layer”) in which the magnetization direction is changeable, and a nonmagnetic insulating layer disposed between the first magnetic layer and the second magnetic layer.", "If the second magnetic layer (storage layer) of the MTJ element is disposed on the aforementioned nonmagnetic layer, and a current is caused to flow through the nonmagnetic layer to generate a spin current in the nonmagnetic layer, the magnetization direction of the storage layer may be switched by the spin orbit torque (SOT) applied to the storage layer by means of the spin current generated in the nonmagnetic layer and the electrons with a spin accumulated near the MTJ element.", "A magnetic random access memory (MRAM) to which data is written by using the spin orbit torque or spin Hall effect is called “SOT-MRAM.” Data is read from the SOT-MRAM using a magnetoresistive effect (MR effect) of the MTJ element, by causing a read current to flow between the reference layer and the nonmagnetic layer.", "An MRAM called STT-MRAM is also known, to which data is written by causing a write current to flow between the storage layer and the reference layer of the MTJ element to apply a spin transfer torque (STT) to the storage layer.", "Data is read from the STT-MRAM in the same manner as in the write operation, by causing a read current to flow between the storage layer and the reference layer.", "Thus, the read current path and the write current path are the same in the STT-MRAM.", "This increases the variation in device characteristics as the device size is decreased.", "Therefore, it is difficult to secure the margin in each of the read current, the write current, the current flowing through the transistor connected to the MTJ element, and the breakdown current of the nonmagnetic insulating layer of the MTJ element by suppressing the variation in each current.", "In contrast, the margin with respect to the variation of each current is greater in the SOT-MRAM since the read current path is different from the write current path.", "Therefore, the variation in each of the read current, the transistor current, and the breakdown current of the nonmagnetic insulating layer of the MTJ element may be controlled in a manner from the control of the variation in each the write current, the transistor current, and the electromigration current to the nonmagnetic layer.", "Thus, if the MTJ elements acting as the memory elements are miniaturized (to increase the capacity), the margin with respect to the variation in each current is considerably greater than that of the STT-MRAM.", "However, at present, the write efficiency of the SOT-MRAM is inferior to that of the STT-MRAM.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a perspective view of an example of a memory cell of a SOT-MRAM.", "FIG.", "2 is a perspective view of an example of a memory cell of a STT-MRAM.", "FIG.", "3 is a photograph used for explaining a problem of the memory cell of the SOT-MRAM.", "FIG.", "4 is a graph showing the dependency of the spin Hall angle on the thickness of conductive layer.", "FIG.", "5 is a graph showing the dependency of the variation in coercive force on the thickness of storage layer in an MTJ element.", "FIG.", "6A is a perspective view showing a magnetic memory according to a first embodiment.", "FIG.", "6B is a perspective view showing a magnetic memory according to a first modification of the first embodiment.", "FIG.", "7A is a perspective view of a magnetic memory according to a second modification of the first embodiment.", "FIG.", "7B is a perspective view of a magnetic memory according to a third modification of the first embodiment.", "FIG.", "8 is a cross-sectional view of a storage layer or reference layer including a multilayer structure.", "FIG.", "9 is a perspective view of a magnetic memory according to a second embodiment.", "FIG.", "10 is a perspective view of a magnetic memory according to a modification of the second embodiment.", "FIG.", "11 is a diagram showing a result of measurement of saturation magnetization Ms of a magnetic memory according to a first example.", "FIG.", "12 is a diagram showing a result of measurement of coercive force Hc of the magnetic memory according to the first example.", "FIG.", "13 is a diagram showing a result of evaluation of write current of a magnetic memory according to a second example.", "FIG.", "14 is a diagram showing a result of measurement of write current of the magnetic memory according to the second example.", "FIG.", "15 is a diagram showing the dependency of the write current on the thickness of the layer 15 in a magnetic memory according to a third example.", "FIG.", "16 is a diagram showing the magnetization switching characteristics of a magnetic memory according to a fourth example.", "FIG.", "17 is a diagram showing the relationship between the voltage applied to an MTJ element and the value of a current caused to flow through the conductive layer, for which the magnetization switching is observed, in the magnetic memory according to the fourth example.", "FIG.", "18 is a circuit diagram of a magnetic memory according to a third embodiment.", "DETAILED DESCRIPTION A magnetic memory according to an embodiment includes: a first terminal, a second terminal, and a third terminal; a first nonmagnetic layer, which is conductive, including a first portion, a second portion, and a third portion, the first portion being disposed between the second portion and the third portion, the second portion being electrically connected to the first terminal, and the third portion being electrically connected to the second terminal; a first magnetoresistive element including a first magnetic layer electrically connected to the third terminal, a second magnetic layer disposed between the first magnetic layer and the first portion, and a second nonmagnetic layer disposed between the first magnetic layer and the second magnetic layer; and a first layer at least disposed between the first portion and the second magnetic layer, the first layer including at least one of Mg, Al, Si, Hf, or a rare earth element, and the first layer further including at least one of oxygen or nitrogen.", "Before embodiments are described, how the inventors have reached the present invention will be described.", "FIG.", "1 shows an example of a SOT-MRAM memory cell.", "The memory cell includes nonmagnetic conductive layers (hereinafter also referred to as “SO layers”) 12a and 12b, a magnetoresistive element (for example, MTJ element) 20 to act as a memory element disposed on the conductive layer 12a, a switching element 30, and a wiring line 40.The conductive layer 12b is connected to the conductive layer 12a.", "The conductive layer 12a has a terminal 13a, and the conductive layer 12b has a terminal 13b.", "The conductive layer 12b may be eliminated.", "In such a case, the terminal 13b is disposed to the conductive layer 12a, and the MTJ element 20 is disposed in a region of the conductive layer 12a between the terminal 13a and the terminal 13b.", "The conductive layers 12a and 12b are conductive nonmagnetic layers, which generate a spin current when a current flows through them to apply a spin orbit torque (SOT) to a storage layer of the MTJ element.", "Thus, the conductive layers 12a and 12b are conductive nonmagnetic layers for causing spin orbit torque.", "Although a transistor is used as the switching element 30 in FIG.", "1, a switching element other than a transistor may also be used, if it is turned on or off based on a control signal.", "The MTJ element 20 includes a storage layer 21 in which the magnetization direction is changeable, a reference layer 23 in which the magnetization direction is fixed, and a nonmagnetic insulating layer 22 disposed between the storage layer 21 and the reference layer 23.The feature “magnetization direction is changeable” means that the magnetization direction may be changed after a write operation, and the feature “magnetization direction is fixed” means that the magnetization direction is not changed after a write operation.", "The storage layer 21 is connected to the conductive layer 12a, and the reference layer 23 is connected to the wiring line 40.One (“terminal”) of the source and the drain of the transistor 30 is connected to the terminal 13a of the conductive layer 12a.", "The other (“terminal”) of the source and the drain of the transistor 30 and the gate (“control terminal”) are connected to a control circuit that is not shown.", "The terminal 13b of the conductive layer 12b is grounded as shown in FIG.", "1, or connected to the control circuit.", "The control circuit is also connected to the wiring line 40.In this SOT-MRAM, a write operation is performed by causing a write current Iw to flow through the conductive layers 12a and 12b between the terminal 13a and the terminal 13b, by means of the transistor 30, and a read operation is performed by causing a read current Ir to flow through the terminal 13a, the conductive layer 12a, the MTJ element 20, and the wiring line 40, by means of the transistor 30.Thus, as described above, the write current path and the read current path are different from each other.", "FIG.", "2 shows an example of a memory cell of a STT-MRAM.", "The memory cell incudes a wiring line 16, an MTJ element 20, and a wiring line 40.The MTJ element 20 is disposed between the wiring line 16 and the wiring line 40, and includes a storage layer 21, a reference layer 23, and a nonmagnetic insulating layer 22 disposed between the storage layer 21 and the reference layer 23.One of the storage layer 21 and the reference layer 23 is connected to the wiring line 16, and the other is connected to the wiring line 40.In FIG.", "2, the storage layer 21 is connected to the wiring line 16, and the reference layer 23 is connected to the wiring line 40.In the STT-MRAM, a write operation is performed by causing a write current Iw to flow between the wiring line 16 and the wiring line 40 by means of the transistor 30, and a read operation is performed by causing a read current Ir to flow between the wiring line 16 and the wiring line 40 by means of the transistor 30.Thus, the write current path is the same as the read current path.", "As described above, the write efficiency of the SOT-MRAM is inferior to that of the STT-MRAM.", "Therefore, the write efficiency needs to be improved.", "The write efficiency is expressed by dividing Δ(=KV/(kBT)), which is the index of the thermal stability, by Ic, i.e., Δ/Ic, where K is the uniaxial magnetic anisotropy of the storage layer, V is the volume of the storage layer, kB is the Boltzmann constant, T is the absolute temperature of the storage layer, and KV is the height of the energy barrier in cases where the spin in the storage layer and the spin in the reference layer are in the parallel state and the antiparallel state.", "Assuming that the write current needed to change the magnetization direction of the storage layer from parallel to antiparallel relative to the magnetization direction of the reference layer is Ip, and the write current needed to change the magnetization direction of the storage layer from antiparallel to parallel relative to the magnetization direction of the reference layer is Iap, Ic is the mean value of Ip and Iap, i.e., Ic=(Ip+Iap)2.FIG.", "3 is a photograph taken by a transmission electron microscope (TEM), showing a section near an MTJ element of a memory cell of an actually formed SOT-MRAM.", "The MTJ element of the memory cell is formed on a conductive layer (“SO layer”) of Ta having a thickness of 9.7 nm.", "As can be understood from FIG.", "3, in a region which is not immediately below the MTJ element, and in which the conductive layer is in contact with the interlayer insulating film, the surface of the conductive layer is oxidized and the thickness is reduced from 9.7 nm to 5.3 nm.", "This means that the thickness of the oxidized portion of the conductive layer is 4.4 (=9.7−5.3) nm.", "FIG.", "4 shows the dependency of the spin Hall angle ΘSH on the thickness of the conductive layer including a nonmagnetic heavy metal element.", "The conductive layer used for FIG.", "4 is a β-Ta layer.", "The write current density Jc, which is obtained by dividing Ic by the cross-sectional area of the conductive layer, is proportional to the absolute value of the spin Hall angle ΘSH.", "Therefore, if, for example, the thickness tTa of the conductive layer is reduced from 10 nm to 6 nm, the write current mean value Ic decreases to 1/2.8.Accordingly, the thickness of the conductive layer may better be reduced in order to reduce the write current.", "However, as has been explained with reference to FIG.", "3, if the thickness of the conductive layer is reduced to 6 nm, the thickness of the region of the conductive layer other than the MTJ element region becomes 1.6 (=6−4.4) nm.", "This causes the conductive layer to have a high resistance, and to lose the function of an electrode.", "FIG.", "5 shows a result of the measurement of the coercive force Hc of storage layers of CoFeB each included in an MTJ element, the storage layers having a thickness of 1.1 nm, 1.2 nm, 1.4 nm, and 1.6 nm, and formed on a conductive layer of β-Ta.", "As can be understood from FIG.", "5, the coercive force Hc of the storage layer varies in each of the above samples.", "The reason for the variation is as follows.", "An MTJ element including a CoFeB storage layer is generally formed on an amorphous layer.", "Therefore, the CoFeB layer grows as an amorphous layer.", "A nonmagnetic insulating layer of MgO is formed on the CoFeB layer to be (100)-oriented.", "Thereafter, due to the post annealing, CoFeB is uniformly oriented on the MgO(100) crystal surface.", "Therefore, the variation in the coercive force Hc is subtle.", "However, the conductive layer underneath an MTJ element of a SOT-MRAM is a crystalline layer of, for example, β-Ta having a crystalline structure with great spin orbit torque in order to reduce the write current.", "Therefore, the CoFeB layer formed on the conductive layer is not a complete amorphous layer and grows in various directions.", "This leads to the variation in coercive force Hc.", "Another reason for the variation in coercive force Hc is a large absolute value of the magnetization, i.e., saturation magnetization Ms, of CoFeB, which is approximately equal to 1600 emu/cc even after the annealing at a temperature of 300° C. This causes B in CoFeB to be absorbed by β-Ta and diffused to the conductive layer.", "In order to reduce the write current, a material having a large spin Hall angle ΘSH is preferably used to form the conductive layer, as described above.", "Known materials having a large spin Hall angle ΘSH include a metal such as Ta, W, Re, Os, Ir, Pt, Au, or Ag, an alloy containing at least one of the above elements, and an alloy of Cu and a material having 5d electrons that cause great spin orbit scattering such as Cu-Bi.", "It is reported that if a β-W layer is formed in an atmosphere including the noble gas Ar and oxygen, a maximum value at the present stage of the spin Hall angle ΘsH is −0.5 (Nature Comm.", "DOI:10.1038/ncomms10644).", "Next, a problem of the material of the conductive layer will be described.", "If a CoFeB monolayer film is formed on a β-W layer, and the spin Hall angle ΘSH of this layer is evaluated by the ferromagnetic resonance method, ΘSH of −0.5 may be obtained, as described above (Nature Comm.", "DOI:10.1038/ncomms10644).", "However, the characteristics of an MTJ element including a storage layer of CoFeB formed on the β-W layer are considerably degraded, and the MR characteristics of the CoFeB layer are also considerably degraded due to the generation of a nonmagnetic layer (dead layer) in the CoFeB layer after the annealing at a temperature of 300° C. In contrast, the characteristics of an MTJ element formed on a β-Ta layer have no problem.", "It has become apparent that the thickness of the nonmagnetic layer in the CoFeB layer is from 0.2 nm to 0.3 nm or more, and that the MR ratio of the CoFeB layer is reduced from about 200% to less than 50%.", "This is a great problem to be solved in achieving a large-capacity MRAM.", "The inventors of the present invention have studied hard to obtain SOT-MRAMs that are capable of solving the above problem.", "Such SOT-MRAMs will be described in the descriptions of embodiments.", "First Embodiment A magnetic memory according to a first embodiment will be described with reference to FIG.", "6A.", "The magnetic memory according to the first embodiment is a SOT-MRAM including at least one memory cell.", "The memory cell is shown in FIG.", "6A.", "The memory cell 10 includes conductive layers 12a and 12b, a layer 15 disposed on the conductive layer 12a, an MTJ element 20 disposed on the layer 15 on the conductive layer 12a, a switching element 25, and a switching element 30.The conductive layer 12b is connected to the conductive layer 12a.", "The conductive layer 12a has a terminal 13a, and the conductive layer 12b has a terminal 13b.", "The terminals 13a and 13b may be electrically connected to the conductive layers 12a and 12b, respectively.", "The terminals 13a and 13b are used to cause a current to flow through the conductive layers 12a and 12b.", "Although the switching elements 25 and 30 are transistors in FIG.", "6A, they may be switching elements other than transistors as long as they turn on or off based on a control signal.", "In the following descriptions, the switching elements 25 and 30 are transistors.", "The layer 15 is formed of an oxide or nitride containing at least one of Mg, Al, Si, Hf or a rare earth element.", "In other words, the layer 15 may be formed of an oxide or nitride of an alloy containing at least one of the aforementioned elements.", "As used herein, a phrase referring to “at least one of” a list of items refers to any combination of those items, including a single member.", "As an example, “at least one of: a, b, or c” is intended to cover a, b, c, a-b, a-c, b-c, and a-b-c.” The MTJ element 20 includes a storage layer 21 in which the magnetization direction is changeable, a reference layer 23 in which the magnetization direction is fixed, and a nonmagnetic insulating layer 22 disposed between the storage layer 21 and the reference layer 23.The storage layer 21 is connected to the conductive layer 12a via the layer 15, and the reference layer 23 is connected to one of the source and the drain (“terminal”) of the transistor 25.The other of the source and the drain (“terminal”) of the transistor 25 is connected to a control circuit (not shown) via a third terminal 26, and the gate (“control terminal”) is also connected to the control circuit.", "The transistor 25 may be eliminated.", "In such a case, the control circuit controls the voltage applied to the reference layer 23 of the MTJ element 20 via the third terminal 26.The third terminal 26 is used to apply a voltage to and cause a current to flow through the MTJ element 20.One of the source and the drain (“terminal”) of the transistor 30 is connected to the terminal 13a of the conductive layer 12a.", "The other of the source and the drain (“terminal”) and the gate (“control terminal”) of the transistor 30 are connected to a control circuit (not shown).", "The terminal 13b of the conductive layer 12b is grounded as shown in FIG.", "6A or connected to the control circuit.", "A transistor may be disposed between the terminal 13b and the control circuit.", "In the SOT-MRAM, a write operation is performed by applying a voltage to the reference layer 23 of the MTJ element 20 by means of the transistor 25 and causing a write current Iw to flow through the conductive layers 12a and 12b between the terminal 13a and the terminal 13b by means of the transistor 30.When the write current Iw flows through the conductive layer 12a, electrons 14a that are spin-polarized in one of the up spin direction and the down spin direction flow on the top surface side of the conductive layer 12a, and electrons 14b that are spin-polarized in the other of the up spin direction and the down spin direction flow on the lower surface side of the conductive layer 12a.", "This causes a spin current, which applies a spin torque to the storage layer 21 of the MTJ element 20, resulting in the switching of the magnetization direction of the storage layer 21.In the write operation, the voltage may be applied to the reference layer 23 of the MTJ element 20 by means of the transistor 25.The applied voltage changes the uniaxial magnetic anisotropy in the storage layer 21 of the MTJ element 20.This may facilitate the switching of the magnetization direction in the storage layer 21.The transistor 25 may be eliminated and the reference layer 23 of the MTJ element 20 may be electrically connected to a bit line (not shown) via the third terminal 26, as a first modification of the first embodiment shown in FIG.", "6B.", "A read operation is performed by causing a read current Ir (not shown) to flow through the terminal 13a, the conductive layer 12a, the MTJ element 20, and the transistor 25 or the aforementioned bit line by means of the transistor 30.The control circuit includes a write circuit for performing the write operation and a readout circuit for performing the read operation.", "In the first embodiment, the layer 15 is disposed immediately below the MTJ element 20 on the conductive layer 12a.", "If the layer 15 and the MTJ element 20 are projected upon the conductive layer 12a, the area of the layer 15 is greater than the area of the storage layer 21 of the MTJ element 20.Thus, the area of the surface of the layer 15 facing the conductive layer 12a is greater than the area of the surface of the storage layer 21 facing the layer 15.A distance do between the side surface the layer 15 and the side surface of the storage layer 21 crossing the direction in which the write current Iw flows is preferably longer than the spin diffusion length.", "The spin diffusion length of heavy metals is short, from 0.5 nm to several nm, although the actual length may differ for each material.", "With the above-described structure, a more amount of spin may be absorbed from the conductive layer 12a to the storage layer 21.In the magnetic memory according to the first embodiment containing the above-described structure including the layer 15 of an oxide or nitride disposed between the conductive layer 12a and the storage layer 21 of the MTJ element 20, the element diffusion between the storage layer 21 and the conductive layer 12a may be prevented.", "For example, if the storage layer 21 contains boron (B), the boron may be prevented from being diffused into and absorbed by the conductive layer 12a.", "This prevents the generation of a nonmagnetic layer that may eliminate the magnetization in the storage layer 21.Since the generation of the nonmagnetic layer may be prevented, the value of the write current may be reduced, and the variation in coercive force Hc may also be reduced.", "On the other hand, B (boron) needs to be eliminated from CoFeB to improve the magnetoresistance (MR).", "From this viewpoint, the storage layer may preferably have a multilayer structure including a nonmagnetic layer, by stacking a ferromagnetic layer, a nonmagnetic layer, and a ferromagnetic layer in this order.", "An increase in the thickness of the layer 15 leads to a steep increase in the value of the write current.", "Therefore, the thickness of the layer 15 is preferably 1 nm or less, and more preferably 0.9 nm or less.", "The material of the layer 15 is preferably an oxide that may prevent the spin-polarized electrons in the conductive layer 12a of such materials as Ta, W, and Pt.", "Rare earth elements include magnetic elements with f electrons, which do not have an energy band on the Fermi surface, and thus have less spin scattering from the electrical viewpoint.", "Therefore, a preferable result may be obtained if the layer 15 includes an oxide or nitride of a rare earth element.", "On the contrary, it has been revealed that the use of a material of the conductive layer 12a such as an oxide or nitride of Ta or W in the layer 15 may lead to an unfavorable result.", "The layer 15 acts as an etching stopper when the MTJ element 20 is microfabricated.", "The layer 15 may be left on the conductive layer 12a as in a magnetic memory according to a second modification of the first embodiment shown in FIG.", "7A by appropriately adjusting the etching time.", "The thickness of the conductive layer 12a may be decreased to reduce the value of the write current Ic if the layer 15 is left on the conductive layer 12a as in this modification.", "Therefore, the write efficiency may be improved.", "The transistor 25 of the second modification shown in FIG.", "7A may be omitted, and the MTJ element 20 may be electrically connected to a bit line (not shown) as in the first modification shown in FIG.", "6B.", "This is shown in FIG.", "7B which is a perspective view of a magnetic memory according to a third modification of the first embodiment.", "Even if the layer 15 is used as an etching stopper, the thickness of a region of the conductive layer 12a that is not covered by the layer 15 may be reduced as compared to the thickness of the other region that is covered by the layer 15 due to the etching or oxidation.", "In order to prevent an increase in resistance of the conductive layer 12a caused by the decrease in thickness, the difference between the thickness of the region of the conductive layer 12a covered by the layer 15 and the thickness of the region not covered by the layer 15 is preferably 2 nm or less, and more preferably 1 nm or less.", "Thus, the difference between the thickness of the conductive layer 12a immediately below the layer 15 and the thickness in the other region is preferably 2 nm or less, and more preferably 1 nm or less.", "In the first embodiment, the layer 15 is disposed in a region of the conductive layer 12a including the region immediately below the MTJ element 20.Therefore, the conductive layer 12a in the first embodiment may be reduced as in the case of the second modification to reduce the value of the write current Ic, thereby improving the write efficiency.", "While a current is flowing through the conductive layer 12a, electrons with the up spin and electrons with the down spin are separated to the top surface side and the lower surface side of the conductive layer 12a due to the spin Hall effect.", "The spin of the electrons on the storage layer 21 side is absorbed by the storage layer 21, and thus the magnetization switching is achieved.", "The spin is absorbed by the storage layer 21 from not only the region immediately below the MTJ element 20 but also from the region around the MTJ element 20 in which spin is accumulated.", "Therefore, the state shown in FIG.", "3, in which the conductive layer 12a is oxidized in the region around the MTJ element 20, is not preferable to reduce the write current Ic, and to improve the write efficiency.", "The reason why the variation in coercive force Hc is reduced in the first embodiment and its modifications is considered to be that the presence of the layer 15 between the conductive layer 12a and the MTJ element 20 helps the amorphous growth of CoFeB, and prevents a great amount of boron (B) atoms from being diffused into the conductive layer 12a during the post annealing.", "As described above, the first embodiment and its modifications are capable of improving the current density of the write current flowing through the conductive layer 12a, thereby improving the write efficiency.", "Furthermore, the first embodiment and its modifications are also capable of reducing the variation in coercive force Hc.", "Since the layer 15 acts as an etching stopper of the conductive layer 12a, a magnetic memory with a thin conductive layer may be provided.", "The magnetic material of the storage layer 21 and the reference layer 23 of the first embodiment is not limited, and may be a Ni-Fe alloy, a Co-Fe alloy or a Co-Fe-Ni alloy.", "An amorphous material such as (Co, Fe)—(B), (Co, Fe, Ni)—(B), (Co, Fe, Ni)—(B)—(P, Al, Mo, Nb, Mn), or Co—(Zr, Hf, Nb, Ta, Ti) may also be used.", "For example, (Co, Fe, Ni) means that at least one of Co, Fe, or Ni is included in the material.", "Furthermore, (B) means that B may be included or not included.", "The magnetic material of the storage layer 21 and the reference layer 23 may also be a Heusler material such as Co—Fe—Al, Co—Fe—Si, Co—Fe—Al—Si, Co—Mn—Si, or Co—Mn—Fe—Si.", "These layers preferably have a multilayer structure in which a plurality of magnetic layers are stacked, instead of a monolayer structure.", "In this case, for example, a nonmagnetic layer 19 is disposed between magnetic layers 17 and 18 as shown in FIG.", "8, and the magnetic layers 17 and 18 are magnetically coupled over the nonmagnetic layer 19 by, for example, antiferromagnetic coupling or ferromagnetic coupling.", "If the storage layer 21 has in-plane magnetization, the magnetic coupling is preferably antiferromagnetic coupling in order to reduce the influence of the stray magnetic field.", "In particular, the storage layer 21 preferably has a multilayer structure.", "If the magnetization direction (spin) is in parallel with the film plane, the preferable combinations of the multilayer structure include CoFe(B)/Cu/CoFe(B), Fe(CoB)/Cr/Fe(CoB), Mn-based Heusler/MgO/Mn-based Heusler, or a face-centered cubic (fcc) magnetic material/Ru/fcc magnetic material/(Ta, W, Mo)/CoFeB, CoFe/Cr/CoFe/(Ta, N, Mo)/CoFeB, CoFe/Cu/CoFe/(Ta, N, Mo)/CoFeB.", "If the spin is perpendicular to the film plane, preferable combinations include Co(Fe)(B)/Pt/Co(Fe)(B), Co(Fe)(B)/Pd/Co(Fe)(B), Co(Fe)(B)/Ni/Co(Fe)(B), and fcc magnetic material (multilayer film) such as (Co/Pt)n/Ru/(Co/Pt)m/Ru/fcc magnetic material (multilayer film)/(Ta, W, Mo)/CoFeB.", "In the above multilayer film, m and n represent the number of stacked layers.", "For example, (Co/Pt)n means that Co/Pt are stacked n times.", "Instead of Pt, Pd may be used.", "If the fcc magnetic material (multilayer film) is used, an ultrathin (Ta, W, Mo)/CoFeB film is preferably disposed at the interface with the nonmagnetic insulating layer 22.In a magnetic memory including multi-bit memory cells each including a plurality of MTJ elements like a magnetic memory according to a second embodiment that will be described later, the margin of the voltage applied to each MTJ element to cause a current to flow through the conductive layer to switch the spin of the storage layer of the MTJ element may be increased.", "If the polarity of a voltage applied to a plurality of MTJ elements is set to be different from that of a voltage applied to the other MTJ elements in the second embodiment, for example, if a voltage +V is applied to the former and a voltage −V is applied to the latter, and the spin of the storage layers included in the MTJ elements to which the voltage −V is applied is reversed, the margin may further be increased.", "The effect of increasing the margin is obtained by either or both of the change in magnetic anisotropy and the spin transfer torque magnetization switching assisted by the voltage applied to the MTJ element.", "From the viewpoint of power consumption, the change in magnetic anisotropy caused by increasing the resistance of the MTJ element when the voltage is applied is preferable.", "However, this also has a disadvantage that the read speed is decreased.", "On the other hand, if the resistance of the MTJ element is reduced, the contribution of the voltage to the spin transfer torque magnetization switching increases to improve the read speed.", "However, the power consumption is increased as compared to the case where the magnetic anisotropy is changed by applying the voltage.", "Which assistance effect of the voltage, the change in magnetic anisotropy and the spin transfer torque magnetization switching, is used may be selected depending on the memory design, and at which value the resistance of the MTJ element needs to be set.", "The margin can be increased further if the storage layer of each MTJ element has a multilayer structure in the magnetic memory according to the second embodiment.", "The reference layer 23 preferably has one-directional anisotropy, and the storage layer 21 preferably has uniaxial anisotropy.", "The thickness of these layers is preferably from 0.1 nm to 100 nm.", "Since these magnetic layers should not be superparamagnetic, the thickness is more preferably 0.4 nm or more.", "A nonmagnetic element such as Ag (silver), Cu (copper), Au (gold), Al (aluminum), Mg (magnesium), Si (silicon), Bi (bismuth), Ta (tantalum), B (boron), C (carbon), O (oxygen), N (nitrogen), Pd (palladium), Pt (platinum), Zr (zirconium), Ir (iridium), W (tungsten), Mo (molybdenum), or Nb (niobium) may be added to the magnetic material of these layers to adjust the magnetic characteristics, the crystallinity, the mechanical characteristics, and the chemical characteristics.", "The magnetic layer that is close to the nonmagnetic insulating layer 22 is preferably formed of such materials as Co-Fe, Co-Fe-Ni, Fe-rich Ni-Fe which have a large MR (magnetoresistance), and the magnetic layer that is not in contact with the nonmagnetic insulating layer 22 is preferably formed of Ni-rich Ni-Fe or Ni-rich Ni-Fe-Co to adjust the switching magnetic field with the large MR being maintained.", "The material of the nonmagnetic insulating layer 22 is preferably an oxide such as AIOx, MgO, and Mg-AlOx.", "The material of the conductive layer 12a is preferably a metal including a nonmagnetic heavy metal element with one or more outer shell electrons that are 5d or greater electrons.", "For example, the material is preferably a metal selected from Ta, W, Re, Os, Ir, Pt, Au, and Ag, an alloy containing at least one of the above metals, or Cu-Bi.", "The conductive layer 12a may have a multilayer structure including two or more layers.", "In this case, the electric resistance of a layer that is close to the storage layer is preferably low.", "Since the low electric resistance increases the amount of current flowing immediately below the MTJ element, the write current may become lower than that in the case where the electric resistance of the layer close to the storage layer is high.", "If the conductive layer 12a includes two layers, the layer that is more distant from the storage layer may include at least one of Hf, Al, Mg, or Ti, and B besides the above elements.", "The layer that is closer to the storage layer preferably includes a metal selected from Ta, W, Re, Os, Ir, Pt, Au, and Ag, an alloy containing at least one of the above metals, or Cu-Bi.", "The material of the layer 15 is preferably selected from Mg, Al, Si, and Hf, or a rare earth element, or an oxide or nitride of an alloy of the above elements.", "More specifically, the layer 15 is preferably formed of a material such as magnesium oxide (MgO), aluminum nitride (AIN), aluminum oxide (AlOx), silicon nitride (SiN), silicon oxide (SiOx), hafnium oxide (HfOx), and an oxide or nitride of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.", "In the above chemical formula, “x” represents the composition ratio.", "The compositions of the above materials do not need to be completely accurate from the stoichiometric point of view, but may lack or additionally include, for example, oxygen or nitrogen.", "Thus, the layer 15 includes at least one of Mg, Al, Si, Hf, or a rare earth element, and at least one of oxygen or nitrogen.", "The thickness of the nonmagnetic insulating layer 22 is preferably thin enough to allow a tunneling current to flow.", "However, if the coercive force (i.e., the magnetic anisotropy) of the storage layer of the MTJ element needs to be changed by means of the voltage as in the second embodiment that will be described later, the sheet resistance RA should not be too low, and is preferably a few tens Ωμm2 to a few thousands KΩμm2.In this case, if the sheet resistance is a few thousands KΩμm2, the magnetization switching in the storage layer is mainly caused by the voltage control and the write operation through the conductive layer, and if the sheet resistance is a few tens Ωμm2, the magnetization switching of the storage layer is mainly caused by a combination of the voltage control, the SOT write operation and the STT write operation.", "The material of the reference layer 23 is not particularly limited, as long as the magnetization of this layer is stably fixed in one direction.", "In order to fix the magnetization of the magnetic layer in one direction, a multilayer structure including a plurality of stacked magnetic layers is used.", "More specifically, multilayer structures such as Co(Co-Fe)/Ru (ruthenium)/Co(Co—Fe), Co(Co-Fe)/Rh (rhodium)/Co(Co—Fe), Co(Co—Fe)/Ir (iridium)/Co(Co—Fe), Co(Co—Fe)/Os (osmium)/Co(Co—Fe), Co(Co—Fe)/Re (rhenium)/Co(Co—Fe), amorphous material such as Co—Fe—B/Ru (ruthenium)/Co—Fe, amorphous material such as Co—Fe—B/Ir (iridium)/Co—Fe, amorphous material such as Co—Fe—B/Os (osmium)/ Co—Fe, or amorphous material such as Co—Fe—B/Re (rhenium)/Co—Fe are used.", "Furthermore, a three-layer structure in which three magnetic layers are stacked may also be used, such as (Co/Pt)n/Ru/(Co/Pt)m/(Ta, W, Mo)/CoFeB, (Co/Pt)n/Ir/(Co/Pt)m/(Ta, W, Mo)/CoFeB, (Co/Pt)n/Re/(Co/Pt)m/(Ta , W, Mo)/CoFeB, or (Co/Pt)n/Rh/(Co/Pt)m/(Ta, W, Mo)/CoFeB.", "In the above three-layer combinations, m and n represent the number of stacked layers.", "For example, (Co/Pt)n means that Co/Pt are stacked n times.", "Instead of Pt, Pd may be used.", "An antiferromagnetic layer may further be disposed to be adjacent to the reference layer having the multilayer structure.", "The material of the antiferromagnetic layer may be Fe-Mn, Pt-Mn, Pt-Cr-Mn, Ni-Mn, Ir-Mn, NiO, and Fe2O3.The structure with an antiferromagnetic layer may prevent the magnetization of the reference layer from being influenced by a current magnetic field from a bit line or word line.", "Therefore, the magnetization of the reference layer is securely fixed.", "Furthermore, a stray field from the reference layer may be reduced, and the magnetization shift of the storage layer may be adjusted by changing the thicknesses of the two magnetic layers of the reference layer.", "A preferable thickness of each magnetic layer is 0.4 nm or more, and not be the thickness at which the magnetic layer becomes superparamagnetic.", "Second Embodiment A magnetic memory according to a second embodiment will be described with reference to FIG.", "9.The magnetic memory according to the second embodiment includes at least one memory cell, which is shown in FIG.", "9.The memory cell 10 according to the second embodiment includes a conductive layer 12a, n (n≥2) MTJ elements 201 to 20n, n transistors 251 to 25n, and a transistor 30.The conductive layer 12a has terminals 13a and 13b.", "The n MTJ elements 201 to 20n are disposed to be separate from each other on a region of the conductive layer 12a between the terminal 13a and the terminal 13b.", "Each of the MTJ elements 201 to 20n includes a reference layer 23 disposed above the conductive layer 12a, a storage layer 21 disposed between the reference layer 23 and the conductive layer 12a, and a nonmagnetic insulating layer 22 disposed between the storage layer 21 and the reference layer 23.Each MTJ element 20i (i=1, .", ".", ".", ", n) is a memory element for storing one bit, and each memory cell is a 1-byte cell including n bits.", "The material of each of the constituent elements of the second embodiment is the same as that of the first embodiment.", "The memory cell may include a dummy memory element (for example an MTJ element) that is not used as a memory element.", "One of the source and the drain of the transistor 25i is connected to the reference layer 23 of the MTJ element 20i (1=1, .", ".", ".", ", n), and the other is connected to a third terminal 26.One of the source and the drain of the transistor 30 is connected to the terminal 13a, and the other is connected to a control circuit (not shown).", "The transistor 25i connected to the reference layer 23 of the MTJ element 20i (i=1, .", ".", ".", ", n) may be omitted, as in the first modification of the first embodiment shown in FIG.", "6B.", "In this case, the reference layer 23 of the MTJ element 20i (i=1, .", ".", ".", ", n) is connected to a control circuit (not shown) via the third terminal 26 and a wiring line (bit line) that is not shown.", "A layer 15 is disposed between the storage layer 21 of each of the MTJ elements 201 to 20n and the conductive layer 12a in the second embodiment, like the first embodiment shown in FIG.", "6A.", "The layer 15 may be formed of an oxide or nitride containing at least one of Mg, Al, Si, Hf, or a rare earth element.", "Thus, the layer 15 may be formed of an oxide or nitride of an alloy containing at least one of the above elements.", "As in the first embodiment, the layer 15 of the second embodiment is disposed on a region of the conductive layer 12a including a region immediately below each MTJ element 20i, (i=1, .", ".", ".", ", n).", "When viewed from above, the plane area of the layer 15 is greater than the plane area of the storage layer 21 of the MTJ element 20.The distance do between the side surface of the layer 15 and the side surface of the storage layer 21 that cross the direction in which a write current Iw flows is preferably shorter than the spin diffusion length.", "The layer 15 may be disposed to cover the top surface of the conductive layer 12a, as in a modification of the second embodiment shown in FIG.", "10.The layer 15 does not need to cover the entire top surface of the conductive layer 12a as long as it covers the top surface of the conductive layer 12a in regions between adjacent MTJ elements in the magnetic memory according to the second embodiment.", "The transistor 25i, connected to the reference layer 23 of the MTJ element 20i, (i=1, .", ".", ".", ", n) may be eliminated as in the third modification of the first embodiment shown in FIG.", "7B.", "In this case, the reference layer 23 of the MTJ element 20i, (1=1, .", ".", ".", ", n) is connected to a control circuit via a wiring line (bit line).", "(Write Method) A first write method used for the memory cell 10 will be described below.", "In this embodiment, a write operation for the memory cell 10 is performed in two stages.", "A write operation for writing 1-byte data (0, 1, 0, 0, .", ".", ".", ", 0, 1) to the memory cell 10 is taken as an example.", "In this write operation, data “1” is written to the MTJ elements 202 and 20n, and data “0” is written to the other MTJ elements.", "First, the transistor 30 and the transistors 251 to 25n, are turned on by means of the control circuit that is not shown to apply a first potential (for example a positive potential) the reference layers 23 of the MTJ elements 201 to 20n and to cause a write current Iw to flow between the terminal 13a and the terminal 13b of the conductive layer 12a.", "At this time, the magnetization stability (uniaxial magnetic anisotropy) of the storage layers 21 of all the MTJ elements 201 to 20n, is weakened, and the threshold current of the storage layers changes from Ic to Ich.", "For example, the threshold current Ich is selected to be Ic/2, by applying a voltage to the reference layer of the MTJ element to lower the uniaxial magnetic anisotropy.", "In this state, a write current Iw0 (Iw>Iw0>Ich) is caused to flow through the conductive layer 12a to write data “0” to all of the MTJ elements 201 to 20n, (0, 0, 0, 0, .", ".", ".", ", 0, 0).", "Generally, a write error rate of about 10-11 may be obtained if a write current with a value about 1.5 times the value of the threshold current Ich is caused to flow.", "Therefore, the write current Iw0 is approximately equal to 1.5 times the threshold current Ich.", "Next, the transistors of the bits that should be “1”, for example the transistors 252 and 25n, are turned on by means of the control circuit that is not shown to apply a second potential (for example a positive potential) to the reference layers 23 of the MTJ elements 202 and 20n.", "At this time, the transistor 30 is also turned on by means of the control circuit that is not shown to cause a write current Iw1 (Ic>Iw1>Ich) to flow through the conductive layer 12a in a direction that is opposite to the direction for writing data “0”.", "As a result, data “1” is written to the storage layers 21 of the MTJ elements 202 and 258.The write current Iw1 is approximately equal to 1.5 times the threshold current Ich, like the aforementioned case.", "Thus, 1-byte data (0, 1, 0, 0, .", ".", ".", ", 0, 1) can be written by the two-stage write operation.", "the two-stage write operation is performed by the control circuit that is not shown, which includes a first write circuit for performing the first-stage write operation and a second write circuit for performing the second-stage write operation.", "The above-described first write method is performed by applying a first potential (for example a positive potential) to the reference layers 23 of the MTJ elements 201 to 20n and causing a first write current to flow between the terminal 13a and the terminal 13b of the conductive layer 12a, and then by applying a second potential to the reference layers of some of the MTJ elements among the MTJ elements 201 to 20n, to which data is written, and by causing a second write current to flow in a direction that is opposite to the direction of the first write current between the terminal 13a and the terminal 13b of the conductive layer 12a.", "A second write method, which is different from the first write method, may also be used.", "Like the first write method, the second write method is performed in two stages.", "First, two types of potentials are applied to the MTJ elements 201 to 20n to make easy-to-write bits and difficult-to-write bits.", "For example, a positive potential Va is applied to activation bits (MTJ elements) 202 to 20n via the corresponding transistors 252 to 25n, and a negative potential Vp is applied to an inactivation bit (MTJ element) 201 via the corresponding transistor 251.A write current is caused to flow through the conductive layer 12a from the first terminal 13a to the second terminal 13b, for example.", "As a result, data “0” is written to the activation bits (MTJ elements) 202 to 20n.", "Thereafter, a positive potential Va is applied to the MTJ element 201 via the transistor 251, and a negative potential Vp is applied to the MTJ elements 202 to 20n via the transistors 252 to 25n, and a write current is caused to flow from the second terminal 13b to the first terminal 13a of the conductive layer 12a.", "As a result, data “1” is written to the MTJ element 201.The second write method is performed by applying a first potential to the reference layers of the magnetoresistive elements in a first group in the magnetoresistive elements 201 to 20n and a second potential that is different from the first potential to the reference layers of the magnetoresistive elements in a second group that is different from the first group in the magnetoresistive elements 201 to 20n, causing a first write current to flow between the first terminal 13a and the second terminal 13b, and applying the second potential to the reference layers of the magnetoresistive elements in the first group and the first potential to the reference layers of the magnetoresistive elements in the second group and causing a second write current to flow in a direction opposite to the direction of the first write current between the first terminal 13a and the second terminal 13b.", "An operation for reading data from the memory cell 10 is performed by turning on the transistor 30 and the transistors 251 to 25n and measuring the resistance of a selected bit by means of a current flowing through the transistors 251 to 25n, thereby determining the contents of data.", "The MTJ element may be selected to write data to it easily.", "On the contrary, the MTJ element may be selected to increase the uniaxial magnetic anisotropy to make it difficult to write data to it.", "For example, a negative potential is applied to the reference layer 23 of the selected MTJ element to make it difficult to write data to it.", "In this case, data is written only to the non-selected MTJ elements.", "The presence of the layer 15 disposed between the MTJ element and the conductive layer 12a in the second embodiment improves the current density of the write current, thereby improving the write efficiency as in the first embodiment.", "Furthermore, the variation in coercive force Hc is reduced.", "Since the layer 15 acts as an etching stopper of the conductive layer 12a, a magnetic memory with a thin conductive layer may be provided.", "In the first and second embodiments and their modifications, the longitudinal direction of the MTJ elements is substantially perpendicular to the direction of the current flowing through the conductive layer 12a.", "If the magnetization direction in the storage layer or the reference layer is the vertical direction, the aspect ratio of the MTJ element does not need to be changed.", "If the magnetization direction is parallel to the plane, the longitudinal direction of the MTJ element may be inclined relative to the direction of the current flowing through the conductive layer 12a.", "If the inclined angle A is more than 30 degrees and less than 90 degrees, the write current may be reduced, which is an advantageous effect.", "If the inclined angle A is more than 0 degree and less than 30, the write speed may be improved although the write current may not be reduced considerably.", "Therefore, in any case, the power consumption may be reduced.", "Assuming that the minimum feature size is represented by “F” in the first embodiment and its modifications, the size of a memory cell is represented by “12F2.” In the second embodiment and its modification, however, the size of a memory cell may be reduced to 6F2.Thus, the area occupied by the memory cells may be reduced as compared with that of the first embodiment and its modifications.", "Although an MTJ element is used as the memory element in the first and second embodiments and their modifications, a magnetoresistive element in which the nonmagnetic insulating layer 22 is a nonmagnetic metal layer may also be used.", "EXAMPLES Hereinafter, the embodiments will be described further with reference to some examples.", "First Example Samples 1 to 14, which are memory cells according to the first embodiment shown in FIG.", "6A with the material of the layer 15 being changed, are prepared to be used in a magnetic memory according to a first example.", "The samples are annealed at a temperature of 300° C. The storage layer 21 of the MTJ element 20 is formed of CoFeB, the nonmagnetic insulating layer 22 is formed of MgO, and the reference layer 23 is formed of CoFe.", "Sample 1 includes a β-Ta conductive layer (SO layer) 12a with a thickness of 6.0 nm.", "No layer 15 is provided to Sample 1.Sample 2 includes a W conductive layer 12a having a thickness of 6.0 nm.", "No layer 15 is provided to Sample 2.Sample 3 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of MgOx with a thickness of 0.95 nm is provided to Sample 3.Sample 4 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of AIOx with a thickness of 0.9 nm is provided to Sample 4.Sample 5 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of SiN with a thickness of 0.95 nm is provided to Sample 5.Sample 6 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of HfOx with a thickness of 0.98 nm is provided to Sample 6.Sample 7 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of GdOx with a thickness of 0.95 nm is provided to Sample 7.Sample 8 includes a β-Ta conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of ErOx with a thickness of 0.98 nm is provided to Sample 8.Sample 9 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of MgOx with a thickness of 0.9 nm is provided to Sample 9.Sample 10 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of AIOx with a thickness of 0.93 nm is provided to Sample 10.Sample 11 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of SiN with a thickness of 0.9 nm is provided to Sample 11.Sample 12 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of HfOx with a thickness of 0.92 nm is provided to Sample 12.Sample 13 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of GdOx with a thickness of 0.96 nm is provided to Sample 13.Sample 14 includes a β-W conductive layer 12a with a thickness of 6.0 nm.", "A layer 15 of ErOx with a thickness of 0.96 nm is provided to Sample 14.FIG.", "11 shows the result of measuring the thickness of the nonmagnetic layer (dead layer) appearing in the storage layer 21 of CoFeB and the saturation magnetization Ms of the storage layer 21 in Samples 1 to 14.As can be understood from FIG.", "11, the layer 15 disposed between the MTJ element and the conductive layer 12a allows a reduction in the thickness of the nonmagnetic layer (dead layer) generated in the storage layer 21 of CoFeB to less than 0.1 nm.", "This prevents the degradation in the magnetoresistance characteristics.", "The saturation magnetization of Samples 3 to 14 with the layer 15 is less than that of Samples 1 and 2 without the layer 15.FIG.", "12 shows a result of the measurement of coercive force in the cases where the thickness of the storage layer 21 of CoFeB is 1.1 nm, 1.2 nm, 1.4 nm, or 1.6 nm in Samples 3, 7, 10, 11, and 14.The size of each sample is the same as the sample explained with reference to FIG.", "5, i.e., 60 nm×180 nm.", "As can be understood from FIG.", "12, the variation in the coercive force Hc in the samples with the layer 15 is less than that in the samples shown in FIG.", "5.Second Example A second example will be described below.", "MTJ elements are prepared, which are the same as Samples 1 to 14 of the first example except for the storage layer of CoFeB that has a thickness of 1.2 nm.", "A write operation is performed on each MTJ element with a current caused to flow through the conductive layer (SO layer).", "FIG.", "13 shows an evaluation result for Sample 3 with the layer 15 and Sample 1 without the layer 15.The lateral axis of FIG.", "13 represents the current flowing through the SO layer and the longitudinal axis represents the resistance.", "The solid line in FIG.", "15 indicates the result of Sample 3 with the layer 15, and the broken line indicates the result of Sample 1 without the layer 15.The width of the SO layer in each sample is 600 nm.", "As can be understood from FIG.", "13, the write current of Sample 3 with the layer 15 is lower than Sample 1 without the layer 15.FIG.", "14 shows the result of measurement of the write current flowing through the MTJ element of each of Samples 1 to 14.The write current in FIG.", "14 is a write current Ic having a mean value of five MTJ elements included in the same sample.", "As can be understood from FIG.", "14, the write current Ic of a sample with the layer 15 is obviously lower than another sample without the layer 15, if the SO layer is formed of the same material.", "The reason for this is considered to correlate to a decrease in the nonmagnetic layer (dead layer) generated in the storage layer, and the improvement in the spin absorption efficiency.", "Third Example A third example will be described.", "MTJ elements are prepared, which are the same as Samples 3, 4, 10, 11, and 13 of the first example except for the storage layer of CoFeB that has a thickness of 1.2 nm and the layer 15 that has various thickness.", "A write operation test is performed on each MTJ element with a current caused to flow through the conductive layer (SO layer).", "FIG.", "15 shows the revaluation result of the dependency of the write current Ic on the thickness of the layer 15.As can be understood from FIG.", "15, the write current rapidly increases if the thickness of the layer 15 is increased to 1.15 nm.", "Therefore, the thickness of the layer 15 is preferably 1 nm or less, and more preferably 0.9 nm or less.", "Fourth Example A magnetic memory according to a fourth example is prepared, which includes memory cells according to the second embodiment shown in FIG.", "9.Each memory cell of the fourth example includes, for example, four MTJ elements 20 that are disposed on a conductive layer 12a.", "The conductive layer 12a is formed of Ta with a thickness of 10 nm and a width (the dimension in the direction crossing the direction of the write current) of 600 nm.", "The storage layer 21 of each MTJ element 20 in each memory cell has in-plane magnetization, and has a monolayer or a multilayer structure.", "The storage layer 21 having a monolayer structure is formed of CoFeB having a thickness of 1.2 nm.", "There are three types of storage layer 21 having a multilayer structure.", "For example, a first multilayer structure are represented by CoFeB(1.2)/Cu/CoFeB(1.2), a second multilayer structure is represented by FeB(1.2)/Cr/FeB(1.2), and a third multilayer structure is represented by NiFe(1.2)/Ru/NiFe(0.8)/Ta(0.3)/CoFeB(0.8).", "Each number in parentheses indicates the thickness (nm) of the corresponding layer.", "For example, CoFeB(1.2) means that the thickness of CoFeB is 1.2 nm.", "FIG.", "16 shows the magnetization switching characteristics of the storage layer in the MTJ element of one of the memory cells when the voltage applied to the reference layer 23 of the MTJ element of is 0V.", "The lateral axis indicates a current Iso flowing through the conductive layer 12a, and the longitudinal axis indicates the resistance value of the MTJ element.", "The magnetization switching characteristic represented by a solid line in FIG.", "16 indicates a current ISO,switching+flowing in a positive direction that corresponds to a direction of the write current Iw indicated by an arrow in FIG.", "9, and the magnetization switching characteristic represented by a broken line indicates a current ISO,switching−flowing in a negative direction that is opposite to the positive direction.", "FIG.", "17 shows the relationship between the voltage applied to the MTJ element and the current value ISO,switching flowing through the conductive layer 12a, by which the magnetization switching is observed in each memory cell.", "The longitudinal axis of FIG.", "17 indicates a voltage VMTJ that is applied to an MTJ element of a memory cell including a storage layer 21 of CoFeB having a monolayer structure with a thickness of 1.2 nm, and to an MTJ element of a memory cell including a storage layer 21 having a multilayer structure of FeB(1.2)/Cr/FeB(1.2), and the lateral axis indicates a current value ISO,switching caused to flow through a conductive layer 12a of each memory cell, by which the magnetization switching is observed.", "The region represented by “P” in FIG.", "17 indicates that the magnetization direction of the storage layer 21 and the magnetization direction of the reference layer 23 are in a parallel state in all of the MTJ elements in the memory cell, the region represented by “AP” indicates that the magnetization direction of the storage layer 21 and the magnetization direction of the reference layer 23 are in an antiparallel state in all of the MTJ elements in the memory cell, and the region represented by “P/AP” indicates that in some MTJ elements the magnetization direction of the storage layer 21 and the magnetization direction of the reference layer 23 are in a parallel state and in other MTJ elements the magnetization direction of the storage layer 21 and the magnetization direction of the reference layer 23 are in an antiparallel state in the memory cell.", "As can be understood from FIG.", "17, the gradient of the voltage relative to the current is greater in the case where the storage layer has a multilayer structure than the case where it has a monolayer structure.", "This means that the effect of the voltage applied to the MTJ element is greater in the case where the storage layer has a multilayer structure.", "This increases the crosstalk margin, i.e., the margin for preventing erroneous writing of an MTJ element in the memory cell.", "Similar good characteristics may be obtained for the other types of memory cells in which the storage layer has a multilayer structure, like a CoFeB(1.2)/Cu/CoFeB(1.2) structure and a NiFe(1.2)/Ru/NiFe(0.8)/Ta(0.3)/CoFeB(0.8) structure.", "In a memory cell including MTJ elements including a storage layer having a multilayer structure, the voltage applied to an MTJ element to switch the magnetization direction of the storage layer has the same absolute value and the opposite polarity to the voltage applied to another MTJ element not to switch the magnetization direction of the storage layer.", "For example, a negative voltage −V is applied to the reference layer not to switch the magnetization direction of the storage layer of an MTJ element, and a positive voltage +V is applied to the reference layer to switch the magnetization direction of the storage layer of an MTJ element.", "It is found that this increases the margin further.", "An MTJ element having a perpendicular magnetization is formed.", "A memory cell including an MTJ element 20 with a monolayer storage layer 21 having perpendicular magnetization, and memory cells each including an MTJ element 20 with a multilayer storage layer 21 having perpendicular magnetization are prepared.", "The monolayer storage layer 21 is formed of CoFeB.", "Five types of monolayer storage layer 21 having a multilayer structure are formed.", "For example, a first multilayer structure is Co(Fe)(B)/Pt/Co(Fe)(B), a second multilayer structure is Co(Fe)(B)/Pd/Co(Fe)(B), a third multilayer structure is Co(Fe)(B)/Ni/Co(Fe)(B), a fourth multilayer structure is Co(Fe)(B)/Ni/Co(Fe)(B), and a fifth multilayer structure is CoPt/Ru/CoPt multilayer/(Ta, W, Mo)/CoFeB.", "The same tendencies as in the case of the memory cells including MTJ elements with in-plane magnetization shown in FIG.", "17 are observed for the memory cells including MTJ elements with perpendicular magnetization.", "Thus, it is found that a storage layer having a multilayer structure is preferable from the viewpoint of an increase in margin.", "The first and second embodiments and their specific examples have been described.", "However, the present invention is not limited to these specific examples.", "For example, the scope of the present invention includes MTJ elements and SO layers for which those skilled in the art suitably select a specific material, a specific thickness, a specific shape, a specific size, etc.", "to obtain the same effect as the present invention.", "Third Embodiment A magnetic memory according to a third embodiment will be described with reference to FIG.", "18.FIG.", "18 is a circuit diagram of the magnetic memory according to the third embodiment.", "The magnetic memory according to the third embodiment includes a memory cell array 100 in which memory cells MC are arranged in an array having rows and columns, word lines WL1 and WL2 disposed for the memory cell MCs in the same column, bit lines BL1, BL2, and BL3 disposed for the memory cells MC in the same row, a word line selection circuit 110, bit line selection circuits 120a and 120b, write circuits 130a and 130b, and readout circuits 140a and 140b.", "Each memory cell MC corresponds to the memory cell 10 of the magnetic memory according to the first embodiment shown in FIG.", "6A, and includes transistors 25 and 30.The memory cell 10 includes a conductive layer 12a and a magnetoresistive element (MTJ element) 20 as shown in FIG.", "6A.", "The memory cell 10 according to the third embodiment does not include the conductive layer 12b shown in FIG.", "6A.", "Therefore, the terminal 13a is connected to the conductive layer 12a.", "A first terminal of the magnetoresistive element 20 is connected to the conductive layer 12a via a layer 15, and a second terminal is connected to one of the source and the drain of the transistor 25.The other of the source and the drain of the transistor 25 is connected to the bit line BL1, and the gate is connected to the word line WL1.A first terminal (terminal 13a in FIG.", "6A) of the conductive layer 12a is connected to one of the source and the drain of the transistor 30, and a second terminal (terminal 13b in FIG.", "6A) is connected to the bit line BL3.The other of the source and the drain of the transistor 30 is connected to the bit line BL2, and the gate is connected to the word line WL2.Write Operation A method of writing data to a memory cell will be described below.", "First, the word line selection circuit 110 applies a high-level potential to the word line WL2 connected to the gate of the transistor 30 of the memory cell MC to which data is to be written, to turn on the transistor 30.At this time, the transistors 30 of other memory cells MC in the same column as the above memory cell MC are also turned on.", "However, a low-level potential is applied to the word line WL1 connected to the gates of the transistors 30 of the other memory cells MC in the same column as the above memory cell MC and the word lines WL1 and WL2 corresponding to the other columns.", "Thereafter, the bit line selection circuits 120a and 120b select the bit lines BL2 and BL3 connected to the memory cell MC to which data is to be written.", "The write circuits 130a and 130b cause a write current to flow through the selected bit lines BL2 and BL3 from one of the bit line selection circuit 120a and the bit line selection circuit 120b to the other.", "The write current causes the magnetization direction of the storage layer 21 (FIG.", "6A) of the magnetoresistive element 20 to be switched.", "A write operation is performed in this manner.", "If the write current is caused to flow in the opposite direction, the magnetization direction of the storage layer 21 (FIG.", "6A) of the magnetoresistive element 20 may be switched in a direction opposite to the above case.", "A write operation may also be performed in this matter.", "Read Operation Next, a method of reading data from a memory cell will be described below.", "First, a high-level potential is applied to the word line WL1 connected to a memory cell MC from which data is to be read, to turn on the transistor 25 of the memory cell MC.", "At this time, the transistors 25 of the other memory cells MC in the same column as the memory cell MC from which data is to be read are also turned on.", "However, a low-level potential is applied to the word line WL2 connected to the gate of the transistor 30 of the memory cell MC from which data is to be read and the word lines WL1 and WL2 corresponding to the other columns.", "Thereafter, the bit line selection circuits 120a and 120b select the bit lines BL1 and BL3 connected to the memory cell MC from which data is to be read.", "The readout circuits 140a and 140b cause a read current to flow through the selected bit lines BL1 and BL3 in a direction from one of the bit line selection circuit 120a and the bit line selection circuit 120b to the other.", "At this time, whether the magnetization direction of the storage layer 21 (FIG.", "6A) and the magnetization direction of the reference layer 23 of the magnetoresistive element 20 is in the parallel state (the same direction) or antiparallel state (in the opposite direction) may be detected by, for example, detecting the voltage between the selected bit lines BL1 and BL3 by means of the readout circuits 140a and 140b.", "A read operation is performed in this manner.", "The word line selection circuit 110, the bit line selection circuits 120a and 120b, the write circuits 130a and 130b, and the readout circuits 140a and 140b are included in the control circuit described in the descriptions of the first and second embodiments.", "Like the first embodiment, the current density of the write current flowing through the conductive layer 12a in the third embodiment is improved.", "As a result, the write efficiency may be improved.", "Furthermore, the variation in coercive force Hc is reduced.", "Since the layer 15 acts as an etching stopper of the conductive layer 12a, a magnetic memory with a thin conductive layer may be provided.", "While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the inventions.", "Indeed, the novel methods and systems described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the methods and systems described herein may be made without departing from the spirit of the inventions.", "The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the inventions." ] ]
Patent_15875549
[ [ "COMPUTER SYSTEM AND METHOD FOR MULTI-PROCESSOR COMMUNICATION", "A compiler system, computer-implemented method and computer program product for optimizing a program for multi-processor system execution.", "The compiler includes an interface component configured to load from a storage component program code to be executed by one or more processors (P1 to Pn) of a multi-processor system.", "The compiler further includes a static analysis component configured to determine data dependencies) within the program code, and further determines all basic blocks of the control flow graph providing potential insertion positions along paths where communication statements can be inserted to enable data flow between different processors at runtime.", "An evaluation function component of the compiler is configured to evaluate each potential insertion position with regards to its impact on program execution on the multi-processor system at runtime by using a predefined execution evaluation function." ], [ "1.A compiler system for optimizing a program for multi-processor system execution, the compiler system comprising: an interface component configured to loading from a storage component program code of a program to be executed by one or more processors (P1 to Pn) of a multi-processor system, and to provide one or more optimized program codes to the storage component, wherein each optimized program code is configured for execution by one or more processors (P1 to Pn); a static analysis component configured to, generating from the program code a control flow graph representing traversable paths through the execution of the respective program, determining data dependencies within the program code, and determining a plurality of basic blocks of the control flow graph providing potential insertion positions along paths in which communication statements are adapted to be inserted to enable data flow between different processors at runtime; an evaluation function component configured to evaluate each potential insertion position with respect to an impact of a respective potential insertion position on program execution on the multi-processor system at runtime by using a predefined execution evaluation function; and a code modification component configured to obtain the one or more optimized program codes by inserting coupled send and receive communication statements in each relevant path of the control flow graph at one or more insertion positions, wherein each insertion position has an evaluation value which indicates optimal runtime execution for the program when executed on the multi-processor system.", "2.The system of claim 1, wherein the static analysis component is further configured to identify at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor (P1) to a second processor (P2) of the multi-processor system, and wherein the static analysis component further identifies a plurality of paths between the first basic block and the second basic block in the control flow graph.", "3.The system of claim 1, wherein the static analysis component is further configured to determine at least one potential set of insertion positions such that for each set each identified path is covered by at least one insertion position of the set, and wherein the code modification component is further configured to insert coupled send and receive communication statements at the particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "4.The system of claim 1, wherein the program code does not include dynamic pointer instructions or function calls with variables passed by reference.", "5.The system of claim 1, wherein the execution evaluation function uses weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to the frequency of execution of the basic blocks, and wherein, a basic block in a loop structure has a higher weight than a basic block which is executed once in a main path, and a basic block in a main path has a higher weight than a basic block in a branching path.", "6.The system of claim 1, wherein a pair of send and receive communication statements are consecutive statements in one of the one or more optimized program codes.", "7.A computer-implemented method for optimizing a program for multi-processor system execution, the method being executed at compile-time, the method comprising: loading a program code of the program wherein the program is to be executed by at least one processor (P1 to Pn); as a result of a static analysis of the program code, generating a control flow graph representing traversable paths through the execution of the program, and determining data dependencies between pairs of statements of the program code wherein sets of statements are represented by basic blocks of the control flow graph; receiving a mapping which includes for each statement an assignment of the statement to a particular processor (P1 to Pn) of a multi-processor system; identifying at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor (P1) to a second processor (P2) of the multi-processor system; identifying all paths between the first basic block and the second basic block in the control flow graph; determining all basic blocks providing potential insertion positions along the identified paths where communication statements are adapted to be inserted to enable the data flow at runtime; evaluating, by using a predefined execution evaluation function, each potential insertion position with respect to an impact on the execution of the program on the multi-processor system; and obtaining optimized program code by inserting coupled send and receive communication statements in each identified path at one or more insertion positions wherein each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system.", "8.The method of claim 7, further comprising: executing the optimized program code on the multi-processor system wherein the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor.", "9.The method of claim 7, wherein the program code does not include dynamic pointer instructions or function calls with variables passed by reference.", "10.The method of claim 7, wherein the program code is derived of a fourth generation array-based programming language.", "11.The method of claim 7, wherein the execution evaluation function uses weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to a frequency of execution of the basic blocks.", "12.The method of claim 11, wherein a basic block in a loop structure has a higher weight than a basic block on a path outside of a loop structure, and a basic block outside a branching path has a higher weight than a basic block in a branching path.", "13.The method of claim 7, wherein a pair of send and receive communication statements is inserted as consecutive statements.", "14.The method of claim 7, wherein determining all basic blocks includes: determining at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set, and wherein inserting the communication statements includes inserting coupled send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "15.A computer program product that when loaded into a memory of a computing device and executed by at least one processor of the computing device executes the steps of: loading a program code of the program wherein the program is to be executed by at least one processor (P1 to Pn); as a result of a static analysis of the program code, generating a control flow graph representing traversable paths through the execution of the program, and determining data dependencies between pairs of statements of the program code wherein sets of statements are represented by basic blocks of the control flow graph; receiving a mapping which includes for each statement an assignment of the statement to a particular processor (P1 to Pn) of a multi-processor system; identifying at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor (P1) to a second processor (P2) of the multi-processor system; identifying all paths between the first basic block and the second basic block in the control flow graph; determining all basic blocks providing potential insertion positions along the identified paths where communication statements are adapted to be inserted to enable the data flow at runtime; evaluating, by using a predefined execution evaluation function, each potential insertion position with respect to an impact on the execution of the program on the multi-processor system; and obtaining optimized program code by inserting coupled send and receive communication statements in each identified path at one or more insertion positions wherein each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system.", "16.The computer program product of claim 15, further comprising: executing the optimized program code on the multi-processor system wherein the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor.", "17.The computer program product of claim 15, wherein the program code is derived of a fourth generation array-based programming language.", "18.The computer program product of claim 15, wherein the execution evaluation function uses weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to a frequency of execution of the basic blocks.", "19.The computer program product of claim 18, wherein a basic block in a loop structure has a higher weight than a basic block on a path outside of a loop structure, and a basic block outside a branching path has a higher weight than a basic block in a branching path.", "20.The computer program product of claim 15, wherein determining all basic blocks includes: determining at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set, and wherein inserting the communication statements includes inserting coupled send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values." ], [ "<SOH> BACKGROUND <EOH>Multi-processor systems are often used to speed up large computing tasks by splitting the tasks into portions which can be handled by different data processing units being able to communicate with each other.", "The different data processing units can then work in parallel on a computing task.", "The data processing units are often also referred to as central processing units (CPUs) or cores.", "That is, a multi-processor system is a computer system which has two or more CPUs/cores to which different processes can be assigned for execution.", "In the following, such data processing units are referred to as processors.", "If a program is to be executed on a multi-processor system, there may be different code portions of the program which are assigned to different data processing units.", "For example, the task of the program may be the sharpening of a large digital image and different processing units of the multi-processor system may be assigned to perform this task for different sections of the digital image.", "The sharpening algorithm performed by a particular processing unit may require data from the environment of a particular pixel which is currently processed by the particular processing unit.", "In other words, data that lies within the section processed by one of the other processors may be needed by the particular processing unit.", "In such a case, there may be a write operation for a particular variable to be executed by a first processing unit and a read operation for the same particular variable to be executed by a second processing unit.", "In such a case, a data dependency exists within the program which requires a communication between the first and second processors to enable the data flow.", "For this purpose, some multi-processor compiler systems insert communication statements into the program to enable the communication between the first and second processors.", "However, inserting the communication statements at sub-optimal positions in the program at compile time can have a negative impact on the performance, power consumption, delay and/or resource requirements and result in poor execution of the program at runtime." ], [ "<SOH> SUMMARY <EOH>There is a need for a compiler system, a compile method, and a corresponding compiler program to optimize a computer program at compile time for optimal multi-processor system execution.", "This technical problem is solved by the compiler system, compile method and corresponding compiler program as described throughout this disclosure.", "A compiler within the meaning of this disclosure does not necessarily need to provide byte code.", "In fact, any transformation of program code into another program code is included in the term compiling, as used throughout this document.", "The systems and methods described herein provide an advantage for embedded systems in that time-critical reaction at runtime is provided to ensure that the limited memory size available is sufficiently utilized.", "In some implementations, there is a need to generate resource efficient programs where the resource consumption is predictable and system administrational efforts at runtime are reduced.", "The solutions described herein provide a compile method where, dependent on the insertion position of communication statements, the performance, power consumption, delay, and/or resource requirements of the program are optimized for runtime execution.", "In one general aspect, a multi-processor compiler system includes an interface component configured to load program code from a storage component.", "The program code is configured to be executed by one or more processors of a multi-processor system.", "For example, the program code may initially be written for execution by a single processor.", "Alternatively, the program code may already be written to be executed on multiple processors.", "For example, the program code can be code in any programming language which is appropriate for multi-processor execution and which is appropriate to be subject to a static analysis.", "Program code can be subject to static analysis if it is possible to extract, at compile time, the control flow and the data flow of the program from the program code.", "For example, program code which does not include dynamic pointer arithmetic or function calls with variables passed by reference can always be subject to static analysis.", "The presence of pointer arithmetic or other constructs which influence the control flow at runtime of the program may in some cases prevent the determination of the control flow and data flow at compile time.", "Such programs may not be suitable for static analysis.", "The compiler system further has a static analysis component configured to generate from the program code a control flow graph representing all paths that can be traversed through the execution of the respective program.", "A control flow graph (CFG) in computer science is a representation, using graph notation, of all paths that might be traversed through a program during its execution.", "In a control flow graph, each node in the graph represents a basic block, i.e.", "a straight-line piece of code without any jumps or jump targets in between; jump targets start a block, and jumps end a block.", "Directed edges are used to represent jumps in the control flow or to connect consecutive blocks.", "There are, in many representations, two specially designated blocks: the entry block, through which control flow enters into the flow graph, and the exit block, through which all control flow leaves.", "For example in the case of assembler code, the CFG can be obtained, at least conceptually, by starting from the program's (full) flow graph—i.e., the graph in which every node represents an individual instruction—and performing an edge contraction for every edge whose source has a single exit and whose destination has a single entry.", "Alternatively, the CFG can be constructed directly from the program by scanning, for example, the abstract syntax tree (AST) of the program for basic blocks.", "In order to bypass some inadequacies in some programming languages (e.g., programming language C), empty basic blocks may be inserted as placeholders for the insertion of communication statements before control structures like for-loops.", "Furthermore, trivial basic blocks with a single exit and a single entry edge may exist to enable an unambiguous mapping to AST structures.", "A mapper component can be used to assign a particular data processing unit (processor) to every statement of the program code.", "For example, the assignment of a particular data processing unit can occur at the level of basic blocks in the CFG because all instructions of a particular basic block are executed when the respective basic block is executed.", "The static analysis component is further configured to determine data dependencies within the program code and to determine all basic blocks of the CFG providing potential insertion positions along paths where communication statements can be inserted to enable data flow between different processors at runtime.", "For example, the static analysis component can identify at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is required from a first processor to a second processor of the multi-processor system.", "Further, it can identify all paths between the first basic block and the second basic block in the CFG.", "The compiler system further has an evaluation function component configured to evaluate each potential insertion position with regards to its impact on program execution on the multi-processor system at runtime by using a predefined execution evaluation function.", "The execution evaluation function may use weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to the frequency of execution of the basic blocks.", "In particular, a basic block in a loop structure may have a higher weight than a basic block which is executed only once in a main path.", "A basic block in a main path may have a higher weight than a basic block in a branching path.", "In one embodiment, the static analysis component may be further configured to determine at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set.", "The code modification component is further configured to insert coupled send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "A particular pair of send and receive communication statements can include consecutive statements in one of the one or more optimized program codes.", "Alternatively, the send and receive statement pairs can be separated by other program statements.", "In general, coupled send and receive communication statements enable the flow of data from one processor to another processor at runtime.", "A code modification component of the compiler system is configured to obtain one or more optimized program codes from the program code by inserting pairs of send and receive communication instructions in each relevant path of the control flow graph at one or more insertion positions.", "Each insertion position has an evaluation value which indicates optimal runtime execution for the program when executed on the multi-processor system.", "Thereby, each optimized program code is configured to be executed by a particular processor of the multi-processor system.", "The one or more optimized program codes are then provided through the interface component to the storage component for storing.", "From there they can be loaded for execution or simulation purposes.", "The optimized program code(s) do not need to be in an executable format at this point in time.", "They may still be compiled for the multi-processor system by a further appropriate compiler component.", "In one embodiment, a computer-implemented method for optimizing a program for multi-processor system execution starts with loading a program code of the program wherein the program is to be executed by at least one processor.", "Then, as a result of a static analysis of the program code, a control flow graph (CFG) is generated.", "The CFG represents all paths that can be traversed through the execution of the program.", "Further, data dependencies are determined between pairs of statements of the program code.", "Thereby, each statement is contained by exactly one basic block of the CFG.", "A mapping is received which includes for each statement within each basic block of the control flow graph an assignment of the statement to a particular processor of a multi-processor system.", "The mapping may already be received earlier as it does not depend on the previous steps.", "In principle, the mapping can be received right after the loading of the program code.", "The mapping may result from a manual assignment of processors to respective basic blocks or it may be provided by tools which can perform an automatic parallelization.", "Examples of such tools are “Pareon Profile” (provided by Vector Fabrics B.V., L J Zaltbommel, The Netherlands), “SLX Mapper” (provided by Silexica Software Solutions GmbH, Aachen, Germany), or “Silkan Wild Cruncher” (provided by SILKAN, Meudon la Forêt, France).", "At least one data dependency is identified between a first statement in a first basic block and a second statement in a second basic block.", "The data dependency in view of the mapping requires a data flow from a first processor to a second processor of the multi-processor system.", "Then, all paths between the first basic block and the second basic block are identified in the CFG.", "Then, all basic blocks are determined which provide potential insertion positions along the identified paths where communication statements can be inserted to enable the data flow at runtime.", "Each potential insertion position is evaluated, by using a predefined execution evaluation function, with regards to its impact on the execution of the program on the multi-processor system.", "Finally, optimized program code is obtained by inserting coupled send and receive communication statements such that each identified path has at least one receive and one send communication statement.", "Thereby, each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system.", "The optimized program code can then be executed on the multi-processor system wherein the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor.", "The optimized program code can be executed by a multi-processor simulation system to test the execution behavior of the optimized program code.", "For example, when the optimized program code is generated for use in embedded systems, it can be advantageous to simulate the behavior before loading the program code into the embedded system to virtually investigate the behavior of the system under study.", "In one embodiment, the information acquired through simulation or execution on real hardware is used to enable a profile-based optimization.", "Thereby, the information is used by the evaluation function resulting in further optimized runtime execution.", "In one embodiment, the step determining all basic blocks can further include: determining at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set, and the step inserting the communication statements can further include inserting pairs of send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "This embodiment is particular advantageous for program codes with complex CFGs with a plurality of branch paths because it ensures that all branches are covered with communication instructions.", "In one embodiment, a computer program product includes computer-readable instructions that when loaded into a memory of the compiler system and executed by one or more processors of the compiler system cause the compiler system to perform the previously described method.", "Further aspects of the present disclosure will be realized and attained by means of the elements and combinations particularly depicted in the appended claims.", "It is to be understood that both, the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the present disclosure as described." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application claims priority to, and is a continuation of, PCT Application No.", "PCT/EP2016/065652, filed on Jul.", "4, 2016, entitled “COMPUTER SYSTEM AND METHOD FOR MULTI-PROCESSOR COMMUNICATION,” which, in turn, claims the benefit of priority based on EP Application No.", "15178076.4, filed on Jul.", "23, 2015, both of which are hereby incorporated by reference.", "TECHNICAL FIELD The present disclosure generally relates to multi-processor systems, and more particularly, relates to methods, computer program products, and systems for optimizing communication of multi-processor systems.", "BACKGROUND Multi-processor systems are often used to speed up large computing tasks by splitting the tasks into portions which can be handled by different data processing units being able to communicate with each other.", "The different data processing units can then work in parallel on a computing task.", "The data processing units are often also referred to as central processing units (CPUs) or cores.", "That is, a multi-processor system is a computer system which has two or more CPUs/cores to which different processes can be assigned for execution.", "In the following, such data processing units are referred to as processors.", "If a program is to be executed on a multi-processor system, there may be different code portions of the program which are assigned to different data processing units.", "For example, the task of the program may be the sharpening of a large digital image and different processing units of the multi-processor system may be assigned to perform this task for different sections of the digital image.", "The sharpening algorithm performed by a particular processing unit may require data from the environment of a particular pixel which is currently processed by the particular processing unit.", "In other words, data that lies within the section processed by one of the other processors may be needed by the particular processing unit.", "In such a case, there may be a write operation for a particular variable to be executed by a first processing unit and a read operation for the same particular variable to be executed by a second processing unit.", "In such a case, a data dependency exists within the program which requires a communication between the first and second processors to enable the data flow.", "For this purpose, some multi-processor compiler systems insert communication statements into the program to enable the communication between the first and second processors.", "However, inserting the communication statements at sub-optimal positions in the program at compile time can have a negative impact on the performance, power consumption, delay and/or resource requirements and result in poor execution of the program at runtime.", "SUMMARY There is a need for a compiler system, a compile method, and a corresponding compiler program to optimize a computer program at compile time for optimal multi-processor system execution.", "This technical problem is solved by the compiler system, compile method and corresponding compiler program as described throughout this disclosure.", "A compiler within the meaning of this disclosure does not necessarily need to provide byte code.", "In fact, any transformation of program code into another program code is included in the term compiling, as used throughout this document.", "The systems and methods described herein provide an advantage for embedded systems in that time-critical reaction at runtime is provided to ensure that the limited memory size available is sufficiently utilized.", "In some implementations, there is a need to generate resource efficient programs where the resource consumption is predictable and system administrational efforts at runtime are reduced.", "The solutions described herein provide a compile method where, dependent on the insertion position of communication statements, the performance, power consumption, delay, and/or resource requirements of the program are optimized for runtime execution.", "In one general aspect, a multi-processor compiler system includes an interface component configured to load program code from a storage component.", "The program code is configured to be executed by one or more processors of a multi-processor system.", "For example, the program code may initially be written for execution by a single processor.", "Alternatively, the program code may already be written to be executed on multiple processors.", "For example, the program code can be code in any programming language which is appropriate for multi-processor execution and which is appropriate to be subject to a static analysis.", "Program code can be subject to static analysis if it is possible to extract, at compile time, the control flow and the data flow of the program from the program code.", "For example, program code which does not include dynamic pointer arithmetic or function calls with variables passed by reference can always be subject to static analysis.", "The presence of pointer arithmetic or other constructs which influence the control flow at runtime of the program may in some cases prevent the determination of the control flow and data flow at compile time.", "Such programs may not be suitable for static analysis.", "The compiler system further has a static analysis component configured to generate from the program code a control flow graph representing all paths that can be traversed through the execution of the respective program.", "A control flow graph (CFG) in computer science is a representation, using graph notation, of all paths that might be traversed through a program during its execution.", "In a control flow graph, each node in the graph represents a basic block, i.e.", "a straight-line piece of code without any jumps or jump targets in between; jump targets start a block, and jumps end a block.", "Directed edges are used to represent jumps in the control flow or to connect consecutive blocks.", "There are, in many representations, two specially designated blocks: the entry block, through which control flow enters into the flow graph, and the exit block, through which all control flow leaves.", "For example in the case of assembler code, the CFG can be obtained, at least conceptually, by starting from the program's (full) flow graph—i.e., the graph in which every node represents an individual instruction—and performing an edge contraction for every edge whose source has a single exit and whose destination has a single entry.", "Alternatively, the CFG can be constructed directly from the program by scanning, for example, the abstract syntax tree (AST) of the program for basic blocks.", "In order to bypass some inadequacies in some programming languages (e.g., programming language C), empty basic blocks may be inserted as placeholders for the insertion of communication statements before control structures like for-loops.", "Furthermore, trivial basic blocks with a single exit and a single entry edge may exist to enable an unambiguous mapping to AST structures.", "A mapper component can be used to assign a particular data processing unit (processor) to every statement of the program code.", "For example, the assignment of a particular data processing unit can occur at the level of basic blocks in the CFG because all instructions of a particular basic block are executed when the respective basic block is executed.", "The static analysis component is further configured to determine data dependencies within the program code and to determine all basic blocks of the CFG providing potential insertion positions along paths where communication statements can be inserted to enable data flow between different processors at runtime.", "For example, the static analysis component can identify at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is required from a first processor to a second processor of the multi-processor system.", "Further, it can identify all paths between the first basic block and the second basic block in the CFG.", "The compiler system further has an evaluation function component configured to evaluate each potential insertion position with regards to its impact on program execution on the multi-processor system at runtime by using a predefined execution evaluation function.", "The execution evaluation function may use weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to the frequency of execution of the basic blocks.", "In particular, a basic block in a loop structure may have a higher weight than a basic block which is executed only once in a main path.", "A basic block in a main path may have a higher weight than a basic block in a branching path.", "In one embodiment, the static analysis component may be further configured to determine at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set.", "The code modification component is further configured to insert coupled send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "A particular pair of send and receive communication statements can include consecutive statements in one of the one or more optimized program codes.", "Alternatively, the send and receive statement pairs can be separated by other program statements.", "In general, coupled send and receive communication statements enable the flow of data from one processor to another processor at runtime.", "A code modification component of the compiler system is configured to obtain one or more optimized program codes from the program code by inserting pairs of send and receive communication instructions in each relevant path of the control flow graph at one or more insertion positions.", "Each insertion position has an evaluation value which indicates optimal runtime execution for the program when executed on the multi-processor system.", "Thereby, each optimized program code is configured to be executed by a particular processor of the multi-processor system.", "The one or more optimized program codes are then provided through the interface component to the storage component for storing.", "From there they can be loaded for execution or simulation purposes.", "The optimized program code(s) do not need to be in an executable format at this point in time.", "They may still be compiled for the multi-processor system by a further appropriate compiler component.", "In one embodiment, a computer-implemented method for optimizing a program for multi-processor system execution starts with loading a program code of the program wherein the program is to be executed by at least one processor.", "Then, as a result of a static analysis of the program code, a control flow graph (CFG) is generated.", "The CFG represents all paths that can be traversed through the execution of the program.", "Further, data dependencies are determined between pairs of statements of the program code.", "Thereby, each statement is contained by exactly one basic block of the CFG.", "A mapping is received which includes for each statement within each basic block of the control flow graph an assignment of the statement to a particular processor of a multi-processor system.", "The mapping may already be received earlier as it does not depend on the previous steps.", "In principle, the mapping can be received right after the loading of the program code.", "The mapping may result from a manual assignment of processors to respective basic blocks or it may be provided by tools which can perform an automatic parallelization.", "Examples of such tools are “Pareon Profile” (provided by Vector Fabrics B.V., L J Zaltbommel, The Netherlands), “SLX Mapper” (provided by Silexica Software Solutions GmbH, Aachen, Germany), or “Silkan Wild Cruncher” (provided by SILKAN, Meudon la Forêt, France).", "At least one data dependency is identified between a first statement in a first basic block and a second statement in a second basic block.", "The data dependency in view of the mapping requires a data flow from a first processor to a second processor of the multi-processor system.", "Then, all paths between the first basic block and the second basic block are identified in the CFG.", "Then, all basic blocks are determined which provide potential insertion positions along the identified paths where communication statements can be inserted to enable the data flow at runtime.", "Each potential insertion position is evaluated, by using a predefined execution evaluation function, with regards to its impact on the execution of the program on the multi-processor system.", "Finally, optimized program code is obtained by inserting coupled send and receive communication statements such that each identified path has at least one receive and one send communication statement.", "Thereby, each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system.", "The optimized program code can then be executed on the multi-processor system wherein the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor.", "The optimized program code can be executed by a multi-processor simulation system to test the execution behavior of the optimized program code.", "For example, when the optimized program code is generated for use in embedded systems, it can be advantageous to simulate the behavior before loading the program code into the embedded system to virtually investigate the behavior of the system under study.", "In one embodiment, the information acquired through simulation or execution on real hardware is used to enable a profile-based optimization.", "Thereby, the information is used by the evaluation function resulting in further optimized runtime execution.", "In one embodiment, the step determining all basic blocks can further include: determining at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set, and the step inserting the communication statements can further include inserting pairs of send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "This embodiment is particular advantageous for program codes with complex CFGs with a plurality of branch paths because it ensures that all branches are covered with communication instructions.", "In one embodiment, a computer program product includes computer-readable instructions that when loaded into a memory of the compiler system and executed by one or more processors of the compiler system cause the compiler system to perform the previously described method.", "Further aspects of the present disclosure will be realized and attained by means of the elements and combinations particularly depicted in the appended claims.", "It is to be understood that both, the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the present disclosure as described.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a simplified block diagram of a computer system including a compiler system according to an embodiment of the present disclosure for optimizing a program for multi-processor system execution.", "FIG.", "2 is a simplified flow chart of a computer-implemented method for optimizing a program for multi-processor system execution according to an embodiment of the present disclosure.", "FIG.", "3 is a simplified first control flow graph example with data flow across multiple processors.", "FIG.", "4 is a simplified second control flow graph example with data flow across multiple processors.", "FIG.", "5 is a simplified third control flow graph example with data flow across multiple processors.", "FIG.", "6 is a diagram that shows an example of a generic computer device and a generic mobile computer device, which may be used with the techniques described here.", "DETAILED DESCRIPTION FIG.", "1 is a simplified block diagram of a computer system including a compiler system 160 according to an embodiment of the present disclosure for optimizing a program for multi-processor system execution.", "FIG.", "1 will be described in the context of FIG.", "2 which is a simplified flow chart of a computer-implemented method 1000 for optimizing a program for multi-processor system execution according to an embodiment of the present disclosure.", "Reference numbers may refer to FIG.", "1 and FIG.", "2.The system 100 includes a storage component 110 where program code files 111, 111-1 to 111-n can be stored.", "Any appropriate memory component can be used.", "For example, a file system, database or the main memory may be used to organize the various program codes in the storage component 110.For example, the storage component 110 can be an integral part of the compiler system 160.However, alternatively, the storage component can be a remote device which is communicatively coupled with the compiler system 160.For example, cloud storage devices may be used.", "At least one program code 111 which is stored in the storage component 110 relates to a program to be executed by at least one processor P1 to Pn of a multi-processor system 190.The multi-processor system may be a remote system which is communicatively coupled with the compiler system 160.The multi-processor system can also be a virtual system combining multiple systems, each with one or more processors, into a virtual system.", "Any configuration of the multi-processor system 190 may be used which allows to use the processors P1 to Pn for executing programs whose program codes are stored in the storage component.", "For example, the multi-processor system 190 can be used to perform parallel execution of a program code which is written to support parallelization.", "The compiler system 160 can load 1100 the program code 111 of the program from the storage component 110 via an appropriate interface 170.For example, the program code 111 may be generic and can be optimized for the processors at a later point in time or it may already be configured to be executed by at least one of the processors P1 to Pn.", "For example, the program code may originally be designed to be executed by a single processor (e.g., P1).", "The compiler system 160 has a static analysis component 120 configured to generate 1200 from the program code 111 a control flow graph, also referred to as CFG hereinafter.", "The CFG represents all paths that can be traversed through the execution of the respective program.", "Static program analysis is the analysis of computer software that is performed at compile time without actually executing programs.", "The analysis of the program can be performed on some version of the source code or on some form of the respective object code.", "Available tools, such as for example LLVM (provided by The LLVM Compiler Infrastructure, available at www.llvm.org) or GCC (the GNU Compiler Collection, available at https://gcc.gnu.org/), can be used for performing the static analysis of the program code 111.The static analysis component 120 is further configured to determine 1200 data dependencies within the program code 111 and to determine all basic blocks of the CFG providing potential insertion positions along paths where communication statements can be inserted to enable data flow between different processors at runtime.", "That is, the communication statements instruct particular processors to provide for data exchange between different processors at runtime.", "In other words, a basic block includes a plurality of statements which are all executed at runtime if one of the statements of the basic block is executed.", "That is, a group of consecutive program code statements which are always executed sequentially can form such a basic block.", "For parallelization of the program code, the compiler 160 can receive 1300 a mapping which includes for each statement represented by the CFG an assignment of the statement to a particular processor P1 to Pn of a multi-processor system 190.For example, a mapper component 130 can assign a particular processor P1 to a particular basic block of the CFG.", "As a result, all statements of the particular basic block will be executed by the assigned particular processor P1.Alternatively, the mapper 130 can assign processors directly to single statements of the program code.", "Such mapper components are known in the art.", "In some implementations, the following criteria may be used for the processor assignment: for each statement, exactly one assignment to a processing element has to be obtainable and only processing elements available in the system may be assigned.", "Examples for program code which can be subject to a static analysis include: program code based on a fourth generation array-based programming languages, also known as vector or multidimensional languages, and program code based on array-based programming languages which generalize operations on scalars to apply transparently to vectors, matrices, and higher-dimensional arrays.", "Array-based programming languages include MATLAB, Scilab, TK Solver, Octave, FreeMat, SageMath and the NumPy extension to Python.", "In these languages, an operation that operates on entire arrays can be called a vectorized operation regardless of whether it is executed on a vector processor or not.", "Further, the static analysis component 120 identifies 1400 data dependencies between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor P1 to a second processor P2 of the multi-processor system 190.In other words, after the various processors are assigned to the respective statements or basic blocks, a write statement with regards to a particular variable occurs in the first basic block whereas a read statement on the same particular variable occurs in the second basic block.", "In this case, a data dependency is identified which requires a transfer of the variable value (data flow) from the first processor P1 to the second processor P2.Once the system has identified such data dependencies between statements requiring a data transfer at runtime to allow the program to be executed on multiple processors of the multi-processor system 190, the compiler 160 inserts corresponding communication statements into the program code to execute the required data transfer(s) for all variables with respective data dependencies.", "Therefore, all paths between the first basic block and the second basic block are identified 1500 in the generated control flow graph.", "In each possible path between a write and read statement for the same variable on different processors, such communication statements need to be inserted to ensure that at runtime any possible execution of the program is covered and the particular data flows are enabled.", "Then, the compiler 160 determines 1600 all basic blocks providing potential insertion positions along the identified paths where communication statements can be inserted to enable the data flow at runtime.", "Any basic block which is part of any one of the previously identified paths between the basic blocks with a data dependency is a potential target for inserting the communication statements to enable the data flow.", "The compiler 160 further has an evaluation function 140 to evaluate 1700 each potential insertion position with regard to its impact on the runtime execution of the program on the multi-processor system 190.The execution evaluation function may use weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to the frequency of execution of the basic blocks.", "For example, a basic block in a loop structure may have a higher weight than a basic block which is executed only once in a main path because it is executed multiple times, and therefore, a communication statement in such a loop structure would create a significantly higher load for the execution than the basic block which is only executed once.", "A basic block in a main path may have a higher weight than a basic block in a branching path because the main path is always executed whereas branching paths are only executed if the program fulfills a corresponding branching condition.", "The weights of all possible branching paths in relation to the main path add up to the weight of a main path basic block.", "Finally, the compiler 160 obtains optimized program code(s) 111-1 to 111-n.", "There can be one optimized program code for each processor of the multi-processor system 190 in case all processors P1 to Pn are used.", "If not all of the processors are used, there may be less optimized program codes than processors.", "Alternatively, each processor may receive the same program code and the system determines at runtime which part of the program code is to be executed by the respective processor.", "In this embodiment, the program code may already determine the number of processors which are to be used for execution of the program.", "At runtime, the system can then determine which processor will be used for executing a particular portion of the program.", "In case that a subset of the processors is used, the number of optimized program codes may be lower than the number of available processors.", "The compiler 160 has a code modifier component 150 which inserts 1800 coupled send and receive communication statements in each identified path at one or more insertion positions wherein each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system 190.A pair of send and receive communication statements can be inserted as consecutive statements in one basic block or it may be split on two basic blocks on the same path in the CFG.", "Placing the statements in different basic blocks may improve the global scheduling of the program and therefore lead to better performance.", "The compiler ensures that each path has an equal number of send and receive statements.", "In case of complex control flow graphs with many branching paths, determining 1600 all basic blocks may include determining at least one potential set of insertion positions such that for each set of insertion positions each identified path is covered by at least one insertion position of the set.", "In this embodiment inserting 1800 the communication statements includes inserting pairs of send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "This ensures that for each branching path optimal insertion positions are used when inserting the communication statements.", "The multi-processor system 190 can then execute 1900 the optimized program code(s) on the respective processors.", "For example, the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor in accordance with the identified data dependency.", "FIG.", "3 is a simplified first control flow graph 300 example with data flow across two processors P1 and P2.The CFG 300 is the result of a static analysis of a respective program code.", "Six basic blocks 301 to 306 (BB1 to BB6) are identified in the static analysis.", "BB1 301 starts the program.", "BB2 302 includes a write statement for variable a: [a=1;].", "BB3 303 includes a conditional statement (e.g., an if-then statement or a case statement) with two branch options (NO and YES).", "The NO branch directly ends in the final basic block BB6 306.The YES branch leads to a further conditional statement in BB4 304 with two branch options (NO and YES).", "The NO branch again directly ends in the final basic block BB6 306.The YES branch leads to BB5 305 which includes a read statement on variable a: [b=a;] to assign the value of variable “a” to variable “b”.", "From there, the program also ends with BB6 306.In the example, BB2 302 is mapped to the first processor P1 and BB5 305 is mapped to the second processor P2.The assignment of the processors to the other basic blocks is not relevant for the optimization of the program code.", "Therefore, the compiler identifies a data dependency 321 between the statements in basic blocks 302 and 305.That is, at runtime, the write and read statements with regards to variable “a” are executed by different processors.", "As a consequence, a data flow is required at runtime to transfer the value of variable “a” from the first processor P1 to the second processor P2 in order to guarantee proper execution of the program.", "The compiler can now identify all paths between BB2 302 and BB5 305 in the CFG 300.In the example, there is only one path leading from BB2 to BB5: BB2→BB3→BB4→BB5.Any one of the other paths in CFG 300 would bypass BB5 305.Along the identified path from BB2 to BB5 the compiler can now determine all basic blocks which provide potential insertion positions where communication statements can be inserted to enable the data flow at runtime.", "In principle, any position on the identified path after the write statement in BB2 302 and before the read statement in BB5 can be used to insert coupled send and receive communication statements into the program code to ensure that the value of variable “a” is communicated from BB2 (to be executed by P1) to BB5 (to be executed by P2) before it is used at BB5.Any identified potential insertion position is appropriate to guarantee proper execution of the program at runtime on both processors P1 and P2.However, the different potential insertion positions may have significant impact on the execution behavior of the program at runtime.", "For example, dependent on the insertion position of the communication statements, the performance, power consumption, delay and/or resource requirements of the program at runtime are affected in a different manner.", "Therefore, it is advantageous to identify already at compile time which insertion position(s) can ensure an optimal execution behavior of the program at runtime.", "The compiler uses an execution evaluation function to obtain optimized program code(s) for each processor of the multi-processor system wherein each basic block of the respective CFG is mapped to one of the processors (i.e., for each basic block, one particular processor is assigned to the respective basic block).", "The evaluation function evaluates each potential insertion position regarding its impact on the runtime execution of the program.", "For this purpose, the execution evaluation function uses weighting metrics for the evaluation of the basic blocks 302 to 305.The weights are defined in relation to the frequency of execution of the basic blocks and illustrated as values shown in an elliptic shape tied to the respective basic block.", "In the example, a basic block which is always executed at runtime, receives the evaluation value “1”.", "In CFG 300, BB2 302 and BB3 303 are always executed at runtime.", "In other words, both basic blocks belong to any possible path of CFG 300.As a consequence, inserting communication statements in basic blocks 302 or 303 will execute such statement at runtime in any case—even if the program would not even get to BB5 305 at all because a NO condition would apply and BB5 is bypassed.", "In the example, the conditional statements of BB3 303 and BB4 304 cause a branching of the program flow in a NO and a YES branch.", "As a consequence, BB4 304 is not executed each time when the program is running but only if the YES condition of BB3 303 is fulfilled.", "Therefore, the weight of BB4 304 is lower.", "In the example, the weight assigned to BB4 by the execution evaluation function is “0.5”.", "Advantageously, the weights of branches add up to the weight of the branching basic block BB3 303.In the example, two branches branch off BB3.Therefore, each of the branches may receive a weight of “0.5”.", "The weight represents a kind of runtime execution probability for the respective basic block.", "Other weight distributions may be chosen if appropriate.", "For this reason the weight of BB4 304 in the example assigned by the evaluation function is “0.5”.", "That is, inserting the communication statements in BB4 324 is already more advantageous for the runtime execution than in BB2 or BB3 because BB4 may be executed less frequently.", "With the same reasoning, it is clear that BB5 305 which is on the YES branching path of BB4 is assigned an evaluation value of “0.25” (corresponding to “0.5” times the “0.5” value of BB4 304).", "As a consequence, an insertion position in BB5 305 before the read statement for variable “a” is the optimal insertion position with regards to the runtime execution behavior of the program.", "By placing the corresponding send and receive communication statements at this insertion position their number of executions of the statements is minimized for CFG 300 at runtime execution.", "FIG.", "4 is a simplified second control flow graph 400 example with data flow across multiple processors P1, P2.However, the example is more complex than the example in FIG.", "3.In CFG 400 multiple data dependencies 421, 422 exist.", "Similar as in FIG.", "3, the program starts with BB1 401 and ends with BB6 406.The processor assignments of the basic blocks BB2 402 and BB5 405 and the corresponding path options through the basic blocks correspond to the previous example of FIG.", "3.In addition, a further basic block BB7 407 on the NO branch of BB3 403 is identified by the static analysis.", "The further basic block BB7 also includes a read statement [c=a;] with regards to variable “a” and is assigned to the second processor P2.That is, a further data dependency 422 is determined between the statements of basic block BB2 402 and the basic block BB7 407.As a consequence, all paths of CFG 400 in relation to this data dependency 422 need to be identified by the compiler.", "In the example, only one path is leading from BB2 to BB7: BB2→BB3→BB7.Potential insertion positions for coupled send and receive communication statements are in basic block BB2 402 after the write statement for variable “a”, in basic block BB3 403 and in basic block BB7 407 before the read statement for variable “a”.", "The application of the evaluation function results in an evaluation value of “0.5” for BB7 407 because it is in a branching path of BB3 403.It is to be noted that the valuation values of both branches of BB3 add up to the evaluation value “1” of BB3 403 (being a main path basic block).", "As a result, the compiler will insert the coupled communication statements in basic block BB7 407 and basic block BB5 405 before the respective read statements for variable “a” because the optimal execution behavior (lowest evaluation values) can be expected in this case.", "The overall evaluation value for this scenario is “0.75” (0.5(BB7)+0.25(BB5)).", "An insertion in BB2 402 after the write statement or BB3 403 has a total evaluation value of “1”.", "An insertion in BB4 404 and BB7 407 also has a total evaluation value of “1” (0.5(BB7)+0.5(BB4)).", "A person skilled in the art may use any other appropriate metrics to compute the optimal insertion positions.", "For example, a higher weight may indicate a lower frequency of execution in which case the highest evaluation values would indicate the respective insertion positions.", "FIG.", "5 is another simplified control flow graph 500 example with data flow across multiple processors P1, P2.CFG 500 starts with BB1 501 and ends with BB11 511.CFG 500 has a higher complexity compared to the previous examples because it includes two write statements for variable “a” ([a=2;] and [a=1;]) assigned to the first processor P1 and two read statements for variable “a” ([c=a;] and [b=a;]) assigned to the second processor P2.It also includes loop structure FOR1 530 wherein one of the read statements is part of the loop structure.", "CFG 500 is now explained in more detail.", "For example, according to the code example 1, the program code in the C programming language on which basis CFG 500 is generated may include the following statements including the mapping received from the mapper 130: Code Example 1 01 P1: if (...) { 02 P1: a = 1; 03 P1: } else { 04 P1: a = 2; 05 P1: } 06 07 P2: for (i=0; i<max; ++i) { 08 P2: if (...) { 09 P2: b = a; 10 P2: } 11 P2: } 12 13 P1: c = a; Depending on the conditional statement in lines 01, 03, 05 of the code example (corresponding to BB2 502), the variable “a” is written with different values, either in line 04 (corresponding to basic block BB4 504—NO branch of BB2) or in line 02 (corresponding to BB3 503—YES branch of BB2).", "The two branches are merged again in basic block BB5 505 which is the start of the FOR1 loop 530 (lines 07 to 11) where the loop counter “i” is initialized (set to “0”).", "The next basic block BB6 506 corresponds to the conditional statement “i<max” in line 07 of the loop 530.BB6 checks within each loop iteration whether the loop counter “i” is smaller than a threshold value “max”.", "As long as it is smaller, the lines 08 to 11 are executed.", "The conditional statement in line 08 corresponds to basic block BB8 508.If the condition is true, the read statement for variable “a” in line 09 (corresponding to basic block BB9 509) is executed (YES branch of BB8) and the counter is incremented (line 07 “++i”) corresponding to basic block BB10 510.Otherwise, the counter is directly incremented.", "Once “i” reaches “max” (cf.", "line 07), the program exits the loop through the NO branch of BB6 506.Then, a further read statement of line 13 regarding variable “a” is executed (corresponding to BB7 507).", "In the example, the basic blocks BB3 and BB4 containing the write statements for variable “a” are mapped to the first processor P1 whereas the basic blocks BB7 and BB9 containing the read statements for variable “a” are mapped to the second processor P2.In this example, the compiler determines four data dependencies 521 to 524.The first data dependency 521 between BB4 and BB7 corresponds to the path BB4→BB5→BB6→BB7.The second data dependency 522 between BB4 and BB9 corresponds to the path BB4→BB5→BB6→BB8→BB9.The third data dependency between BB3 and BB7 corresponds to the path BB3→BB5→BB6→BB7.The fourth data dependency between BB3 and BB9 corresponds to the path BB3→BB5→BB6→BB8→BB9.All four paths are identified by the compiler and analyzed with regards to potential insertion positions for communication statements along these paths.", "In other words, the evaluation function of the compiler evaluates all basic blocks along all identified paths with the earlier described evaluation metrics.", "In general, for one data dependency there can be multiple paths which are affected by the data dependency.", "Basic blocks BB3 503 and BB4 504 are in branch paths of BB2 and both receive an evaluation value of “0.5” according to the example metrics.", "Although BB5 505 is part of the FOR1 loop structure, it is only executed once during initialization of the loop counter and therefore belongs to the main path of CFG 500.As a result, its evaluation value is “1”.", "BB6 506 is the first basic block which is executed with each loop iteration until the loop counter reaches “max”.", "In the example, the weight for basic blocks in loop structures is assumed 10 times higher than the initialization basic block BB5.Therefore, BB6 as being part of the main path within the loop receives the evaluation value “10”.", "As long as the loop counter is less than “max”, the YES branch of BB6 is executed.", "Only at the very end of the loop execution, the exit condition “i=max” is met and the NO branch will be executed.", "That is, the loop branch cannot be handled like the previously explained if-branches because BB8 508 is also executed with each loop iteration.", "Therefore, BB8 also receives an evaluation value of “10”.", "Within the loop BB8 includes a conditional if statement (line 08) where the YES branch leads to BB9 509 including the read statement for variable “a”.", "The NO branch leads directly to incrementing the loop counter in BB10 510.In this case, the if-branches of BB8 are valued with “0.5”.", "However, because the if-branches occur within the loop, the values are multiplied with the loop weight of “10” thus resulting in an evaluation value of “5” according to the example metrics.", "BB10 for incrementing the counter is also executed with each loop iteration and therefore receives the evaluation value “10”.", "Once the program exits the loop at “i=max” (NO branch of BB6), a further read statement (line 13) with regards to variable “a” is executed in BB7 507.Although BB7 is on a branch path of BB6, it will always be executed after the loop.", "Therefore, it is considered to be on the main path of the program and receives an evaluation value of “1” according to the example metrics.", "When selecting the optimal insertion positions for the send and receive communication statements, the compiler takes into account all possible paths for each identified dependency.", "That is, it determines at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set.", "The communication statements are then inserted at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "In the example of FIG.", "5, the optimal insertion positions are in BB4 504 and BB3 503 after respective write statements for variable “a”.", "These positions cover all possible paths regarding the four identified data dependencies with only two pairs of communication statements evaluated with the lowest evaluation values of “0.5”.", "That is, in total the overall evaluation value is “1” which is the lowest possible value that can be achieved and therefore indicates the optimal configuration.", "BB5 has the same evaluation value of “1” and would lead to the same result.", "However, because it is the initialization block of a for-loop, inserting communications statements in BB5 is only possible when using uncommon structs in the C language which may cause problems for the execution.", "Creation of a new basic block directly before BB5 would have the same behavior as inserting the communication in BB3 and BB4 and is therefore another option (cf., code example 3).", "For example, when inserting the communication statements in BB7 and BB9 before the read statements, the overall evaluation value for this configuration would still be “6” indicating a significantly worse execution behavior than the optimal configuration.", "The following code example 2 illustrates optimized program code for processors P1 and P2 including the inserted send and receive communication statements.", "A person skilled in the art can provide compiler means to adjust the control flow graph in a way that the optimized program code can be separated into two optimized program codes tailored to the respective processors P1, P2.The coupled communication statements inserted in lines 03, 04 correspond to the insertion position in BB3.The coupled communication statements inserted in lines 07, 08 correspond to the insertion position in BB4.Code Example 2 01 P1: if (...) { 02 P1: a = 1; 03 P1: send(a, P2); 04 P2: receive(a, P1); 05 P1: } else { 06 P1: a = 2; 07 P1: send(a, P2); 08 P2: receive(a, P1); 09 P1: } 10 11 P2: for (i=0; i<max; ++i) { 12 P2: if (...) { 13 P2: b = a; 14 P2: } 15 P2: } 16 17 P2: c = a; The following code example 3 illustrates the creation of a new basic block before BB5 to insert the receive communication statement in line 09.In this example, the coupled send (lines 03, 05) and receive instructions (line 09) are separated and not inserted as consecutive statements.", "Because the receive statement is taken out of the branched basic blocks BB3, BB4 and inserted in the main path before BB5 only a single receive statement is needed.", "Therefore, separating coupled communication statements can reduce the size of the optimized program code which may become relevant in environments with memory constraints, such as for example, embedded systems.", "Code Example 3 01 P1: if (...) { 02 P1: a = 1; 03 P1: send(a, P2); 04 P1: } else { 05 P1: a = 2; 06 P1: send(a, P2); 07 P1: } 08 09 P2: receive(a, P1); 10 11 P2: for (i=0; i<max; ++i) { 12 P2: if (...) { 13 P2: b = a; 14 P2: } 17 P2: } 16 17 P2: c = a; A system of one or more computers can be configured to perform particular operations or actions by virtue of having software, firmware, hardware, or a combination of them installed on the system that in operation causes or cause the system to perform the actions.", "One or more computer programs can be configured to perform particular operations or actions by virtue of including instructions that, when executed by data processing apparatus, cause the apparatus to perform the actions.", "One general aspect includes a compiler system for optimizing a program for multi-processor system execution, the compiler system including: an interface component configured to loading from a storage component program code of a program to be executed by one or more processors (p1 to pn) of a multi-processor system and to provide one or more optimized program codes to the storage component, where each optimized program code is configured for execution by one or more processors (p1 to pn); a static analysis component configured to, generating from the program code a control flow graph representing traversable paths through the execution of the respective program, determining data dependencies within the program code, and determining a plurality of basic blocks of the control flow graph providing potential insertion positions along paths in which communication statements are adapted to be inserted to enable data flow between different processors at runtime; an evaluation function component configured to evaluate each potential insertion position with respect to an impact of a respective potential insertion position on program execution on the multi-processor system at runtime by using a predefined execution evaluation function; and a code modification component configured to obtain the one or more optimized program codes by inserting coupled send and receive communication statements in each relevant path of the control flow graph at one or more insertion positions, where each insertion position has an evaluation value which indicates optimal runtime execution for the program when executed on the multi-processor system.", "Other embodiments of this aspect include corresponding computer systems, apparatus, and computer programs recorded on one or more computer storage devices, each configured to perform the actions of the methods.", "Implementations may include one or more of the following features.", "The system where the static analysis component is further configured to identify at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor (p1) to a second processor (p2) of the multi-processor system, and where the static analysis component further identifies a plurality of paths between the first basic block and the second basic block in the control flow graph.", "The system where the static analysis component is further configured to determine at least one potential set of insertion positions such that for each set each identified path is covered by at least one insertion position of the set, and where the code modification component is further configured to insert coupled send and receive communication statements at the particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "The system where the program code does not include dynamic pointer instructions or function calls with variables passed by reference.", "The system where the execution evaluation function uses weighting metrics for the evaluation of basic blocks where weights are defined in relation to the frequency of execution of the basic blocks, and where, a basic block in a loop structure has a higher weight than a basic block which is executed once in a main path, and a basic block in a main path has a higher weight than a basic block in a branching path.", "The system where a pair of send and receive communication statements are consecutive statements in one of the one or more optimized program codes.", "Implementations of the described techniques may include hardware, a method or process, or computer software on a computer-accessible medium.", "In another general aspect, a computer-implemented method and a computer program product for optimizing a program for multi-processor system execution may be executed at compile-time and may include loading a program code of the program wherein the program is to be executed by at least one processor (P1 to Pn).", "As a result of a static analysis of the program code, the method may include generating a control flow graph representing traversable paths through the execution of the program, and determining data dependencies between pairs of statements of the program code wherein sets of statements are represented by basic blocks of the control flow graph; receiving a mapping which includes for each statement an assignment of the statement to a particular processor (P1 to Pn) of a multi-processor system; identifying at least one data dependency between a first statement in a first basic block and a second statement in a second basic block where a data flow is indicated from a first processor (P1) to a second processor (P2) of the multi-processor system; identifying all paths between the first basic block and the second basic block in the control flow graph; determining all basic blocks providing potential insertion positions along the identified paths where communication statements are adapted to be inserted to enable the data flow at runtime; evaluating, by using a predefined execution evaluation function, each potential insertion position with respect to an impact on the execution of the program on the multi-processor system; and obtaining optimized program code by inserting coupled send and receive communication statements in each identified path at one or more insertion positions wherein each insertion position has an evaluation value which indicates optimal runtime execution of the program when executed on the multi-processor system.", "The method and computer program product may also include executing the optimized program code on the multi-processor system wherein the first basic block is executed by the first processor and the second basic block is executed by the second processor and the execution of inserted communication statements causes a data transfer from the first processor to the second processor.", "In some implementations, the program code does not include dynamic pointer instructions or function calls with variables passed by reference.", "In some implementations, the program code is derived of a fourth generation array-based programming language.", "In some implementations, the execution evaluation function uses weighting metrics for the evaluation of basic blocks wherein weights are defined in relation to a frequency of execution of the basic blocks.", "In some implementations, a basic block in a loop structure has a higher weight than a basic block on a path outside of a loop structure, and a basic block outside a branching path has a higher weight than a basic block in a branching path.", "In some implementations, a pair of send and receive communication statements is inserted as consecutive statements.", "In some implementations, determining all basic blocks includes: determining at least one potential set of insertion positions such that for each set each identified path is covered by at least one communication statement of the set, and wherein inserting the communication statements includes inserting coupled send and receive communication statements at a particular set of insertion positions such that the evaluation of the particular set of insertion positions out of the potential sets of insertion positions is optimal in view of the respective evaluation values.", "FIG.", "6 is a diagram that shows an example of a generic computer device 900 and a generic mobile computer device 950, which may be used with the techniques described here.", "Computing device 900 is intended to represent various forms of digital computers, such as laptops, desktops, workstations, personal digital assistants, servers, blade servers, mainframes, and other appropriate computers.", "Generic computer device may 900 correspond to the computer system 100 of FIG.", "1.Computing device 950 is intended to represent various forms of mobile devices, such as personal digital assistants, cellular telephones, smart phones, and other similar computing devices.", "For example, computing device 950 may include the data storage components and/or processing components of agent devices as shown in FIG.", "1.The components shown here, their connections and relationships, and their functions, are meant to be exemplary only, and are not meant to limit implementations of the present disclosures described and/or claimed in this document.", "Computing device 900 includes a processor 902, memory 904, a storage device 906, a high-speed interface 908 connecting to memory 904 and high-speed expansion ports 910, and a low speed interface 912 connecting to low speed bus 914 and storage device 906.Each of the components 902, 904, 906, 908, 910, and 912, are interconnected using various busses, and may be mounted on a common motherboard or in other manners as appropriate.", "The processor 902 can process instructions for execution within the computing device 900, including instructions stored in the memory 904 or on the storage device 906 to display graphical information for a GUI on an external input/output device, such as display 916 coupled to high speed interface 908.In other implementations, multiple processors and/or multiple buses may be used, as appropriate, along with multiple memories and types of memory.", "Also, multiple computing devices 900 may be connected, with each device providing portions of the necessary operations (e.g., as a server bank, a group of blade servers, or a multi-processor system).", "The memory 904 stores information within the computing device 900.In one implementation, the memory 904 is a volatile memory unit or units.", "In another implementation, the memory 904 is a non-volatile memory unit or units.", "The memory 904 may also be another form of computer-readable medium, such as a magnetic or optical disk.", "The storage device 906 is capable of providing mass storage for the computing device 900.In one implementation, the storage device 906 may be or contain a computer-readable medium, such as a floppy disk device, a hard disk device, an optical disk device, or a tape device, a flash memory or other similar solid state memory device, or an array of devices, including devices in a storage area network or other configurations.", "A computer program product can be tangibly embodied in an information carrier.", "The computer program product may also contain instructions that, when executed, perform one or more methods, such as those described above.", "The information carrier is a computer- or machine-readable medium, such as the memory 904, the storage device 906, or memory on processor 902.The high speed controller 908 manages bandwidth-intensive operations for the computing device 900, while the low speed controller 912 manages lower bandwidth-intensive operations.", "Such allocation of functions is exemplary only.", "In one implementation, the high-speed controller 908 is coupled to memory 904, display 916 (e.g., through a graphics processor or accelerator), and to high-speed expansion ports 910, which may accept various expansion cards (not shown).", "In the implementation, low-speed controller 912 is coupled to storage device 906 and low-speed expansion port 914.The low-speed expansion port, which may include various communication ports (e.g., USB, Bluetooth, Ethernet, wireless Ethernet) may be coupled to one or more input/output devices, such as a keyboard, a pointing device, a scanner, or a networking device such as a switch or router, e.g., through a network adapter.", "The computing device 900 may be implemented in a number of different forms, as shown in the figure.", "For example, it may be implemented as a standard server 920, or multiple times in a group of such servers.", "It may also be implemented as part of a rack server system 924.In addition, it may be implemented in a personal computer such as a laptop computer 922.Alternatively, components from computing device 900 may be combined with other components in a mobile device (not shown), such as device 950.Each of such devices may contain one or more of computing device 900, 950, and an entire system may be made up of multiple computing devices 900, 950 communicating with each other.", "Computing device 950 includes a processor 952, memory 964, an input/output device such as a display 954, a communication interface 966, and a transceiver 968, among other components.", "The device 950 may also be provided with a storage device, such as a microdrive or other device, to provide additional storage.", "Each of the components 950, 952, 964, 954, 966, and 968, are interconnected using various buses, and several of the components may be mounted on a common motherboard or in other manners as appropriate.", "The processor 952 can execute instructions within the computing device 950, including instructions stored in the memory 964.The processor may be implemented as a chipset of chips that include separate and multiple analog and digital processors.", "The processor may provide, for example, for coordination of the other components of the device 950, such as control of user interfaces, applications run by device 950, and wireless communication by device 950.Processor 952 may communicate with a user through control interface 958 and display interface 956 coupled to a display 954.The display 954 may be, for example, a TFT LCD (Thin-Film-Transistor Liquid Crystal Display) or an OLED (Organic Light Emitting Diode) display, or other appropriate display technology.", "The display interface 956 may comprise appropriate circuitry for driving the display 954 to present graphical and other information to a user.", "The control interface 958 may receive commands from a user and convert them for submission to the processor 952.In addition, an external interface 962 may be provide in communication with processor 952, so as to enable near area communication of device 950 with other devices.", "External interface 962 may provide, for example, for wired communication in some implementations, or for wireless communication in other implementations, and multiple interfaces may also be used.", "The memory 964 stores information within the computing device 950.The memory 964 can be implemented as one or more of a computer-readable medium or media, a volatile memory unit or units, or a non-volatile memory unit or units.", "Expansion memory 984 may also be provided and connected to device 950 through expansion interface 982, which may include, for example, a SIMM (Single In Line Memory Module) card interface.", "Such expansion memory 984 may provide extra storage space for device 950, or may also store applications or other information for device 950.Specifically, expansion memory 984 may include instructions to carry out or supplement the processes described above, and may include secure information also.", "Thus, for example, expansion memory 984 may act as a security module for device 950, and may be programmed with instructions that permit secure use of device 950.In addition, secure applications may be provided via the SIMM cards, along with additional information, such as placing the identifying information on the SIMM card in a non-hackable manner.", "The memory may include, for example, flash memory and/or NVRAM memory, as discussed below.", "In one implementation, a computer program product is tangibly embodied in an information carrier.", "The computer program product contains instructions that, when executed, perform one or more methods, such as those described above.", "The information carrier is a computer- or machine-readable medium, such as the memory 964, expansion memory 984, or memory on processor 952, that may be received, for example, over transceiver 968 or external interface 962.Device 950 may communicate wirelessly through communication interface 966, which may include digital signal processing circuitry where necessary.", "Communication interface 966 may provide for communications under various modes or protocols, such as GSM voice calls, SMS, EMS, or MMS messaging, CDMA, TDMA, PDC, WCDMA, CDMA2000, or GPRS, among others.", "Such communication may occur, for example, through radio-frequency transceiver 968.In addition, short-range communication may occur, such as using a Bluetooth, WiFi, or other such transceiver (not shown).", "In addition, GPS (Global Positioning System) receiver module 980 may provide additional navigation- and location-related wireless data to device 950, which may be used as appropriate by applications running on device 950.Device 950 may also communicate audibly using audio codec 960, which may receive spoken information from a user and convert it to usable digital information.", "Audio codec 960 may likewise generate audible sound for a user, such as through a speaker, e.g., in a handset of device 950.Such sound may include sound from voice telephone calls, may include recorded sound (e.g., voice messages, music files, etc.)", "and may also include sound generated by applications operating on device 950.The computing device 950 may be implemented in a number of different forms, as shown in the figure.", "For example, it may be implemented as a cellular telephone 980.It may also be implemented as part of a smart phone 982, personal digital assistant, or other similar mobile device.", "Various implementations of the systems and techniques described here can be realized in digital electronic circuitry, integrated circuitry, specially designed ASICs (application specific integrated circuits), computer hardware, firmware, software, and/or combinations thereof.", "These various implementations can include implementation in one or more computer programs that are executable and/or interpretable on a programmable system including at least one programmable processor, which may be special or general purpose, coupled to receive data and instructions from, and to transmit data and instructions to, a storage system, at least one input device, and at least one output device.", "These computer programs (also known as programs, software, software applications or code) include machine instructions for a programmable processor, and can be implemented in a high-level procedural and/or object-oriented programming language, and/or in assembly/machine language.", "As used herein, the terms “machine-readable medium” and “computer-readable medium” refer to any computer program product, apparatus and/or device (e.g., magnetic discs, optical disks, memory, Programmable Logic Devices (PLDs)) used to provide machine instructions and/or data to a programmable processor, including a machine-readable medium that receives machine instructions as a machine-readable signal.", "The term “machine-readable signal” refers to any signal used to provide machine instructions and/or data to a programmable processor.", "To provide for interaction with a user, the systems and techniques described here can be implemented on a computer having a display device (e.g., a CRT (cathode ray tube) or LCD (liquid crystal display) monitor) for displaying information to the user and a keyboard and a pointing device (e.g., a mouse or a trackball) by which the user can provide input to the computer.", "Other kinds of devices can be used to provide for interaction with a user as well; for example, feedback provided to the user can be any form of sensory feedback (e.g., visual feedback, auditory feedback, or tactile feedback); and input from the user can be received in any form, including acoustic, speech, or tactile input.", "The systems and techniques described here can be implemented in a computing device that includes a back end component (e.g., as a data server), or that includes a middleware component (e.g., an application server), or that includes a front end component (e.g., a client computer having a graphical user interface or a Web browser through which a user can interact with an implementation of the systems and techniques described here), or any combination of such back end, middleware, or front end components.", "The components of the system can be interconnected by any form or medium of digital data communication (e.g., a communication network).", "Examples of communication networks include a local area network (“LAN”), a wide area network (“WAN”), and the Internet.", "The computing device can include clients and servers.", "A client and server are generally remote from each other and typically interact through a communication network.", "The relationship of client and server arises by virtue of computer programs running on the respective computers and having a client-server relationship to each other.", "A number of embodiments have been described.", "Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the present disclosure.", "In addition, the logic flows depicted in the figures do not require the particular order shown, or sequential order, to achieve desirable results.", "In addition, other steps may be provided, or steps may be eliminated, from the described flows, and other components may be added to, or removed from, the described systems.", "Accordingly, other embodiments are within the scope of the following claims." ] ]
Patent_15875553
[ [ "SYSTEM AND METHOD FOR SUPPLYING SECURITY INFORMATION", "A wearable device having one or more processors configured to receive one or more signals representative of biometric parameter(s) and sensed presence of a user.", "The one or more processors configured to compare the biometric parameter to a stored user parameter for authentication of the user.", "Upon authentication, the one or more processors switch from a locked mode to an unlocked mode to enable communication." ], [ "1.A wearable device, comprising: a housing configured to be worn by a user; at least one sensor supported by the housing and configured to sense presence of a user in contact with the housing, and at least one biometric parameter of a user in contact with the wearable device and output one or more signals representative of the biometric parameter; a communication device configured to provide wireless communication signals; at least one data processing system having one or more processors configured to receive the one or more signals representative of the biometric parameter and sensed presence of the user and compare the biometric parameter to a stored user parameter for authentication of the user, wherein upon authentication by the one or more processors, the one or more processors are configured to switch from a locked mode to an unlocked mode to enable the one or more processors to communicate data, via the communication device, indicative of at least two factors conforming to the requirements of a multi-factor authentication scheme, and to switch from the unlocked mode to the locked mode in absence of the at least one sensor device sensing the presence of the user, at least one of the one or more processors and the communication device being disabled from communicating data indicative of the factor in the locked mode; and, a power source providing energy to the sensor and the at least one data processing system.", "2.The wearable device of claim 1, wherein the housing is a ring.", "3.The wearable device of claim 1, wherein the at least one sensor includes a first sensor and a second sensor, and wherein the second sensor is configured to continuously sense proximity of the user.", "4.The wearable device of claim 1, wherein at least one of the sensor and the one or more processors are configured to transform the biometric parameter with a cryptographic hash function.", "5.The wearable device of claim 1, wherein the at least one sensor includes a first sensor and a second sensor, and wherein the second sensor is positioned externally and at a distance from the wearable device.", "6.The wearable device of claim 1, further comprising at least one indicator coupled to the one or more processors and configured to provide one or more states of the wearable device.", "7.The wearable device of claim 6, wherein the at least one indicator comprises a secondary indicator positioned on a paired device and wherein the at least one indicator and the secondary indicator establish a secure connection between the communication device and the paired device.", "8.The wearable device of claim 1, further comprising at least one indicator positioned externally and at a distance from the wearable device and configured to provide one or more states of the wearable device.", "9.The wearable device of claim 1, wherein the communication device transmits wirelessly using a protocol conforming to the requirements of low energy bluetooth.", "10.The wearable device of claim 1, wherein the communication device includes a near field communications antenna.", "11.The wearable device of claim 10, wherein the near field communications antenna includes one or more resonant coils.", "12.The wearable device of claim 11, further comprising charging circuitry and NFC transaction security, and wherein the communication device further includes one or more resonant traps coupled to charging circuitry and the NFC transaction security and configured to isolate signals intended for the NFC transaction circuitry from the charging circuitry.", "13.The wearable device of claim 12, wherein recharge of the power source occurs at a frequency that is not resonant with the one or more resonant coils.", "14.The wearable device of claim 10, wherein the communication device is configured to discern between communication signals including sensitive data and energy for the power source via detection of a non-resonant charging frequency.", "15.The wearable device of claim 1, wherein the wearable device further comprises a temporary memory and a permanent memory wherein temporary memory stores unencrypted sensitive information when the one or more processors are in an unlocked mode and discards unencrypted sensitive information when the one or more processors are in a locked mode.", "16.The wearable device of claim 1, wherein the at least one sensor supported by the housing and configured to sense presence of a user in contact with the housing is a fingerprint scanner.", "17.The wearable device of claim 1, wherein the multi-factor authentication scheme includes identity and verification of car security access.", "18.The wearable device of claim 1, wherein the multi-factor authentication scheme includes identity and verification of lock access.", "19.The wearable device of claim 1, wherein the multi-factor authentication scheme includes identity and verification of user account access.", "20.A method comprising: determining, by a first sensor on a wearable device, a biometric parameter of a user and transmitting the biometric parameter to one or more processors, at least one of the processors positioned in the wearable device; determining, by a second sensor on the wearable device, continuous presence of the user; authenticating, by the one or more processors, the user using the biometric parameter and one or more stored parameters; switching the one or more processors from a locked mode to an unlocked mode responsive to authenticating the user; and, maintaining the one or more processors in the unlocked mode by monitoring the continuous biometric parameter via the second sensor.", "21.The method of claim 20, wherein the wearable device includes a rechargeable power source and a communication device, and further comprising recharging the power source on the wearable device by the communication device.", "22.The method of claim 20, further comprising discerning, by a communication device in the wearable device, between a NFC transaction and charging due to detection of a non-resonant charging frequency.", "23.The method of claim 20, further comprising the step of transmitting, by the one or more processors in the unlocked mode, sensitive information stored in a temporary memory to a paired device.", "24.The method of claim 23, further comprising the step of discarding, by the temporary memory the sensitive information when the one or more processors are switched to the locked mode.", "25.A wearable device, comprising: a housing configured to be worn by a user; at least one sensor supported by the housing and configured to obtain one or more biometric parameters from a user in contact with the wearable device; at least one processor coupled to the housing and having circuitry that receives and utilizes the biometric parameters to configure the processor in an unlocked mode and a locked mode, wherein in the unlocked mode the processor decrypts sensitive information and stores the decrypted sensitive information in a temporary memory; a power source coupled to the housing; and, a communication device coupled to the housing and configured to receive and provide communication signals related to the sensitive information.", "26.The wearable device of claim 25, wherein at least one processor is configured to transmit the decrypted sensitive information to one or more external systems.", "27.The wearable device of claim 25, wherein the at least one processor is configured to receive a cryptographic challenge from one or more external system, determine whether the cryptographic challenge corresponds to the decrypted sensitive information, and respond to the cryptographic challenge in a first manner when the cryptographic challenge corresponds to the decrypted sensitive information, or in a second manner when the cryptographic challenge does not correspond to the decrypted sensitive information." ], [ "<SOH> BACKGROUND <EOH>In the electronic age, the number of keys, whether physical, electronic, or cybernetic, has drastically increased.", "For example, a given person may carry house keys, car keys, work keys, and/or the like.", "Additionally, such person may have one or more radio-frequency identification (RFID) badges, access cards, and/or automated teller machine (ATM) cards providing for access to bank lobbies.", "Further, passwords may be included on phones, computers, online and other accounts being used for any number of purposes.", "Each of these present security weaknesses.", "For example, regarding physical keys, possession of the physical key gains access.", "However, if the physical key is lost or stolen, not only is your access limited, but an outside party may now have access to your house, car or office.", "In another example, cybernetic keys, such as passwords, may be selected for ease in remembrance.", "Yet, such passwords may be easily determined and/or hacked.", "Additionally, use of the same password over multiple accounts may leave such accounts compromised in such an event.", "Several products on the market today attempt to address these issues.", "For example, “master password” services provide unique, hard to hack passwords for accounts, storing them in an encrypted format in a “password vault” accessible using a “master password.” While this may solve the issue of remembering multiple unique passwords and use of a sole password for multiple accounts, if the master key is ever compromised, all passwords may need changing.", "Further, a sole “master password” for entrance into the vault provides a point of weakness.", "Such “master password” systems only involved cybernetic passwords, and do not provide any system for physical and/or electronic keys.", "With the growing number of electronic lock capabilities for cars, homes, offices, and the like, reliance on physical keys may be decreasing.", "As such, systems currently available may provide a means to store multiple electronic keys on a single device.", "This may be beneficial for convenience as it obviates the needs to carry multiple key fobs, access cards, RFID tags, and/or the like.", "Again, however, such systems present security vulnerability as possession of a master key gains access and if the master key is lost and/or stolen, an outside party may now have access to all electronic locks.", "Multi-factor security may be used to solve these issues.", "Such three security factors may often be described as “what you have,” “what you know,” and “who you are.” The “what you have” factor refers to possession (e.g., having a physical key granting access).", "The “what you know” factor refers to something known (e.g., a password, PIN, key phrase, and/or the like, granting access).", "The “who you are” factor refers to biometrics (e.g., a fingerprint scan, retinal scan, and the like, granting access).", "Generally, a multi-factor solution may utilize two of the three security factors, with some systems incorporating all three.", "Smartphones have recently added two factor authentication.", "For example, some forms of keys (e.g., credit cards) may require both possession of a phone and a fingerprint scan with each transaction (e.g., transaction at a contactless payment credit card terminal)." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>These and other objects and features of the present invention will be more fully disclosed or rendered obvious by the following detailed description of the invention, which is to be considered together with the accompanying drawings wherein like numbers refer to like parts, and further wherein: FIG.", "1 is a perspective view of an exemplary wearable device in accordance with the present invention.", "FIG.", "2 is a block diagram of the exemplary wearable device illustrated in FIG.", "1 .", "FIG.", "3A is an exemplary circuit diagram of an exemplary communication device for use with the wearable device illustrated in FIG.", "1 .", "FIG.", "3B is an exemplary graphical representation of impedance in the circuit illustrated in FIG.", "3A .", "FIG.", "4 is a circuit diagram illustrating communication between an external charger and the wearable device illustrated in FIG.", "1 .", "FIG.", "5 illustrates a flow chart of an exemplary method for providing the wearable device in a locked mode and an unlocked mode such that an exemplary wearable device may be configured to process a key request and/or communicate sensitive data.", "FIG.", "6 illustrates a flow chart of an exemplary method for using an exemplary wearable device to process key requests.", "FIG.", "7 illustrates a flow chart of an exemplary method for providing confirmation from a user of the wearable device.", "FIG.", "8 illustrates a flow chart of an exemplary method for providing secure information from an exemplary wearable device to an exemplary paired device.", "FIG.", "9 illustrates a block diagram of an exemplary wearable device communicating with one or more automobile systems.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE TO RELATED APPLICATION The present application is a continuation of U.S. patent application Ser.", "No.", "15/672,896, filed Aug. 9, 2017, which claims priority to the provisional patent application identified by U.S. Ser.", "No.", "62/384,257, filed on Sep. 7, 2016, which is hereby incorporated by reference in its entirety.", "BACKGROUND In the electronic age, the number of keys, whether physical, electronic, or cybernetic, has drastically increased.", "For example, a given person may carry house keys, car keys, work keys, and/or the like.", "Additionally, such person may have one or more radio-frequency identification (RFID) badges, access cards, and/or automated teller machine (ATM) cards providing for access to bank lobbies.", "Further, passwords may be included on phones, computers, online and other accounts being used for any number of purposes.", "Each of these present security weaknesses.", "For example, regarding physical keys, possession of the physical key gains access.", "However, if the physical key is lost or stolen, not only is your access limited, but an outside party may now have access to your house, car or office.", "In another example, cybernetic keys, such as passwords, may be selected for ease in remembrance.", "Yet, such passwords may be easily determined and/or hacked.", "Additionally, use of the same password over multiple accounts may leave such accounts compromised in such an event.", "Several products on the market today attempt to address these issues.", "For example, “master password” services provide unique, hard to hack passwords for accounts, storing them in an encrypted format in a “password vault” accessible using a “master password.” While this may solve the issue of remembering multiple unique passwords and use of a sole password for multiple accounts, if the master key is ever compromised, all passwords may need changing.", "Further, a sole “master password” for entrance into the vault provides a point of weakness.", "Such “master password” systems only involved cybernetic passwords, and do not provide any system for physical and/or electronic keys.", "With the growing number of electronic lock capabilities for cars, homes, offices, and the like, reliance on physical keys may be decreasing.", "As such, systems currently available may provide a means to store multiple electronic keys on a single device.", "This may be beneficial for convenience as it obviates the needs to carry multiple key fobs, access cards, RFID tags, and/or the like.", "Again, however, such systems present security vulnerability as possession of a master key gains access and if the master key is lost and/or stolen, an outside party may now have access to all electronic locks.", "Multi-factor security may be used to solve these issues.", "Such three security factors may often be described as “what you have,” “what you know,” and “who you are.” The “what you have” factor refers to possession (e.g., having a physical key granting access).", "The “what you know” factor refers to something known (e.g., a password, PIN, key phrase, and/or the like, granting access).", "The “who you are” factor refers to biometrics (e.g., a fingerprint scan, retinal scan, and the like, granting access).", "Generally, a multi-factor solution may utilize two of the three security factors, with some systems incorporating all three.", "Smartphones have recently added two factor authentication.", "For example, some forms of keys (e.g., credit cards) may require both possession of a phone and a fingerprint scan with each transaction (e.g., transaction at a contactless payment credit card terminal).", "BRIEF DESCRIPTION OF THE DRAWINGS These and other objects and features of the present invention will be more fully disclosed or rendered obvious by the following detailed description of the invention, which is to be considered together with the accompanying drawings wherein like numbers refer to like parts, and further wherein: FIG.", "1 is a perspective view of an exemplary wearable device in accordance with the present invention.", "FIG.", "2 is a block diagram of the exemplary wearable device illustrated in FIG.", "1.FIG.", "3A is an exemplary circuit diagram of an exemplary communication device for use with the wearable device illustrated in FIG.", "1.FIG.", "3B is an exemplary graphical representation of impedance in the circuit illustrated in FIG.", "3A.", "FIG.", "4 is a circuit diagram illustrating communication between an external charger and the wearable device illustrated in FIG.", "1.FIG.", "5 illustrates a flow chart of an exemplary method for providing the wearable device in a locked mode and an unlocked mode such that an exemplary wearable device may be configured to process a key request and/or communicate sensitive data.", "FIG.", "6 illustrates a flow chart of an exemplary method for using an exemplary wearable device to process key requests.", "FIG.", "7 illustrates a flow chart of an exemplary method for providing confirmation from a user of the wearable device.", "FIG.", "8 illustrates a flow chart of an exemplary method for providing secure information from an exemplary wearable device to an exemplary paired device.", "FIG.", "9 illustrates a block diagram of an exemplary wearable device communicating with one or more automobile systems.", "DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS Before explaining at least one embodiment of the presently disclosed and claimed inventive concepts in detail, it is to be understood that the presently disclosed and claimed inventive concepts are not limited in their application to the details of construction, experiments, exemplary data, and/or the arrangement of the components set forth in the following description or illustrated in the drawings.", "The presently disclosed and claimed inventive concepts are capable of other embodiments or of being practiced or carried out in various ways.", "Also, it is to be understood that the phraseology and terminology employed herein is for purpose of description and should not be regarded as limiting.", "In the following detailed description of embodiments of the inventive concepts, numerous specific details are set forth in order to provide a more thorough understanding of the inventive concepts.", "However, it will be apparent to one of ordinary skill in the art that the inventive concepts within the disclosure may be practiced without these specific details.", "In other instances, certain well-known features may not be described in detail in order to avoid unnecessarily complicating the instant disclosure.", "As used herein, the terms “comprises,” “comprising,” “includes,” “including,” “has,” “having,” or any other variation thereof, are intended to cover a non-exclusive inclusion.", "For example, a process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but may include other elements not expressly listed or inherently present therein.", "Unless expressly stated to the contrary, “or” refers to an inclusive or and not to an exclusive or.", "For example, a condition A or B is satisfied by anyone of the following: A is true (or present) and B is false (or not present), A is false (or not present) and B is true (or present), and both A and B are true (or present).", "The term “and combinations thereof” as used herein refers to all permutations or combinations of the listed items preceding the term.", "For example, “A, B, C, and combinations thereof” is intended to include at least one of: A, B, C, AB, AC, BC, or ABC, and if order is important in a particular context, also BA, CA, CB, CBA, BCA, ACB, BAC, or CAB.", "Continuing with this example, expressly included are combinations that contain repeats of one or more item or term, such as BB, AAA, AAB, BBC, AAABCCCC, CBBAAA, CABABB, and so forth.", "A person of ordinary skill in the art will understand that typically there is no limit on the number of items or terms in any combination, unless otherwise apparent from the context.", "In addition, use of the “a” or “an” are employed to describe elements and components of the embodiments herein.", "This is done merely for convenience and to give a general sense of the inventive concepts.", "This description should be read to include one or at least one and the singular also includes the plural unless it is obvious that it is meant otherwise.", "The use of the terms “at least one” and “one or more” will be understood to include one as well as any quantity more than one, including but not limited to each of, 2, 3, 4, 5, 10, 15, 20, 30, 40, 50, 100, and all integers and fractions, if applicable, therebetween.", "The terms “at least one” and “one or more” may extend up to 100 or 1000 or more, depending on the term to which it is attached; in addition, the quantities of 100/1000 are not to be considered limiting, as higher limits may also produce satisfactory results.", "Further, as used herein any reference to “one embodiment” or “an embodiment” means that a particular element, feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment.", "The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment.", "As used herein qualifiers such as “about,” “approximately,” and “substantially” are intended to signify that the item being qualified is not limited to the exact value specified, but includes some slight variations or deviations therefrom, caused by measuring error, manufacturing tolerances, stress exerted on various parts, wear and tear, and combinations thereof, for example.", "Certain exemplary embodiments of the invention will now be described with reference to the drawings.", "In general, such embodiments relate to thermic infusion systems and methods.", "Referring now to the Figures, and in particular FIGS.", "1 and 2, illustrated therein is an exemplary wearable device 10 constructed in accordance with the present disclosure.", "Generally, the wearable device 10 may provide multi-factor security for one or more cybernetic keys, electronic keys, and/or the like.", "In some embodiments, the wearable device 10 may appear to be an ornament for personal adornment, such as, for example, a ring, bracelet, necklace, earring, cuff link, and/or any article configured to be worn on a person or animal.", "In some embodiment, the wearable device 10 may be worn and/or positioned adjacent to skin.", "Use of the wearable device as a multi-factor security system may include, but is not limited to, logical access (e.g., laptop, phone, website), physical access cards, house keys, car keys, transit card, credit cards, passports, drivers licenses, insurance cards, membership/loyalty cards, ticket (e.g., planes, trains, concert, events), medical records, identity artefacts, and/or the like.", "In addition to personal use, the wearable device may also be used within hospitals and other locations wherein access control may be needed.", "In hospitals, for example, doctors, nurses, and/or other practitioners may be able to use and/or adjust medical devices.", "Such medical devices may include security limiting access to one or more setting changes.", "For example, the medical device may provide for a doctor or other medical practitioner to log-in to adjust settings of the medical device.", "The wearable device may communicate with the medical device to provide authorization of the user (e.g., doctor) when the wearable device is positioned on the practitioner (e.g., placed on finger) and remains authorized while the wearable device remains on the practitioner providing a secure notification to the medical device that the authorized practitioner is present, for example.", "The wearable device may then deactivate when removed from the practitioner, and communicate with the medical device to provide a notification to the medical device that the practitioner is no longer authorized to change one or more settings.", "Additionally, in some embodiments, the wearable device may provide one or more notifications to the medical device as a part of the process for authorizing the practitioner to login into the medical device.", "For example, the wearable device and/or the medical device may be programmed and provided with suitable sensors, such as a plurality of accelerometers, to sense a predetermined movement of the practitioner as part of the authorization process.", "For example, the predetermined movements may be the authorized practitioner tapping or manipulating (e.g., rotate) the medical device (e.g., tapping the medical device twice with the hand wearing the wearable device).", "One or more instructions may be transmitted from the wearable device to send authorization to the requesting medical device, for example.", "In some embodiments, the wearable device may present a cryptographic challenge and answer for security.", "As the wearable device includes biometric authorization for authorization of the practitioner, security is at least a two-factor authentication process.", "In some embodiments, at least a three-factor authentication process may be implemented as a user may provide selected motion to unlock and/or provide authorization of the practitioner.", "In some embodiments, one or more identifiers may log presence of the practitioner.", "For example, if a nurse is required to visit specific beds in an Intensive Care Unit at least every thirty minutes, one or more sensors may be positioned within the Intensive Care Unit and/or within the general vicinity of the Intensive Care Unit such that when the wearable device is within a pre-determined proximity to the one or more sensors, one or more identifiers may be transmitted and/or exchanged with the sensors to log presence of the nurse.", "Identifiers may include, but are not limited to, practitioner identification number, pre-determined code, time stamp, date stamp, and/or the like.", "In some embodiments, a near field broadcasting device may be used in lieu of or in addition to the one or more sensors.", "The near field broadcasting device may be active and/or passive.", "Generally, the wearable device may detect presence of the near field broadcasting device and record the broadcast identification number (e.g., room number), and store the broadcast identification number in the wearable device.", "Additional information may also be stored including, but not limited to, the current time, date, and/or the like.", "In some embodiments, broadcast identification number(s) may be downloaded and stored on a main logging system (e.g., head nurse station).", "In some embodiments, the wearable device may be used for identification using digital IDs, including, but not limited to, driver's license, passport, hunting license, identification card, and/or the like.", "Identifiers may include, but are not limited to, name, photograph, address, date of birth, eye color, license number, and/or the like.", "Identifiers may be stored in one or more secure elements (e.g., memory) of the wearable device.", "In some embodiments, a private key/public key pair and/or checksum may be generated, with the private key being stored in the secure element and the public key and checksum being stored on the wearable device, as well as, in a database at the server for licensing authority (e.g., Department of Motor Vehicles, U.S. Passport Office).", "In some embodiments, an external device (e.g., smartphone, tablet, computer, reader device, and/or the like), may transmit a challenge to the wearable device.", "If the wearable device is unlocked, the wearable device may create and transmit a packet of information to the external device.", "The packet of information may include a public key, the license data, the checksum, the challenge information, and/or a digital signature of the checksum and challenge data.", "The external device may receive the packet of information, and perform one or more local verifications using information from the packet of information.", "For example, the external device may verify that the license data transmitted has the same and/or similar checksum contained in the information packet, that the signed content contains the challenge issued and/or checksum as provided, digital signature was generated by the private key associated with the public key, and/or the like.", "The external device may provide verification by contacting one or more remote database from the licensing authority to verify that the checksum (i.e., verification license information is unaltered) and public key (i.e., verification that license information is unaltered) provided by the wearable device correspond to one or more entries in the database.", "In some embodiments, one or more photographs may be stored locally in the external device or in the remote database.", "The one or more photographs may be displayed on the external device such that the requesting individual may perform an additional authentication.", "In addition to traditional identity requests, multi-factor authentication may be used for “Know Your Customer” applications (e.g., opening bank account, bar authenticating age of individual wearing device, and/or the like).", "Activation may be via proximity (e.g., pre-determined range to a near field broadcasting device) and/or gesture activated (e.g., knocking twice in proximity of a Bluetooth receiver).", "The wearable device 10 may provide multi-factor security in a manner simplifying carrying of a secure master key while also simplifying validation of the master key.", "The wearable device 10 may be secured via a biometric signature and activated solely during use by a user (i.e., activated upon being worn by the user).", "The wearable device 10 is possessed by the user with biometrics securing information associated with the wearable device 10.Thus, if lost or stolen, the wearable device 10 may be unusable by an outside party as biometric data of the outside party may not validate use of the wearable device 10.In some embodiments, additional validation may be included.", "For example, one or more optional passwords may be used and entered via a securely paired device, a complex series of motions, and/or the like.", "With additional validation, three distinct security factors (e.g., user possession factor, user biometric factor, additional validation information including a password, complex series of motions or the like) may be used to authorize one or more transactions.", "In some embodiments, the wearable device 10 may be paired solely to a particular user.", "In some embodiments, multiple wearable devices 10 may be paired and/or pairable solely to a particular user.", "As such, transactions initiated and/or confirmed by the wearable device 10 may have multi-factor authentication by possession (e.g., physical possession of the wearable device 10), identity (e.g., confirmation that the user of the wearable device 10 is the particular user), and knowledge (e.g., execution of a known pattern for verification).", "The wearable device 10 may include a housing 11 formed of any material configured to be worn on a user.", "In some embodiments, the housing 11 may be formed of a waterproof material for protecting one or more components housed within the wearable device 10.In some embodiments, one or more portions of the housing 11 may be formed of a shielded skin (i.e., formed of waterproof material protecting one or more components within the housing 11) and unshielded skin (i.e., open to environment or configured to accept one or more biometric readings from a user).", "The housing 11 may be formed in any shape including, but not limited to, a sphere, a ring, a cone, a cube, a rectangular prism, a cylinder, a triangular prism, a pyramid, and/or any fanciful shape.", "In the example depicted in FIG.", "1, the housing 11 is formed into a shape of a ring defining a passage 11a sized and dimensioned to receive the user's finger.", "In this example, the housing 11 is formed into a non-cylindrical shape such that the passage 11a is non-circular.", "For example, the housing 11 may include an arc -shaped portion 11b, and a planar portion 11c.", "Referring to FIGS.", "1 and 2, the wearable device 10 may generally include one or more sensors 12, one or more processors 14, one or more memories 16, one or more communication devices 18, and one or more power sources 20 supported by the housing 11.In the example shown, the one or more sensors 12, and the one or more communication devices 18 can be positioned either within or on the planar portion 11c, and the one or more processors 14, one or more memories 16 and the one or more power sources 20 can be positioned within the arc-shaped portion 11b.", "The one or more sensors 12, the one or more memories 16, and the one or more communication devices 18 may be coupled to the one or more processors 14.For example, in some embodiments, the one or more sensors 12, the one or more memories 16, and/or the one of more communication devices 18 may be coupled to the one or more processors 14 using a bus.", "In some embodiments, one or more sensors 12 may be supported by the housing 11 yet have a portion exposed to the passage 11a.", "In these embodiments, the portion of the one or more sensors 12 may be configured to be positioned adjacent to a user's skin.", "For example, as illustrated in FIG.", "1, if the wearable device 10 is a ring to be worn on a user's finger, one or more sensors 12 may be connected to the housing 11, and positioned on an interior surface 13 of the housing 11 of the wearable device 10 such that the sensors 12 are adjacent to a user's skin during use and detect the presence of the user.", "The power source 20 may be any source configured to supply electrical energy to components of the wearable device 10.For example, in some embodiments, the power source 20 may be a rechargeable battery.", "In some embodiments, the power source 20 may include a battery 23 formed to the shape of at least a portion of the housing 11 as shown in FIG.", "1.For example, when the housing 11 has an arc-shaped portion 12b, the power supply 20 (e.g., the battery 23) may have an arcuate shape.", "The one or more sensors 12 may occupy a small amount of space relative to the wearable device 10 and have low power consumption in standby mode and during use.", "The one or more sensors 12 may be configured to determine pressure, temperature, light, speed, electrical capacity, and/or the like and transmit a signal to the one or more processors 14.In some embodiments, the one or more sensors 12 may be a transducer configured to convert a biometric parameter (e.g., acoustic signal from a user's voice and/or the like) of a person into an electric signal.", "For example, a first sensor 12a may include a transducer configured to convert an acoustic signal into an electric signal.", "Alternatively, the first sensor 12a may be implemented in other ways, including, but not limited to a fingerprint scanner, a retinal scanner, a face scanner, and/or other biometric scanner.", "In some embodiments, the biometric scan may include a cryptographic hash function (e.g., digital hash).", "By using a cryptographic hash function, as further described in U.S. Ser.", "No.", "15/269,554, the entire disclosure of which is herein incorporated by reference in its entirety, the biometric hash may be used to both unlock the wearable device 10 and to secure sensitive data on the wearable device 10.In some embodiments, the first sensor 12a (e.g., biometric scanner) may be activated upon a user placing the wearable device 10 on the body.", "For example, with a user sliding the wearable device 10 onto a finger, a fingerprint scan may be obtained.", "As such, the first sensor 12a may have a planar configuration, and may be positioned on the interior surface 13 in the planar portion 11c of the housing 11 of the wearable device 10.In some embodiments, a second sensor 12b (e.g., wear sensor) may be configured to monitor if the wearable device 10 is currently in use.", "Use of the wearable device 10 may include substantially constant contact with skin, kinetic motion, and/or the like.", "To that end, the second sensor 12b may be implemented in a variety of manners including, but not limited to, external capacitance change detection (Cap Sense), inductance change detection, biometric sensing (e.g., thermal sensor, heart beat sensor, blood oxygen sensor), and/or the like.", "In some embodiments, a biometric scanner, such as a fingerprint scanner, may be used to monitor if the wearable device 10 is currently in use (e.g., contact with skin, kinetic motion).", "In some embodiments, one single sensor 12 may be used to unlock the wearable device 10 and monitor if the wearable device 10 is currently in use.", "In the example shown in FIG.", "1, the arc-shaped portion 11b is formed of a shielded skin (i.e., formed of waterproof material protecting one or more components within the housing 11) and the planar portion 11c is formed with unshielded skin overlying the first sensor 12a and the second sensor 12b to permit the first sensor 12a and the second sensor 12b to sense the biometric information, and the presence of the user.", "In this example, shielded skin surrounds, and protects the communication device 18.In some embodiments, one or more sensors 12 may be located external and at a distance from the wearable device 10.For example, one or more sensors 12 may be positioned in a paired device 19.In some embodiments, the paired device 19 may be positioned at a stationary location separate from a user's body.", "In some embodiments, the paired device 19 may be worn on the user's body.", "Generally, the paired device 19 may be located in proximity to the wearable device 10.In some embodiments, the paired device 19 may be located remotely from the wearable device 10 and communicate with the wearable device 10 via the communication device 18, one or more networks, and/or the like.", "In some embodiments, the paired device 19 may be a smartphone or a tablet computer, for example.", "In some embodiments, in addition to the one or more sensors 12, the wearable device 10 may include one or more indicators 21 configured to provide specific information on a state or condition of the wearable device 10.The one or more indicators 21 may be visual, auditory, haptic and/or the like.", "For example, the one or more indicators 21 may include a visual indicator, such as an LED, or other type of light.", "In some embodiments, multiple visual indicators may provide information on a plurality of states or conditions of the wearable device 10.For example, a multi-color LED device may be used to provide information on a plurality of states or conditions of the wearable device 10.In another example, the one or more indicators 21 may include a haptic indicator, such as a vibration or pulse.", "In some embodiments, the one or more indicators 21 may include a visual screen.", "The visual screen may include a graphical user interface, for example.", "In some embodiments, one or more indicators 21 may be included in the paired device 19 (e.g., smartphone).", "The one or more indicators 21 may provide information to the user regarding state or condition of the wearable device 10 via output received by the paired device 19 (e.g., smartphone).", "Additionally, a user may be able to provide input to the wearable device 10 via the paired device 19.For example, confirmation of receipt of information regarding the state or condition of the wearable device 10 may be input via a tap or rotation of the paired device 19.Such input may be communicated to the wearable device 10 from the paired device 19.In some embodiments, a secure connection may be established between the paired device 19 and the wearable device 10 prior to initial communication between the paired device 19 and the wearable device 10.The secure connection may decrease security breaches, such as, malware intended to damage or disable systems (e.g., malware on the paired device 19).", "The secure connection may be obtained via multiple methods.", "For example, a cryptographic key pair may be shared between the wearable device 10 and the paired device 19 (e.g., an application running on the paired device 19).", "The cryptographic key pair may be configured to validate that authorized software is running on the paired device 19.In some embodiments, to establish a secure connection, the wearable device 10 may provide information that a user enters on the paired device 19 such that the information may be communicated to the wearable device 10 allowing each of the wearable device 10 and the paired device 19 to be in control of the same user.", "For example, the indicator 21 on the wearable device 10 may include a plurality of separate LED colors.", "A pattern of LED colors may be flashed.", "The user of the wearable device 10 may then select corresponding colors on an application running on the paired device 19.In some embodiments, this process may be repeated multiple times to ensure the wearable device 10 and the paired device 19 are both controlled by the user.", "For example, the process may be repeated four different cycles.", "Additionally, the paired device 19 may request action of the user with regard to the wearable device 10.For example, the paired device 19 may request the user to rotate the wearable device 10 or tap the wearable device 10 in a particular pattern or a set number of times.", "After performing the request, the wearable device 10 may communicate to the paired device 19 that the wearable device 10 is controlled by the user.", "In some embodiments, this process may be repeated multiple times (e.g., three unique motions requested).", "The one or more processors 14 may include a single processor or multiple processors working independently and/or together to execute the logic described herein.", "In some embodiments, the one or more processors may or may not be located in a single physical location.", "Additionally, multiple processors may or may not be located in a single physical location.", "In some embodiments, the one or more processors may be partially or completely network-based and/or cloud-based.", "As used herein, the terms “network-based,” “cloud-based,” and any variations thereof, may include the provision of configurable computational resources on demand via interfacing with a computer and/or computer network, with software and/or data at least partially located on the computer and/or computer network, by pooling processing power of two or more networked processors.", "The one or more memories 16 may be implemented as a conventional non-transitory memory, such as, for example, random access memory (RAM), read only memory (ROM), flash memory, combinations thereof, and/or the like, for example.", "The term non-transitory computer readable medium, as used herein, may be implemented as a single physical device or multiple physical devices of a distributed system that may or may not be logically related.", "To that end, the one or more memories 16 may be located in the same physical location.", "Alternatively, the one or more memories 16 may be located in a different location and communicate via a network.", "Additionally, one or more of the memories 16 may be implemented as a “cloud memory” (i.e., one or more memories may be partially or completely based on or accessed using a network, for example).", "The one or more memories 16 may store processor executable code and/or information comprising one or more databases and program logic.", "In some embodiments, the processor executable code may be stored as a data structure, such as a database and/or data table, for example.", "In some embodiments, a first memory 16a may be designated a permanent memory and a second memory 16b may be designated a temporary memory as illustrated in FIG.", "2.Logic may be embodied in the form of software instructions and/or firmware, and may be executed on appropriate hardware.", "For example, logic embodied in the form of software instructions or firmware may be executed via the one or more processors 14.In some embodiments, logic may be implemented in a stand-alone environment operating on a single processor 14 and/or logic may be implemented in a networked environment, such as a distributed system using multiple processors 14.The communication device 18 may be a system configured to provide communication and charging capabilities for the wearable device 10.For example, in some embodiments, the communication device 18 may include a dual use of a near field communications antenna for communications and for charging.", "While the use of the communication device 18 is described in relation to the wearable device 10 herein, it should be apparent to one skilled in the art that the communication device 18 may be used in other ring devices, small wearable device, and/or wearable and non-wearable devices in general needing to minimize space constraints by combining communication and charging capabilities into a single device.", "FIG.", "3A illustrates an exemplary circuit diagram 22 of an exemplary communication device 18a for use with the wearable device 10.Generally, the communication device 18a may include one or more receiving coils 24 used in Near Field Communications (NFC).", "Referring to FIGS.", "2 and 3, in some embodiments, the recharge of the power source 20 may occur at about 13.56 MHz.", "In some embodiments, the recharge of the power source 20 may occur at a resonant frequency (e.g., at about the 6.78 MHz ISM band frequency).", "Additionally, one or two resonant traps 26 (i.e., tank circuits, parallel LC circuit) coupled to the receiving coil 24 may be configured to isolate NFC transaction circuity 28 from charging circuity 30 as illustrated in FIG.", "3A.", "In the presence of an alternating voltage (i.e., AC signal), the impedance of the circuit 22 may identify with the graphical representation shown in FIG.", "3B.", "At the point of resonance, the circuit 22 may identify with an infinite resistance (e.g., essentially an open circuit or disconnected switch).", "The resonant traps 26 may be set to a resonant frequency of 13.56 MHz.", "With a “perfect” inductor and capacitor, components on a first side 25 of the resonant traps 26 may be isolated from the NFC on a second side 27 of the resonant traps 26.As such, energy may remain with the NFC such that range (i.e.", "distance) of transactions may be increased.", "In particular, in FIG.", "3A, elements of the circuit 22 on the first side 25 include diodes D1 and D2 and capacitors C1 and C2.The purpose of D2 may be to clamp the voltage at a node N between the two diodes D1 and D2 from going negative resulting in capacitor C2 being charged positively.", "When the coil voltage changes polarity, the voltage on capacitor C2 may be added to the switched voltage (e.g., doubling the voltage) with a resulting peak voltage rectified by diode D1 charging capacitor C1.The coil voltage may reverse and capacitor C2 may again be charged with the process repeated.", "As the resonant traps 26 may not resonant at the 6.78 MHz frequency, the resonant traps 26 may pass energy such that voltage on the coil and capacitor C2 may pass to the diodes D1 and D2.The resonant traps 26 may be combined with the diodes D1 and D2 and the capacitor C2 to form a voltage doubler circuit.", "At the NFC frequency, energy may then be clocked from flowing to the diodes D1 and D2.For example, with charging frequency of 6.78 MHz, the resonant trap(s) 26 pass energy to the charging circuity 30 providing the power source 20 to be charged at the non-resonant 6.78 MHz ISM band frequency.", "Further, in some embodiments, the communication device 18a may default to a charging mode if the power source 20 has a charge below a predetermined baseline, e.g., devoid of charge.", "Referring to FIG.", "4, the wearable device 10 may be configured to discern between the NFC transaction and charging due to detection of the non-resonant charging frequency with the signal rectified by a diode.", "An external charger 32 may include a drive coil 34 with the wearable device 10 having the receiving coil 24.In some embodiments, an RF connection may be used to communicate battery voltage and/or battery current.", "In some embodiments, the wearable device 10 may signal the battery voltage to the external charger 32 via light emitting diode (LED) signalling.", "In some embodiments, passive or active near field load modulation may be used for communication between the wearable device 10 and the external charger 32.To further reduce circuitry needed to charge the power source 20, the external charger 32 may include one or more intelligent chargers.", "Intelligent chargers may communicate with the wearable device 10 and vary energy during charging to maintain proper current and/or voltage levels to the power source 20.In some embodiments, the one or more processors 14 may determine and report voltage level at the power source 20 to the external charger 32.In some embodiments, if the power source 20 is devoid of charge, and as such, at least one processor 14 is inoperable, charging operation may be initiated with a pre-charge level of Radio Frequency (RF) energy to the coil 24.This energy level may provide 0.05 C to 0.1 C current, for example, to pre-charge the power source 20 until a predetermined voltage level is reached (e.g., pre-charge termination voltage).", "At the predetermined voltage level, the processor 14 within the wearable device 10 may communicate voltage level of the power source 20 via the communication device 18 to the external charger 32.Once the predetermined voltage level is reached and the wearable device 10 communicates the voltage to the external charger 32, RF drive level may be altered to achieve a charging current of approximately 0.5 C. In some embodiments, the wearable device 10 may monitor and report to the external charger 32 current into the power source 20 to monitor charging current.", "In some embodiments, drive energy of the external charger 32 may be monitored to determine energy being absorbed by the wearable device 10.Correlation of the drive energy to the power source 20 may be used to determine charging current.", "In some embodiments, a pre-determined target charge level (e.g., 0.5 C) may be maintained until the power source 20 reaches a charge termination voltage (e.g., 4.2V).", "The wearable device 10 may report the voltage to the external charger 32 via the communication device 18 such that charge current may be reduced (e.g., by 50%) and charging may continue until voltage of the power source 20 again reaches termination voltage.", "At this point, drive level may be reduced while the process of monitoring of voltage and reducing drive level may continue until a minimum drive level (e.g., minimum battery current) is reached and charging discontinues.", "Alternatively, drive level may be adjusted to maintain a constant voltage on the power source 20 until a minimum drive level (e.g., battery current) may be achieved and charging discontinues.", "During this process, the wearable device 10 may determine and report voltage to the external charger 32 such that a closed loop feedback operation may continue.", "FIG.", "5 illustrates a flow chart 40 of an exemplary method for providing the wearable device 10 in a locked mode and an unlocked mode such that the processor 14 may be capable of processing at least one key request and/or communicating sensitive data (i.e., data needing security).", "Because the wearable device 10 is activated with a fingerprint scan and having the wearable device 10 also proves possession, in this embodiment, the wearable device 10 is always providing at least two factors of authentication.", "Those two factors may be protecting one or more cybernetic keys, electronic keys, and/or the like in a multi-key security protocol.", "In a step 42, the first sensor 12a (e.g., biometric sensor), may determine a biometric parameter and transmit a signal to the processor 14.In a step 44, the processor 14 may compare the biometric parameter to one or more stored user parameters for confirmation of the user.", "In some embodiments, validation of the biometric parameters may be determined using the algorithm described in U.S. Ser.", "No.", "15/269,554, which is hereby incorporated by reference in its entirety.", "The method described therein may also be used to differentiate biometric parameters, validate biometric parameters, and/or supply a biometric key for use in decrypting secure information.", "In a step 46, the processor 14 may authenticate the user and switch to an unlock mode, allowing the processor 14 to communicate sensitive data.", "The wearable device 10 may remain in the unlocked mode as long as the wearable device 10 is still being worn.", "In a step 48, the second sensor 12b, may determine whether the wearable device 10 is still in contact with the user.", "The step 48 can be repeated periodically, randomly or on a schedule to determine at a variety of instants of time whether the wearable device 10 is still in contact with the user.", "In a step 50, if the wearable device 10 is not in contact with the user, the processor 14 may switch into a locked mode wherein the processor 14 may not communicate secure data.", "The user may then re-authenticate the wearable device 10 as indicated by arrow 52.FIG.", "6 illustrates a flow chart 60 of an exemplary method for using the wearable device 10 to process key requests.", "By processing key requests, the wearable device 10 may be used as multi-factor security for one or more cybernetic keys, electronic keys, and/or the like.", "In a step 62, sensitive data may be stored in one or more memories 16.In some embodiments, sensitive data may be stored in an encrypted form in the permanent memory 16a.", "In a step 64, the wearable device 10 may be switched to the unlocked mode.", "In a step 66, with the wearable device 10 in the unlocked mode, the sensitive data may be temporarily decrypted and stored in the temporary memory 16b.", "Decryption may be by using biometric data and the biometric data hashing verification and security process described in U.S. Ser.", "No.", "15/269,554,which is hereby incorporated by reference in its entirety.", "In some embodiments, the processor 14 may transmit decrypted sensitive information to one or more external systems, such as the paired device 19.In other embodiments, the processor 14 is configured to receive a cryptographic challenge from one or more external system, such as the paired device 19, determine whether the cryptographic challenge corresponds to the decrypted sensitive information, and respond to the cryptographic challenge in a first manner when the cryptographic challenge corresponds to the decrypted sensitive information, or in a second manner when the cryptographic challenge does not correspond to the decrypted sensitive information.", "The response in the first manner can be used by the paired device 19, for example, to authorize a transaction.", "The response in the second manner can be used by the paired device 19 to decline a transaction.", "In a step 68, the wearable device 10 may be returned to the locked mode (e.g., powered off, taken off) with the unencrypted sensitive data being discarded from the temporary memory 16b.", "In another example, for authentication, a unique private cryptographic key may be stored for each use (e.g., website password, credit card data, access card data).", "Each private cryptographic key may include a corresponding public cryptographic key.", "The processor 14 may provide the corresponding public cryptographic key to an external system (e.g., NFC device).", "For logging in, for example, the external system may provide a challenge.", "The processor 14 in the unlocked mode may sign the challenge with the private cryptographic key as an answer to the challenge.", "The external system may use the public cryptographic key to verify that the challenge was signed with the private cryptographic key.", "As such, only the public cryptographic key may be used to verify the signature and the private cryptographic key remains in the processor 14.In another example, for authentication, secret protocols may be used wherein sensitive data may be shared by the processor 14 during one or more authentications (e.g., passwords, pin codes, identification numbers).", "Data may be the pass code instead of using of a cryptographic key, such as, for example, in a sequence of events.", "FIG.", "7 illustrates a flow chart 70 of an exemplary method for providing user confirmation from the user of the wearable device 10.By providing user confirmation from the user, the wearable device 10 may be used as multi-factor security for one or more cybernetic keys, electronic keys, credit cards, office access cards, transit cards, door lock keys, and/or the like.", "For example, by providing user confirmation from the user of the wearable device 10, a portable mock point of sale terminal or similar device used within close proximity of the wearable device 10 may be prevented from being inserted into transactions without the user's knowledge.", "In a step 72, the user may be positioned in proximity to a Near Field Communication (NFC) device.", "In a step 74, the user may provide a positive action (e.g., tapping the wearable device 10) to initiate a transaction with the NFC device.", "In some embodiments, one or more prompts from the wearable device 10 and/or the NFC device may be used to initiate the positive action by the user.", "In some embodiments, the wearable device 10 may include motion sensing capability.", "As such, movements of the wearable device 10 by the user, such as rotation (e.g., clockwise, counter clockwise), may be used.", "To that end, it may be possible to include a third factor of authentication by requiring that for selected transactions, a movement password may be executed.", "For example, a user may flip the wearable device 10 over clockwise once, tap twice, and rotate the wearable device 10 counter-clockwise back to the original orientation.", "When combined with possession of the wearable device 10, and identity of the user established via sensors 12, three factor authentication may be used for selected or all transactions.", "FIG.", "8 illustrates a flow chart 80 of an exemplary method for providing secure information from the wearable device 10 to the paired device 19.In a step 82, the wearable device 10 may switch to the unlocked mode.", "In a step 84, the wearable device 10 may establish a secure connection with the paired device 19.In a step 86, the paired device 19 may prompt the user to provide additional security information for access.", "For example, the user may set up an account such that any transaction over an established amount requires the user to enter a 4-digit PIN to authorize the transaction.", "As such, the wearable device 10 would need to be in the unlocked mode to provide the sensitive information, and also the user may need to enter a 4-digit PIN into the paired device 19 through an application running on the paired device 19 in order to complete a transaction.", "In some embodiments, a hashed password may be transmitted to gain admittance.", "The hashed password may be entered by the user whenever access is requested.", "In some embodiments, a challenge and answer method may be used to obtain the password.", "In a step 88, the paired device 19 may provide sensitive information from the wearable device 10 to a third party system.", "For example, the paired device 19 may provide sensitive information from the wearable device 10 to a payment processing network or access granting system via the Internet.", "If the third party system is unable to receive communications directly form the wearable device 10, but is able to communicate via the Internet, the paired device 19 may provide sensitive information from the wearable device 10 to the third party system via the Internet.", "Additionally, the third party system may communicate with the wearable device 10 via the paired device 19.In some embodiments, the secure connection between the wearable device 10 and the paired device 19 may be used to unlock a password vault system such that the user may use randomly generated complex passwords stored either in the wearable device 10 in an encrypted format or stored on the paired device 10 in an encrypted format.", "When a password is needed, a request may be made from the password vault.", "If the password vault is stored in memory 16 on the wearable device 10, the corresponding password may be selected and decrypted internally using a secure element stored key, biometric hash key, and decrypted password sent via the secure connection to the paired device 19.If the password is on the paired device 19, a stored master password within the memory 16 of the wearable device 10 may be decrypted using the secure element stored key or the biometric hash key, and the decrypted master password may then be transmitted via the secure connection to the password vault within the paired device 19.The decrypted master password may then be used to decrypt the corresponding password stored in the paired device 19.In some embodiments, the wearable device 10 may be used to operate a keyless fob for automobiles, home entry, and/or the like.", "For example, in home entry system, the NFC antenna for the home lock may be installed into the door handle such that when the user grasps the door handle with the hand wearing the wearable device 10, the wearable device 10 may enter the antenna range of the NFC antenna and the door may be unlocked using the multi-factor authorization of the wearable device 10.Referring to FIG.", "9, in another example, for automotive systems, the wearable device 10 may communicate with one or more sensors (e.g., capacitive sensors) located within a door lock 90 of an automobile, one or more proximity sensors 92 on or within the automobile, the ignition starter 94 (e.g., start button), and/or the like.", "In some embodiments, a secondary installed device 96 may be positioned within the automobile, for example, on a dashboard or windshield.", "The secondary installed device 96 may alternatively be connected to an OBD-II diagnostics port, fuse panel, and/or the like.", "In some embodiments, the secondary installed device may emit an identification signal (e.g., Bluetooth Low Energy (BLE).", "The wearable device 10 may detect the identification signal, and connect to the secondary installed device 96.A secure connection may be established using multi-factor authentication described herein.", "The wearable device 10 may either have a continuous active radio, periodically active radio, or gesture activated radio (e.g., double knock) such that the wearable device 10 may be configured to conserve power.", "Once authenticated, the secondary installed device 96 may power the fob circuitry, for example, thus indicating the fob is in proximity to the automobile.", "This may unlock doors of the automobile, start the automobile, and/or the like.", "The fob may remain active while the automobile is in operation.", "If the wearable device 10 leaves the proximity of the automobile (e.g., user stops driving and walks away from the automobile), the BLE connection may be disconnected causing the second installed device 96 to initiate a lock sequence and disable the fob circuit.", "In some embodiments, a motion sequence (e.g., a double knock) may be used to start a timer 98 such that a user has a pre-determined amount of time to leave the vehicle prior to the secondary installed device 96 initiating another unlock sequence.", "For example, a first motion sequence, such as a double knock, may be used to unlock the car and activate the start button, followed by a second motion sequence, such as a double knock, to deactivate the start button and lock the car.", "In some embodiments, the secondary installed device 96 may include a power source 100.The power source 100 may be configured to recharge using power from the automobile, may be connected directly into the automobile, and/or may be recharged using solar cells, and/or the like.", "In some embodiments, the secondary installed device 96 may be built inside a tamper-resistant enclosure, such as, for example, FIPS 140-2 level 3 compliant, that may be configured to destroy the fob circuitry upon tampering.", "In some embodiments, the fob circuitry may be made by either altering an aftermarket fob or by using an existing fob and inserting the PCB into the installed device.", "In some embodiments, the wearable device 10 may be used to unlock a smartphone, tablet, and/or the like.", "For example, the paired device 19 may be a smartphone, tablet, and/or the like, and the secure connection may be established between the wearable device 10 and the paired device 19.Further, settings may be altered such that the wearable device 10 need not provide the security password after the secure connection has been established between the wearable device 10 and the paired device 19.The same protocol may be used to unlock a desktop system.", "From the above description, it is clear that the inventive concepts disclosed and claimed herein are well adapted to carry out the objects and to attain the advantages mentioned herein, as well as those inherent in the invention.", "While exemplary embodiments of the inventive concepts have been described for purposes of this disclosure, it will be understood that numerous changes may be made which will readily suggest themselves to those skilled in the art and which are accomplished within the spirit of the inventive concepts disclosed and claimed herein." ] ]
Patent_15875558
[ [ "ELECTRICAL ARCHITECTURE FOR CONVERTING DC VOLTAGE INTO AC VOLTAGE, AND VICE VERSA", "An electrical architecture (1) for converting DC voltage into AC voltage, and vice versa, comprising:—a DC/AC voltage converter (2), comprising a plurality of arms mounted in parallel, each arm comprising two controllable switching cells (12), in series and separated by a mid-point, the arms being paired in H-bridges (11),—for each H-bridge (11), a dedicated control member (13), such that all of the switching cells (12) of said H-bridge (11) can be controlled by this control member (13), each control member (13) being intended to communicate with a same remote control unit (14) through a potential barrier (15)." ], [ "1.An electrical architecture comprising: a DC/AC voltage converter for converting a DC voltage into an AC voltage and for converting an AC voltage into a DC voltage, comprising a plurality of arms assembled in parallel, each arm comprising two controllable switching cells, in series and separated by a midpoint, the arms being paired according to H-bridges; and for each H-bridge, a dedicated control block, such that all the switching cells of said H-bridge can be controlled by the dedicated control block, each dedicated control block being configured to communicate with a same remote control unit through a potential barrier.", "2.The architecture as claimed in claim 1, each control block comprising a first source of electrical energy and a second source of electrical energy, separate from the first source of electrical energy.", "3.The architecture as claimed in claim 1, each control block including at least one selected from the group consisting of: a digital processing unit configured to communicate with the remote control unit, a device for measuring at least one electrical quantity in the H-bridge, and a device for measuring the temperature in the H-bridge.", "4.The architecture as claimed in claim 3, each control block comprising a digital processing unit configured to communicate with a digital processing unit of the remote control unit via a link common to the control blocks and passing through said potential barrier, one of the digital processing units of a control block being master for this communication.", "5.The architecture as claimed in claim 1, each control block being configured to communicate with the other control blocks.", "6.-15.", "(canceled) 16.The architecture as claimed in claim 1, additionally comprising: an electrical energy storage unit having a DC voltage across its terminals, and connected directly or not to the DC/AC voltage converter; an electrical stator winding, each electrical phase of the stator of being connected between two midpoints of an H-bridge; and the remote control unit.", "17.The architecture as claimed in claim 16, comprising only a single microcontroller forming part of the remote control unit, and three or six programmable logic circuits (FPGAs) forming the digital processing units of the control blocks of the H-bridges.", "18.A control method for the architecture as claimed in claim 16, comprising: detecting that at least one fault occurs in the architecture while a first control mode is applied to the switching cells; and generating a second control mode when the at least one fault is detected, this second control mode being then applied to all or some of the switching cells.", "19.The method as claimed in claim 18, in which the first control mode allows and corresponds to the power supply from the electrical energy storage unit for the electrical stator winding, the latter being polyphase, and the second control mode applied provides for and corresponds to the placing in short circuit of all or some of the electrical phases of said winding.", "20.The method as claimed in claim 18, in which the first control mode allows and corresponds to the power supply from the electrical energy storage unit for the electrical stator winding, the latter being polyphase, in which it is detected that the fault relates only to the control of one phase of the electrical stator winding, and in which the second control mode applied provides for and corresponds to the placing in short circuit of the other electrical phases of the electrical stator winding, or provides for temporarily suppressing, corresponding to the temporary suspension of the electrical phase in the control of which the fault occurs.", "21.The method as claimed in claim 18, in which the first control mode allows and corresponds to the charging of the electrical energy storage unit from an electrical network through, among other items, the electrical stator winding, and in which the second control mode applied provides for interrupting, and corresponds to the interruption of, said charging or provides for reducing the performance level thereof, or notably corresponds to the reduction of its performance level.", "22.The architecture as claimed in claim 1, further comprising: an electrical energy storage unit configured to receive a DC voltage, wherein the DC voltage is obtained by converting an AC voltage supplied by an electrical network, to charge the storage unit; and a stator of an electrical machine configured to receive an AC voltage, wherein the AC voltage is obtained by converting the DC voltage supplied by the electrical energy storage unit." ], [ "The present invention relates to an electrical architecture for converting a DC voltage into an AC voltage, and vice versa.", "This architecture can be on board an electric or hybrid vehicle, and can be used to convert the power supply voltage supplied by an electrical network into a DC voltage supplying an electrical energy storage unit in order to charge the latter.", "As a variant, the architecture can be used to convert the DC voltage supplied by this electrical energy storage unit into an AC voltage supplying the stator of an electric machine being used to propel the vehicle or into an AC voltage transferred to the polyphase electrical network.", "For such an application to a vehicle, it is necessary to ensure that one or more faults that can occur within any component involved in the electrical supply of the motor from the electrical energy storage unit when the vehicle is moving will not affect the safety of the users of the vehicle or of other persons.", "Likewise, it is necessary to ensure that one or more faults that can occur within any component involved in the charging of the electrical energy storage unit from the electrical network will also not affect the safety of persons located near the vehicle.", "Thus, there is a need to benefit from an architecture allowing the conversion of a DC voltage into an AC voltage, and vice versa, and which meets the abovementioned safety requirements, while being relatively simple and not very complex to implement.", "According to one of its aspects, the invention responds to this need with the aid of an electrical architecture for converting a DC voltage into an AC voltage, and vice versa, comprising: a DC/AC voltage converter, comprising a plurality of arms assembled in parallel, each arm comprising two controllable switching cells, in series and separated by a midpoint, the arms being paired according to H-bridges, for each H-bridge, a dedicated control block, such that all the switching cells of said H-bridge can be controlled by this control block, each control block being intended to, notably configured to, communicate with a remote control unit through a potential barrier.", "According to the above architecture, each H-bridge benefits from a control block dedicated to said bridge.", "The architecture is thus divided into several compartments which are relatively independent with respect to one another, each compartment comprising notably an H-bridge and the control block dedicated to it.", "Due to this relatively independent compartmentalization, a fault arisen within one compartment does not affect the operation of the other compartments, such that the operation of the architecture and/or the safety of persons located near it can be ensured.", "In the case of an application of the invention to a hybrid or electric vehicle, each compartment can additionally comprise one phase of the electrical stator winding of the electric machine being used to propel the vehicle.", "Hereafter, “low voltage” denotes voltages less than or equal to 12 V, and “high voltage” denotes voltages greater than or equal to 60 V. The architecture can comprise the remote control unit.", "In this case, the remote control unit is in a low-voltage environment while the converter and control blocks of the H-bridges are in a high-voltage environment, these two environments being separated by the potential barrier.", "For example, a failure on the control of one phase of the electrical stator winding of the electric machine, i.e.", "a failure on the H-bridge dedicated to said phase or on the control block of the switching cells of said bridge, does not prevent the continuation of the propulsion of the vehicle by this motor or the continuation of the charging of the electrical energy storage unit, when this charging operation reuses the electrical stator winding of the electric machine.", "Each control block can comprise a first source of electrical energy and a second source of electrical energy, separate from the first source of electrical energy.", "By virtue of the availability of two separate energy sources for the electrical power supply, any fault affecting one of the energy sources does not prevent the operation of the control block which can then be supplied by the other electrical energy source.", "This can ensure a more reliable operation of the architecture.", "One of the electrical energy sources is for example formed using one or more batteries while the other electrical energy source is obtained using a high or low voltage available in the architecture.", "For example, the second source of electrical energy comprises the electrical energy storage unit supplying the electric motor, and a DC/DC voltage converter providing for adapting the value of the voltage across the terminals of this electrical energy storage unit to a value compatible with the power supply for a control block.", "Notably, this is a reversible DC/DC voltage converter, for example as described in the application filed in France on 28 Sep. 2012 under the number 12 59180.The first voltage source is for example a low-voltage source, such as the electrical energy source of the onboard network when the architecture is fitted on board a vehicle.", "If necessary, a DC/DC voltage converter can be used to lower the value of the voltage supplied by this low-voltage source.", "The low-voltage source supplies for example all the control blocks.", "When a DC/DC voltage converter is used to lower the value of the voltage supplied by this low-voltage source, there can be as many such converters as there are control blocks.", "The abovementioned first and second sources are for example common to all the control blocks.", "Each control block can comprise at least one from among: a digital processing unit configured to communicate with the remote control unit, a device for measuring at least one electrical quantity in the H-bridge, notably a voltage or a current, and a device for measuring the temperature in the H-bridge.", "The digital processing unit is for example configured to exploit measurements of temperature and/or electrical quantities in the H-bridge.", "If necessary, these measurements thus exploited are transmitted to the remote control unit, such that the latter can generate the setpoints which, once received and processed by each control block, will allow the latter to drive the switches of the H-bridge to which it is dedicated.", "These measurements can provide for detecting the occurrence of one or more faults in each compartment of the architecture, and the setpoints generated on the basis of these measurements provide for meeting the abovementioned safety-related constraints.", "These setpoints are for example duty cycle values to be applied to the controllable switches of the switching cells.", "Prior to the detection of one or more faults in the architecture, a first control mode can be applied to the switching cells and, due to the detection of the fault or faults, a second control mode can be generated and then can be applied to all or some of the switching cells in order to meet the abovementioned safety requirements.", "The second control mode can be generic, the same setpoint being applied as soon as a fault is detected in the architecture, independently of the nature of this fault.", "As a variant, the second control mode can be adapted to the detected fault, i.e.", "the applied setpoints can differ depending on the nature of the fault detected and/or depending on the number of faults.", "Each control block of an H-bridge of the DC/AC voltage converter can communicate with the other control blocks of the other H-bridges of said converter.", "The digital processing unit of each control block can be configured to communicate with a digital processing unit of the remote control unit via a link common to the control blocks and passing through said potential barrier.", "One of the digital processing units of the control blocks is preferably master for this communication, the digital processing unit of the remote control unit and the other digital processing units of the other control blocks then being slaves.", "In the sense of the present application, a processing unit is master when it has the initiative of triggering the communication via the link.", "If necessary, if the digital processing unit of a control block which is master suffers a fault, another of the processing units of the other control blocks becomes master in its place.", "By virtue of the fact that the communication between the low-voltage environment in which the remote control unit is located and the high-voltage environment in which the DC/AC voltage converter is located is performed on the initiative of the control blocks of the H-bridges, in the event of a failure or fault at the remote control unit or at any other component in the low-voltage environment, the control blocks of the H-bridges can continue to operate and drive the switching cells of the DC/AC voltage converter by applying an operating mode that does not require interaction with the remote control unit.", "The abovementioned compartmentalization of the architecture additionally provides for overcoming to a certain extent faults occurring on components of the high-voltage environment.", "The control of each H-bridge can be independent of the control of the other H-bridges of the architecture.", "The link allowing communication between the remote control unit and the control blocks of the DC/AC voltage converter can be a full-duplex synchronous serial link.", "It can be a Serial Peripheral Interface (SPI) type link.", "The link can pass through the potential barrier.", "If necessary, a single potential barrier can be placed between the remote control unit and the control blocks of the H-bridges of the converter.", "Each switching cell can be produced using a bidirectional current switch, for example a field effect transistor or an IGBT type transistor with a diode fitted in antiparallel.", "According to a first example implementation of the invention, the architecture lacks a DC/DC voltage converter placed between the electrical energy storage unit and the DC/AC voltage converter, such that the DC interface of this DC/AC voltage converter can be connected to the terminals of the electrical energy storage unit.", "The digital processing units of the control blocks can be programmable logic circuits (FPGAs) and the digital processing unit of the remote control unit can be a microcontroller.", "When the digital processing units of the control blocks are programmable logic circuits (FPGAs) and when the remote control unit uses a microcontroller, the architecture then uses three FPGAs and one microcontroller to perform the entire control of the switching cells of the converter.", "As a variant, according to a second example implementation of the invention, the architecture can comprise a DC/DC voltage converter comprising a high-voltage interface and a low-voltage interface, one from the high-voltage interface and the low-voltage interface being connected to the DC/AC voltage converter.", "According to this second example implementation of the invention, the DC/DC voltage converter can comprise several interleaved branches, each branch comprising: an arm extending between two terminals defining the low-voltage interface, said arm comprising two controllable switching cells, in series and separated by a midpoint, a coil having one end connected to the midpoint of the branch and the other end connected to the positive terminal of the high-voltage interface.", "This implementation of the DC/DC voltage converter using several interleaved branches can provide for better distributing the power between the various branches, and thus provide for prolonging the lifetime of the switching cells of this converter.", "The switching cells of the DC/DC voltage converter may or may not be obtained using bidirectional current switches.", "These switching cells are for example identical to those of the DC/AC voltage converter.", "The DC/DC voltage converter can comprise an even number of branches, and the branches can be paired, the coil of a branch of a pair being in magnetic coupling with the coil of the other branch of said pair.", "According to a first sub-mode of this second example implementation of the invention, the architecture can comprise, for each pair of branches, a control block suitable for driving all the switching cells of said pair of branches.", "According to this sub-mode, the DC/DC voltage converter and its control are compartmentalized and there is a certain degree of independence from one compartment to the other.", "Each compartment comprises a pair of branches and the associated control block.", "Thus, any fault in one compartment does not affect the other compartments.", "Still according to this sub-mode, each control block of a pair of branches of the DC/DC voltage converter can comprise a first source of electrical energy and a second source of electrical energy that is separate from the first source of electrical energy.", "As mentioned previously in relation to the electrical energy supply of the control block dedicated to an H-bridge, this dual electrical power supply available provides for reducing the risks of an electrical power supply fault of the control block.", "Still according to this sub-mode, each control block of a pair of branches of the DC/DC voltage converter can comprise at least one from among: a digital processing unit configured to communicate with the remote control unit, a device for measuring at least one electrical quantity in the pair of branches, and a device for measuring the temperature in the pair of branches.", "The dual electrical power supply available can thus provide for ensuring that these measurements and processing operations will be carried out if needed.", "The abovementioned measurements can provide for detecting the occurrence of one or more faults at the pair of branches while a first mode of control of the switching cells of the DC/DC voltage converter is applied.", "When this fault is detected, a second control mode can be generated and then applied to all or some of the switching cells of said converter, similarly to that which has already been described in relation to the DC/AC voltage converter.", "If necessary, the second control mode and the first control mode are applied simultaneously: to all or some of the switching cells of the DC/AC voltage converter, and to all or some of the switching cells of the DC/DC voltage converter.", "Each control block of a pair of branches can comprise a digital processing unit configured to communicate with the digital processing unit of the remote control unit and this communication can take place via the abovementioned link which is then common: to the control blocks of the switching cells of the H-bridges of the DC/AC voltage converter, and to the control blocks of the switching cells of the pairs of branches of the DC/DC voltage converter, said link passing through said potential barrier.", "At least one of the digital processing units of a control block is preferably master for this communication.", "As already explained above, when a digital processing unit assigned to driving the switching cells of the high-voltage environment operates as a master, the consequences on the converters of one or more faults occurring in the low-voltage environment are reduced.", "The digital processing units of the control blocks can be programmable logic circuits (FPGAs) and the digital processing unit of the remote control unit can be a microcontroller.", "When these digital processing units of the control blocks are FPGAs and when the remote control unit uses a microcontroller, the architecture then uses six FPGAs and one microcontroller to perform the entire control of the switching cells of the converters.", "Still according to this sub-mode, the number of branches of the DC/DC voltage converter can be equal to the number of arms of the DC/AC voltage converter and the architecture then comprises as many control blocks driving the switching cells of the DC/AC voltage converter as control blocks driving the switching cells of the DC/DC voltage converter.", "According to a second sub-mode of the second example implementation of the invention, the number of branches of the DC/DC voltage converter is equal to the number of arms of the DC/AC voltage converter, and each control block dedicated to an H-bridge also drives all the switching cells of a pair of branches of the DC/DC voltage converter.", "According to this second sub-mode, the control blocks simultaneously play the role of control blocks of the architecture lacking a DC/DC voltage converter according to the first example implementation of the invention and the role of control blocks of switching cells of pairs of branches of the architecture according to the first sub-mode of the second example implementation of the invention which has just been described.", "The digital processing units of the control blocks can be programmable logic circuits (FPGAs) and the digital processing unit of the remote control unit can be a microcontroller.", "When each control block comprises a processing unit being an FPGA and when the remote control unit uses a microcontroller, the architecture uses three FPGAs and one microcontroller to perform the entire control of the switching cells of the converters, i.e.", "as many processing components as there are in the absence of a DC/DC voltage converter, although the latter is present.", "According to this second sub-mode, the architecture is more efficient with reduced size and cost.", "According to one or the other of these sub-modes, the DC/AC voltage converter can comprise six arms and the DC/DC voltage converter can comprise six branches.", "According to another example implementation of the invention, the architecture lacks a DC/AC voltage converter, the DC/DC voltage converter being placed between a connector suitable for being connected to an electrical network and the electrical energy storage unit, and each pair of branches of the DC/DC voltage converter is associated with a control block dedicated to this pair and providing for driving all the switching cells of this pair.", "In all that precedes, the architecture can comprise only one microcontroller, the latter forming part of the remote control unit, and several FPGAs, notably three or six, the latter forming digital processing units of the control blocks of the H-bridges.", "In all that precedes, a sensor for the position of the rotor of the electric machine and/or a sensor for the temperature in the electric motor, for example the temperature of the stator, can be arranged in the low-voltage environment and interact directly with the remote control unit, without the intermediary of components of the high-voltage environment.", "A single position sensor can thus interact with the remote control unit, the latter notably using a microcontroller, as mentioned above.", "Another subject of the invention, according to another of its aspects, is an architecture as defined above, additionally comprising: an electrical energy storage unit having a DC voltage across its terminals, and connected directly or not to the DC/AC voltage converter, and a polyphase electrical stator winding, each electrical phase of the stator being connected between two midpoints of an H-bridge.", "The architecture can comprise a power supply line suitable for being connected via a connector to the external electrical network, the power supply line comprising a number of conductors equal to the number of phases of the electrical stator winding and each conductor having one end connected to an intermediate point of a phase of the electrical stator winding.", "The intermediate point of said phase can be a midpoint.", "The electrical network can be an industrial electrical network managed by an operator.", "For example, it is an electrical network supplying a voltage at a frequency of 50 Hz or 60 Hz.", "It can be a single phase network supplying a voltage of between 120 V and 240 V or a polyphase network, for example three-phase, notably a three-phase network supplying a voltage of between 208 V and 416 V. Another subject of the invention, according to another of its aspects, is a control method for the abovementioned architecture, in which: it is detected that at least one fault occurs in the architecture while a first control mode is applied to the switching cells, and a second control mode is generated when this fault is detected, this second control mode being then applied to all or some of the switching cells.", "When the first control mode allows the power supply from the electrical energy storage unit for the electrical stator winding and when the latter is polyphase, the second control mode can provide for placing all or some of the electrical phases of said winding in short circuit, notably in the event of a fault in the low-voltage environment or in the event of a fault on the link allowing communication with the remote control unit.", "When the fault relates only to the control of one phase of the electrical stator winding, i.e.", "to the H-bridge dedicated to said phase or on the control block of the switching cells of said bridge, the second control mode can provide for placing the other electrical phases of the electrical stator winding in short circuit or provide for temporarily suppressing the electrical phase in question.", "This temporary suppression of an electric phase notably involves stopping the supply to the control block associated with said phase and/or the switching cells of the H-bridge dedicated to said phase.", "When the first control mode allows the charging of the electrical energy storage unit from the electrical network through, among other items, the electrical stator winding, the second control mode can provide for interrupting the charging or can allow the charging to be carried out at a reduced performance level.", "The charging is notably interrupted by opening one or more relays placed between the electrical network and the DC/AC voltage converter.", "Notably, a charging operation is carried out at a reduced performance level by imposing, according to the second control mode, a setpoint value for the current in the electrical energy storage unit which is less than the setpoint value for said current according to the first control mode.", "The invention will be able to be better understood upon reading the following description of non-limiting example implementations of the invention and upon examining the appended drawings in which: FIG.", "1 partially represents an electrical architecture according to a first example implementation of the invention, FIG.", "2 functionally represents the architecture according to the first example implementation of the invention, FIG.", "3 functionally represents the digital processing unit of a control block dedicated to an H-bridge of the DC/AC voltage converter of the architecture of FIG.", "1, FIGS.", "4 and 5 are representations in block-diagram form of scenarios that arise when a fault occurs in the architecture according to this first example implementation of the invention, FIG.", "6 partially represents an electrical architecture according to a first sub-mode of a second example implementation of the invention, FIG.", "7 functionally represents the digital processing unit of a control block dedicated to a pair of branches of the DC/DC voltage converter of the architecture of FIG.", "6, FIG.", "8 partially represents an electrical architecture according to a second sub-mode of the second example implementation of the invention, FIG.", "9 is a functional representation of the architecture according to the second sub-mode of the second example implementation of the invention, and FIG.", "10 schematically represents an example of a dual electrical supply of a control block.", "There is represented in FIG.", "1 an electrical architecture 1 according to an example implementation of the invention.", "The electrical architecture 1 comprises: a DC/AC voltage converter 2, an electrical energy storage unit 3, and an electrical stator winding 4 of an electric machine.", "The DC/AC voltage converter 2 is in this example arranged between the electrical energy storage unit 3 and the electrical winding 4 so as to provide an exchange of electrical energy between these latter.", "The electric machine is in this example being considered used to drive a hybrid or electric vehicle.", "This involves for example a permanent magnet synchronous motor.", "The electric machine exhibits for example a nominal power rating of between 10 W and 10 MW, notably between 100 W and 200 kW.", "In this example, the electrical stator winding 4 is three-phase.", "The electrical energy storage unit 3 can be a battery, a supercapacitor or any assembly of batteries or supercapacitors.", "For example, several parallel branches of series-connected batteries are involved.", "The electrical energy storage unit 3 can have a nominal voltage rating of between 60 V and 800 V, notably between 200 V and 450 V or between 600 V and 800 V. A capacitor 6 can be fitted in parallel with the electrical energy storage unit 3.As represented in FIG.", "1, the architecture 1 can comprise a connector 7 suitable for being connected to an industrial electrical network delivering a voltage at 50 Hz or 60 Hz.", "This connector 7 is for example connected, via a filter 9 configured to eliminate electromagnetic interference, to an intermediate point of each phase 10 of the electrical stator winding 4.This is for example a mid-point for the phases, as taught in the application WO 2010/057893.The converter 2 converts in this example the DC voltage across the terminals of the electrical energy storage unit 3 into a three-phase AC voltage supplying the electrical stator winding 4, in order to allow the propulsion of the vehicle.", "Conversely, the converter 2 can convert the AC voltage supplied by the network and passing through the electrical stator winding 4 into a DC voltage supplying the electrical energy storage unit 3, in order to provide the charging of the latter.", "The connector 7 is then connected to a terminal of the electrical network.", "The converter 2 here comprises three H-bridges 11, each H-bridge being formed by two arms fitted in parallel between the terminals of the electrical energy storage unit 3.Each arm exhibits in this example two reversible switching cells 12, fitted in series.", "A switching cell 12 is for example formed by the fitting in antiparallel of a transistor and a diode, the latter being if necessary the intrinsic diode of the transistor.", "The transistor can be field effect, IGBT or bipolar.", "Each H-bridge 11 is associated with a control block 13 driving the operation of all the switching cells 12 of the bridge 11.For the case in which each switching cell 12 comprises a transistor, this control block 13 injects the gate or base current providing for changing the state of the transistor.", "The architecture 1 comprises, as represented in FIG.", "2, a remote control unit 14 exchanging data with each control block 13 via a link 19 which can be a full-duplex synchronous serial link, for example SPI.", "This exchange of data can take place, in the example of FIGS.", "1 and 2, through a single potential barrier 15 through which the link 19 passes.", "This potential barrier 15 provides for example galvanic isolation, notably using a transformer or an opto-coupler.", "This barrier 15 separates the low-voltage environment to which the remote control unit 14 belongs from the high-voltage environment to which the control blocks 13, the DC/AC voltage converter 2, the electrical energy storage unit 3 and the electrical stator winding 4 belong.", "The remote control unit 14 can comprise one or more processing systems, for example one or more microcontrollers 16.The remote control unit 14 can communicate with a supervisor via a CAN link 17.In an application to a vehicle, the supervisor can be the engine electronic control unit (ECU) of the vehicle.", "The control unit 14 can be responsible for generating setpoint values for the current in each arm of the bridge 11 and for the voltage across the terminals of the arms of the bridge 11.In the context of communications via the link 19, one of the control blocks 13 can be master, as will be seen later, i.e.", "communication via this link 19 is managed by this control block 13.If necessary, the remote control unit 14 is associated with measurement units providing for measuring the temperature in the stator of the electric machine and with measurement units providing for determining the position of the rotor of the electric machine.", "Each control block 13 will now be described in greater detail with reference to FIG.", "2.Each control block 13 is in this case identical from one H-bridge to the other.", "Each control block 13 comprises a digital processing unit 20 arranged to receive information on the state of the associated H-bridge 11, and to generate control signals to drive the switching cells 12 of the bridge 11, if necessary based on setpoints received from the remote control unit 14.The processing unit 20 can be a programmable logic circuit (or FPGA).", "In this case, and when the processing by the remote control unit 14 uses a microcontroller, communication via the link 19 takes place between the FPGA 20 and the microcontroller 16.The FPGA 20 can be master and the microcontroller can be slave.", "As represented in FIG.", "2, the control signals to drive the switching cells 12 of the bridge 11 can be generated by a functional block 21 of the processing unit 20, this functional block 21 cooperating with another block 22 responsible for various strategies to be applied in the event of one or more faults in the bridge 11.The control block 13 also comprises in the example being considered means for establishing a diagnostic of the state of the bridge 11.These means provide for example for measuring the voltage across the terminals of an arm of the bridge 11, the current in an arm of the bridge 11, for example by virtue of a shunt, or the temperature at a point located between the two arms of the bridge 11.The values measured by these means are then transmitted to the processing unit 20.If necessary, these values can be analyzed autonomously by the processing unit 20, which can generate the control signals to drive the switching cells 12 of the bridge 11.As a variant, these values are sent to the remote control unit 14 via the link 19 in such a way that the latter generates the setpoints which will then be used by the processing unit 20 in order to generate the control signals driving the switching cells 12.The control block 13 comprises in the example being considered a dual electrical energy supply, as can be seen in FIG.", "10.This dual supply is formed by a first source 200 and a second source 201.The first source 200 is for example the electrical energy source of the onboard network, the latter thus delivering a low voltage, for example in the order of 12 V. Each first source 200 of a control block 13 can come from one source common to the control blocks, this common source being notably as mentioned above the electrical energy source of the onboard network of the vehicle.", "If necessary, a DC/DC voltage converter can be placed between the electrical energy source of the onboard network and each control block 13 in order to provide for lowering the value of the voltage supplied by the electrical energy source of the onboard network.", "It is for example preferable to electrically supply each control block 13 using a voltage having a value of less than 12 V, for example 6 V or less.", "This first electrical energy source 200 can provide for supplying the various components of the control block 13, and the source 200 can be provided with means for activating the source 200, maintaining it in service and interrupting it when it is no longer necessary for it to operate or for safety reasons.", "The second source 201 uses in this example an already-available high-voltage source, forming part of the architecture 1 or being accessible therefrom.", "In this example being considered, the second source 201 uses the voltage supplied by the electrical energy storage unit 3.A DC/DC voltage converter, for example the one described in the application filed in France on 28 Sep. 2012 under number 12 59180 can provide for converting the voltage across the terminals of the electrical energy storage unit 3 to a low voltage compatible with the power supplying of the control block 13.The processing unit 20 of FIG.", "2 is represented functionally in FIG.", "3.This unit 20 comprises: a module 30 forming a phase-lock loop, receiving a clock signal from the microcontroller 16, the clock frequency being for example 10 MHz, a half-duplex module 31 responsible for sending and receiving data via the link 19, a plurality of analog/digital converters 33 connected to the abovementioned measurement means.", "These converters 33 provide for acquiring analog values measured by these means and their analog/digital conversion; a module 34 generating duty cycle values for the voltage supplying the drivers of the switching cells; a module 35 generating duty cycle values which will be applied to the switching cells 12 of the bridge 11 in order to drive these switching cells, so as to control the operation of the DC/AC voltage converter 2 when the architecture 1 is used to propel the vehicle, a module 36 receiving as inputs the digital measurements supplied by the measurement means described previously, so as to perform a diagnostic of the state of the H-bridge 11, a module 37 generating duty cycle values which will be applied to the switching cells 12 of the bridge 11 in order to drive these switching cells, so as to control the operation of the DC/AC voltage converter 2 when the architecture 1 is used to charge the electrical energy storage unit 3, optionally, a digital/analog converter 38 exhibiting an output on four channels, optionally, a visual module 39, showing the activity of the processing unit 20, and a storage and computation module 40.The interaction between the various modules above will now be described.", "The information received by the analog/digital converters 33 is sent by the module 31 to the remote control unit 14 after having passed through the module 40.The remote control unit 14 generates, based on at least this information, setpoints transmitted via the link 19 to the module 31 and then transmitted to the modules 34 and 35 after having passed through the module 40.The information coming from the module 36 responsible for establishing a diagnostic on the state of the bridge 11 is transmitted to the modules 35 and 37 as well as to the remote control unit 14 via the modules 40 and 31.If necessary, this information is taken into account by the remote control unit 14 in order to generate the setpoints which are then transmitted to the modules 34 and 35, as explained above.", "There will now be described with reference to FIGS.", "4 and 5 examples of a second mode of control of the DC/AC voltage converter 2 by the various control blocks 13 when a fault is detected in the architecture while a first mode of control was applied.", "FIG.", "4 corresponds to the case in which a fault is detected in the architecture 1 while the converter 2 operates as an inverter to supply the electrical stator winding 4 from the electrical energy storage unit 3, so as to propel the vehicle.", "The column 40 corresponds to the faults that can occur in the architecture in the case being considered, while the column 41 indicates how this fault is detected, the column 42 indicates the configuration taken by the converter 2 due to the application of the second control mode by the switching cells 12, and the column 43 indicates the state of the propulsion of the vehicle due to the application of this second control mode.", "The blocks 50 and 51 correspond respectively to: a loss of control of a component in the low-voltage environment, for example the remote control unit 14, and a fault on a passive power component, for example the capacitor 6.These faults are detected according to 52 by the microcontroller 16 of the remote control unit 14 and/or by the FPGA 20.As a safety precaution, a second control mode is generated and then applied to the switching cells 12 and this second control mode is such that the converter 2 takes a configuration according to 53 in which the three phases of the electrical stator winding 4 are in short circuit.", "Consequently, the motor torque becomes zero, such that the vehicle is no longer propelled by the electric motor and decelerates slowly according to 54.The block 55 corresponds to the case of a fault occurring at the link 19.This fault is detected according to 56 by the FPGA 20.The second control mode is generated by the control blocks 13 and applied to the switching cells 12, so as to then cause the converter 2 to shift into the same configuration as according to 53, such that the state corresponding to the block 54 is arrived at.", "The block 58 corresponds to a loss of control of a component of the high-voltage environment, for example at the drivers of the switching cells 12 of a bridge 11.This fault is detected according to 59 by the remote control unit 14 and/or by the FPGA 20.The second control mode is then generated by the remote control unit 14 and/or by the FPGA 20, and then applied to the switching cells 12.This second control mode is such that, when it is applied, the converter 2 takes a configuration according to 60 in which two phases of the electrical stator winding 4 are in short circuit.", "Consequently, the motor torque becomes zero, such that the vehicle is no longer propelled by the electric motor and decelerates slowly, still according to 54.As a variant, when the remote control unit 14 or the FPGA 20 detects a fault according to 59, the second control mode generated can be such that, when it is applied to the switching cells 12, the converter 2 takes a configuration 61 in which a bridge 11 is in short circuit, such that only two phases of the electrical stator winding 4 are still used.", "Only two H-bridges 11 are then active.", "Consequently, the performance level of the electric motor is reduced, i.e.", "the maximum power that the motor can supply is reduced, the latter ending up in the state according to 62.The block 63 corresponds to a fault occurring on an active power component of an H-bridge.", "This fault is detected according to 59 by the remote control unit 14 and/or by the FPGA 20, such that the converter can then end up in the configuration according to 60 or 61.In summary, the DC/AC voltage converter 2 can take the configuration according to 53 when at least one of the following faults is detected: loss or degradation of communication with the supervisor, the CAN link, i.e.", "a fault in the low-voltage environment, loss or degradation of the SPI link 19 or in one of the modules 31 of the FPGAs 20, loss or degradation of the microcontroller 16 of the remote control unit 14, loss or degradation of the power supply of this microcontroller 16, degradation of the power supply of a sensor for the position of the rotor of the electric machine, this sensor interacting with the remote control unit 14, or loss or degradation of the position measurement supplied by this sensor, loss or degradation of an emergency stop program for the electric motor, major fault at the electric motor, loss of the EMC filter 9, or of an EMC filter arranged between the HV battery and the inverter, loss of the capacitor 6, loss or degradation of the power supply of the processing units 20 of the control blocks 13, loss or degradation of a signal ensuring the correct disconnection of a power connector placed between the electrical energy storage unit 3 and the DC/AC voltage converter 2.The configurations 60 or 61 can be arrived at when one or more faults occur within a single compartment of the architecture 1, i.e.", "in the example being considered within a single control block 13 or within a single H-bridge 11.This fault is one of at least: a loss or an error in the current measuring chain in the bridge 11 and/or in the voltage measuring chain in the bridge 11, a loss of connection of the bridge 11 at the electrical stator winding 4, a loss or a degradation of the processing unit 20 of the control block 13, a loss or a degradation of the drivers associated with each switching cell 12 and transmitting to the latter the setpoints from the processing unit 20, loss or degradation of the power supply of the processing units 20 of the control blocks 13.FIG.", "5 corresponds to the case in which a fault is detected in the architecture 1 while the converter 2 operates as a rectifier to charge the electrical energy storage unit 3 from the electrical network through the electrical stator winding 4 and while a first control mode is applied to the switching cells 12.The same faults according to blocks 50, 51, 55, 58 and 63 can occur and be detected by the remote control unit 14 or by the FPGA 20 according to a block 65.Two second alternative control modes can then be generated and applied by the switching cells 12 of the bridges 11 of the converter 2 in this case.", "One of these second control modes causes the converter 2 to shift into a configuration according to 67 in which the control of the switching cells 12 is interrupted due to the stopping of the electrical supply of the control blocks 13, and this configuration corresponds to a state 68 of the architecture 1 in which there is no charging of the electrical energy storage unit by the electrical network.", "The other second control mode causes the converter 2 to shift into a configuration according to 69 in which a degraded charging occurs.", "This degraded charging corresponds for example to a charging with a reduced setpoint value for the current in the electrical energy storage unit 3.The architecture 1 is then in a state 66 in which charging of the electrical energy storage unit 3 is carried out at a reduced performance level, the charging time being notably higher.", "Analysis or a diagnostic of the state of the bridge 11 and determination of the strategy to be applied according to whether faults are detected in this bridge 11 can thus be carried out by the processing unit 20 of the bridge in question, if necessary using information transmitted by the link 19 from the remote control unit 14.In the example which has just been described, the architecture 1 lacks a DC/DC voltage converter placed between the converter 2 and the electrical energy storage unit 3, such that the voltage on the DC interface of the converter 2 is substantially equal to that across the terminals of the electrical energy storage unit 3.The invention is however not restricted thereto, as will now be seen.", "FIG.", "6 represents an architecture 1 according to a second example implementation of the invention.", "This architecture 1 differs from that which has just been described with reference to FIGS.", "1 to 5 due to the fact that it additionally comprises a DC/DC voltage converter 70 placed between the capacitor 6 and the electrical energy storage unit 3, i.e.", "the converter 70 is also arranged between said unit 3 and the DC/AC voltage converter 2.The DC/DC voltage converter 70 provides for adapting the value of the voltage across the terminals of the electrical energy storage unit 3 to the value of the voltage suitable for supplying the electrical stator winding 4, and vice versa.", "This converter 70 is in this case interleaved, comprising several branches.", "Each branch comprises in this example: an arm fitted in parallel with the capacitor 6 and comprising two switching cells 71 in series, which are controllable and separated by a midpoint 73, a coil 74 with one end connected to the midpoint 73 of the arm and the other end connected to the high-voltage positive terminal of the electrical energy storage unit 3.In the example being considered, the number of branches of the converter 70 is equal to the number of arms of the converter 2, i.e.", "six, and the branches are paired, the coil 74 of one branch of one pair 75 being in magnetic coupling with the coil 74 of the other branch of said pair 75.In this example, each pair 75 of branches is associated with a control block not represented in this figure and responsible for driving all the switching cells 71 of this pair 75.Each control block is dedicated to one pair 75 of branches and it can be in every respect or not identical to a control block 13 of a bridge 11 of the converter 2 described previously.", "Each control block dedicated to a pair 75 of branches notably comprises a digital processing unit 77, similar or identical to the digital processing unit 20 described previously.", "This processing unit 77 is for example implemented using an FPGA and it can differ from that described with reference to FIG.", "3 only by the absence of the module 37.Each processing unit 77 then communicates with the remote control unit 14 via the link 19, the latter being shared with the processing units 20 of the control blocks 13.The link 19 comprises for example several wires and one is assigned to the exchange of data between the processing units 20 and the remote control unit 14 while another wire is assigned to the exchange of data between the processing units 77 and the remote control unit 14.Similarly to that described earlier, when each processing unit 20 and each processing unit 77 are implemented using FPGAs and when the processing by the remote control unit 14 uses a microcontroller, communication via the link 19 takes place between the FPGAs and the microcontroller 16, and one of the FPGAs is master.", "In the example of FIG.", "6, the architecture 1 comprises six control blocks, namely: three control blocks 13, each being dedicated to an H-bridge 11 of the DC/AC voltage converter 2, and three control blocks, each being dedicated to a pair 75 of branches of the DC/DC voltage converter 70.Thus, in this architecture there are three processing units 20 and three processing units 77, i.e.", "six FPGAs, when each processing unit 20 or 77 is implemented using an FPGA.", "The presence of a control block dedicated to each pair 75 of branches of the converter 70 can provide for remedying the following faults occurring within said pair 75 or within the control block of said pair 75: a loss or an error in the current measuring chain in the pair 75 or in the voltage measuring chain in the pair 75, a loss or a degradation of the processing unit 77 of the control block of the pair 75, a loss or a degradation of the drivers associated with each switching cell 71 and transmitting to the latter the setpoints from the processing unit 77, loss of the auxiliary energy source of the control block of said pair 75 when the latter uses the electrical energy storage unit 3.There will now be described with reference to FIGS.", "8 and 9 an architecture 1 which differs from that which has just been described only by the fact that instead of having: a control block 13 dedicated to each H-bridge 11 of the DC/AC voltage converter 2, and a control block dedicated to each pair 75 of branches of the DC/DC voltage converter 70, i.e.", "six control blocks in total, the architecture 1 comprises only three.", "As represented in FIG.", "8, according to this example implementation, a control block 13, in addition to driving the switching cells 12 of an H-bridge 11 of the DC/AC voltage converter 2, also drives the switching cells 71 of a pair 75 of branches of the DC/DC voltage converter 70.The processing units 20 described with reference to FIGS.", "1 to 5 hence also play the role of the processing units 77 described with reference to FIGS.", "6 and 7.FIG.", "9 is a representation similar to that of FIG.", "2 for the architecture of FIG.", "8.As can be seen, each processing unit 20 is functionally split into two parts, the first part 80 being assigned to driving the switching cells 12 of an H-bridge 11 of the DC/AC voltage converter 2, this part 80 carrying out the tasks of the processing unit 20 described with reference to FIGS.", "1 to 5, while a second part 81 is assigned to driving the switching cells 71 of a pair 75 of branches of the DC/DC voltage converter 70, this part 81 carrying out the tasks of the processing unit 77 described with reference to FIGS.", "6 and 7.The link 19 in this example provides for conveying between the remote control unit 14 and the control blocks 13 both data connected with the driving of the switching cells 71 of the DC/DC voltage converter 70, and data connected with the driving of the switching cells 12 of the DC/AC voltage converter 2.A wire 82 of the link 19 is for example dedicated to the exchange of data between the remote control unit 14 and the first parts 80 while another wire 84 is dedicated to the exchange of data between the remote control unit 14 and the second parts 81.Two separate isolators 18 providing the potential barrier 15 between the remote control unit and the converters 2 and 70 can each have one of the wires 82 or 84 pass through it.", "As a variant, a single multichannel isolator 18, having the wires 82 and 84 pass through it, can be used.", "When the processing of the data from the converters 2 and 70 by the remote control unit 14 uses a microcontroller and when each control block 13 comprises an FPGA, the architecture 1 according to FIGS.", "8 and 9 exhibits the safety-related advantages mentioned previously, while using only four digital processing components.", "The invention is not limited to the examples which have just been described.", "The expression “comprising a” must be understood to mean “comprising at least one”, except when otherwise specified." ] ]
Patent_15875560
[ [ "SYSTEMS AND METHODS TO MANAGE AND CONTROL RENEWABLE DISTRIBUTED ENERGY RESOURCES", "A system for analyzing energy usage measures one or more parameters indicative of energy usage for a plurality of sub-circuits, where the sampling rate for the measuring is substantially continuous, and automatically transmits information related to at least one of the measured parameters at a rate that enables monitoring of current energy usage.", "The system further detects a significant change in a measured parameter, determines whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmits information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change." ], [ "1.A method to manage and control solar power generation systems, the method comprising: receiving first digital data associated with electrical energy generated by a solar power generator associated with a facility, wherein the first digital data is received in accordance with a first protocol, and further wherein the first digital data is received at a first rate of at least once per minute; receiving second digital data from one or more of a smart meter, a submeter, and a sensor associated with one or more of the facility, a battery system, a chiller system, an electric vehicle (EV) charging station, and a building energy management system (BEMS), wherein the second digital data provides an indication of electrical energy associated with at least a portion of the one or more of the facility, the battery system, the chiller system, the EV charging station, and the BEMS, wherein the second digital data is received in accordance with a second protocol, and further wherein the second digital data is received at a second rate of at least once per minute; receiving energy-related data; and providing, based at least in part on the first digital data, the second digital data, and the energy-related data, a control signal to control an inverter that is operationally coupled to the solar power generator, wherein the control signal is provided at a third rate of at least once per minute.", "2.The method of claim 1 wherein the energy-related data includes one or more of weather-related data and grid-related data, the weather-related data comprising one or more of actual weather data, predicted weather data, and solar irradiance data, and the grid-related data comprising one or more of grid loading data, grid capacity data, pricing data, energy rates, grid condition data, and grid forecast data.", "3.The method of claim 1 wherein the energy-related data is received over a wide area network or a local area network.", "4.The method of claim 1 wherein the control comprises one or more of pulse width modulation (PWM) control, digital control, and analog control.", "5.The method of claim 1 wherein the control signal comprises one of a digital control signal and an analog control signal.", "6.The method of claim 1 wherein the solar power generator is configured to generate electrical energy for use by the at least a portion of the facility.", "7.The method of claim 6 wherein the indication of electrical energy associated with the facility comprises an indication of excess electrical energy when the solar power generator generates more electrical energy than is used by the at least a portion of the facility and comprises an indication of deficit electrical energy when the solar power generator generates less electrical energy than is used by the at least a portion of the facility.", "8.The method of claim 1 wherein the energy-related data comprises one or more of environmental data, fuel type, prior energy consumption, facility occupancy schedules, BIM (Building Information Modeling) data, GIS (Geographic Information System) data, facility data, equipment specification data, equipment maintenance logs, and asset inventory data.", "9.The method of claim 8 further comprising providing, based at least in part on the first digital data, the second digital data, and the energy-related data, the control signal.", "10.The method of claim 1 wherein, based at least in part on the control signal, the electrical energy generated by the solar power generator is shifted to one or more of the EV charging station, a heating, ventilation, and air conditioning (HVAC) system associated with the facility, lighting associated with the facility, and a plug load system associated with the facility, shed, stored in one or more of the battery system and the chiller system, or supplemented by the one or more of the battery system and the chiller system.", "11.An apparatus to manage and control solar power generation systems, the apparatus comprising: a first input/output (I/O) module configured to receive first digital data associated with electrical energy generated by a solar power generator associated with a facility, wherein the first digital data is received in accordance with a first protocol, and further wherein the first digital data is received at a first rate of at least once per minute; a second I/O module configured to receive second digital data from one or more of a smart meter, a submeter, and a sensor associated with one or more of the facility, a battery system, a chiller system, an electric vehicle (EV) charging station, and a building energy management system (BEMS), wherein the second digital data provides an indication of electrical energy associated with at least a portion of the one or more of the facility, the battery system, the chiller system, the EV charging station, and the BEMS, wherein the second digital data is received in accordance with a second protocol, and further wherein the second digital data is received at a second rate of at least once per minute; a communication port configured to receive energy-related data over a network; and a third I/O module configured to provide, based at least in part on the first digital data, the second digital data, and the energy-related data, a control signal to control an inverter that is operationally coupled to the solar power generator, wherein the control signal is provided at a third rate of at least once per minute.", "12.The apparatus of claim 11 wherein the energy-related data includes one or more of weather-related data and grid-related data, the weather-related data comprising one or more of actual weather data, predicted weather data, and solar irradiance data, and the grid-related data comprising one or more of grid loading data, grid capacity data, pricing data, energy rates, grid condition data, and grid forecast data.", "13.The apparatus of claim 11 wherein the network comprises a wide area network or a local area network.", "14.The apparatus of claim 11 wherein the control comprises one or more of pulse width modulation (PWM) control, digital control, and analog control.", "15.The apparatus of claim 11 wherein the control signal comprises one of a digital control signal and an analog control signal.", "16.The apparatus of claim 11 wherein the solar power generator is configured to generate electrical energy for use by the at least a portion of the facility.", "17.The apparatus of claim 16 wherein the indication of electrical energy associated with the facility comprises an indication of excess electrical energy when the solar power generator generates more electrical energy than is used by the at least a portion of the facility and comprises an indication of deficit electrical energy when the solar power generator generates less electrical energy than is used by the at least a portion of the facility.", "18.The apparatus of claim 11 wherein the energy-related data comprises one or more of environmental data, fuel type, prior energy consumption, facility occupancy schedules, BIM (Building Information Modeling) data, GIS (Geographic Information System) data, facility data, equipment specification data, equipment maintenance logs, and asset inventory data.", "19.The apparatus of claim 18 further comprising providing, based at least in part on the first digital data, the second digital data, and the energy-related data, the control signal.", "20.The apparatus of claim 11 wherein, based at least in part on the control signal, the electrical energy generated by the solar power generator is shifted to one or more of the EV charging station, a heating, ventilation, and air conditioning (HVAC) system associated with the facility, lighting associated with the facility, and a plug load system associated with the facility, shed, stored in one or more of the battery system and the chiller system, or supplemented by one or more of the battery system and the chiller system." ], [ "<SOH> BACKGROUND <EOH>The alternating-current power grid was developed in the late nineteenth century with features such as centralized unidirectional electric power transmission and demand-driven control.", "In the twentieth century, utilities inter-tied small local grids to form larger and larger power grids, which lent to efficiencies of scale.", "However, near the end of the twentieth century, the economies of scale of power production were limited by difficulties in propagating supply and demand price signals through the system, environmental concerns about power production, and an increased dependence on limited fossil fuel resources." ], [ "<SOH> SUMMARY <EOH>Digital communications technology can be added to various tiers of the power grid to create smart grids at the utility level, the municipality level, the individual energy consumer level, and as far as the circuit, device or appliance level that are able to receive real-time energy data and react accordingly.", "Embodiments are directed towards an energy management system that measures, analyzes, communicates, and controls energy usage with two-way energy information.", "Embodiments collect and analyze energy data from electrical circuits and sensors, and communicate the energy information to power grids, micro grids, electric circuits, appliances, and devices for use by utilities, municipalities, businesses, and individual consumers.", "Other embodiments of the energy management system perform real time continuous and automated digital measurement, analysis, and communication of energy usage.", "External sensors, such as temperature sensors, for example, provide additional energy-related data.", "The energy management system additionally stores and reports energy quality and metrics based on the analysis of the energy measurement data, external sensor data, and information from power utilities.", "Further embodiments of the energy management system integrate at least some of universally interoperable “smart grid envisioned” digital energy measurement, energy use analysis, carbon footprint analysis, greenhouse gas emission analysis, energy quality and availability analysis, data correction algorithms, data reduction algorithms, data encryption algorithms, data storage, data communication, control of energy used, carbon footprints associated with the energy used, energy generated, and greenhouse gas emissions associated with the energy generated.", "Embodiments of the energy management system interface with “a smart device” “a smart appliance” “a smart building” “the smart grid”, renewable energy generators, and the like.", "Certain embodiments relate to a method of measuring and analyzing energy usage.", "The method comprises measuring one or more parameters indicative of energy usage for a plurality of sub-circuits, where the sampling rate for measuring is substantially continuous, automatically transmitting information related to at least one of the measured parameters at a reporting rate decoupled from the sampling rate that enables monitoring of current energy usage, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmitting, independent of the sampling rate and the reporting rate, information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change.", "According to a number of embodiments, the disclosure relates to a system for analyzing energy usage.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of sub-circuits, where the sampling rate for measuring is substantially continuous, computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy usage, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change.", "Further embodiments relate to a system for measuring, analyzing, and controlling energy usage of a facility or facility subsystem.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of circuits, sub-circuits, or systems where a sampling rate for measuring is substantially continuous, a plurality of measurement devices configured to measure one or more parameters indicative of the energy efficiency of systems, where a sampling rate for measuring is substantially continuous, and a plurality of measurement devices configured to measure one or more parameters indicative of the environmental condition of systems and facilities, wherein a sampling rate for measuring is substantially continuous.", "The system further comprises computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy efficiency, automatically obtaining relevant environmental conditions including weather data, automatically determining control sequence to maximize energy efficiency, automatically determining demand reduction potential, automatically determining control sequence to minimize demand usage at any time without affecting operations and comfort, automatically transmitting control commands to at least one system or equipment, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, determining whether and the significant change in the measured parameter caused a change in energy efficiency, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy efficiency after detecting the significant change.", "For purposes of summarizing the disclosure, certain aspects, advantages and novel features of the inventions have been described herein.", "It is to be understood that not necessarily all such advantages may be achieved in accordance with any particular embodiment of the invention.", "Thus, the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other advantages as may be taught or suggested herein." ], [ "INCORPORATION BY REFERENCE TO ANY PRIORITY APPLICATIONS Any and all applications for which a foreign or domestic priority claim is identified in the Application Data Sheet as filed with the present application are hereby incorporated by reference under 37 CFR 1.57.Provisional Application No.", "61/497,421, filed Jun.", "15, 2011, titled “SYSTEM AND METHODS FOR THE INTEGRATED AND CONTINUOUS DESIGN, SIMULATION, COMMISSIONING, REAL TIME MANAGEMENT, EVALUATION, AND OPTIMIZATION OF FACILITIES” and Provisional Application No.", "61/564,219, filed Nov. 28, 2011, titled “ENERGY SEARCH ENGINE METHODS AND SYSTEMS”, are hereby incorporated herein by reference in their entireties to be considered a part of this specification.", "BACKGROUND The alternating-current power grid was developed in the late nineteenth century with features such as centralized unidirectional electric power transmission and demand-driven control.", "In the twentieth century, utilities inter-tied small local grids to form larger and larger power grids, which lent to efficiencies of scale.", "However, near the end of the twentieth century, the economies of scale of power production were limited by difficulties in propagating supply and demand price signals through the system, environmental concerns about power production, and an increased dependence on limited fossil fuel resources.", "SUMMARY Digital communications technology can be added to various tiers of the power grid to create smart grids at the utility level, the municipality level, the individual energy consumer level, and as far as the circuit, device or appliance level that are able to receive real-time energy data and react accordingly.", "Embodiments are directed towards an energy management system that measures, analyzes, communicates, and controls energy usage with two-way energy information.", "Embodiments collect and analyze energy data from electrical circuits and sensors, and communicate the energy information to power grids, micro grids, electric circuits, appliances, and devices for use by utilities, municipalities, businesses, and individual consumers.", "Other embodiments of the energy management system perform real time continuous and automated digital measurement, analysis, and communication of energy usage.", "External sensors, such as temperature sensors, for example, provide additional energy-related data.", "The energy management system additionally stores and reports energy quality and metrics based on the analysis of the energy measurement data, external sensor data, and information from power utilities.", "Further embodiments of the energy management system integrate at least some of universally interoperable “smart grid envisioned” digital energy measurement, energy use analysis, carbon footprint analysis, greenhouse gas emission analysis, energy quality and availability analysis, data correction algorithms, data reduction algorithms, data encryption algorithms, data storage, data communication, control of energy used, carbon footprints associated with the energy used, energy generated, and greenhouse gas emissions associated with the energy generated.", "Embodiments of the energy management system interface with “a smart device” “a smart appliance” “a smart building” “the smart grid”, renewable energy generators, and the like.", "Certain embodiments relate to a method of measuring and analyzing energy usage.", "The method comprises measuring one or more parameters indicative of energy usage for a plurality of sub-circuits, where the sampling rate for measuring is substantially continuous, automatically transmitting information related to at least one of the measured parameters at a reporting rate decoupled from the sampling rate that enables monitoring of current energy usage, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmitting, independent of the sampling rate and the reporting rate, information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change.", "According to a number of embodiments, the disclosure relates to a system for analyzing energy usage.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of sub-circuits, where the sampling rate for measuring is substantially continuous, computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy usage, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change.", "Further embodiments relate to a system for measuring, analyzing, and controlling energy usage of a facility or facility subsystem.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of circuits, sub-circuits, or systems where a sampling rate for measuring is substantially continuous, a plurality of measurement devices configured to measure one or more parameters indicative of the energy efficiency of systems, where a sampling rate for measuring is substantially continuous, and a plurality of measurement devices configured to measure one or more parameters indicative of the environmental condition of systems and facilities, wherein a sampling rate for measuring is substantially continuous.", "The system further comprises computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy efficiency, automatically obtaining relevant environmental conditions including weather data, automatically determining control sequence to maximize energy efficiency, automatically determining demand reduction potential, automatically determining control sequence to minimize demand usage at any time without affecting operations and comfort, automatically transmitting control commands to at least one system or equipment, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, determining whether and the significant change in the measured parameter caused a change in energy efficiency, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy efficiency after detecting the significant change.", "For purposes of summarizing the disclosure, certain aspects, advantages and novel features of the inventions have been described herein.", "It is to be understood that not necessarily all such advantages may be achieved in accordance with any particular embodiment of the invention.", "Thus, the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other advantages as may be taught or suggested herein.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates a schematic diagram of energy usage including an energy management system to measure, analyze, communicate and control the energy usage, according to certain embodiments.", "FIG.", "2 illustrates an exemplary schematic diagram of an energy management system, according to certain embodiments.", "FIG.", "3 illustrates a schematic diagram of the exemplary energy management system of FIG.", "2, according to certain embodiments FIG.", "4 is a schematic diagram showing a polarity correction device, according to certain embodiments.", "FIG.", "5 is a flow chart of an exemplary data reduction and data validation process, according to certain embodiments.", "FIG.", "6 is a flow chart of an exemplary energy data management process, according to certain embodiments.", "DETAILED DESCRIPTION The features of the systems and methods will now be described with reference to the drawings summarized above.", "Throughout the drawings, reference numbers are re-used to indicate correspondence between referenced elements.", "The drawings, associated descriptions, and specific implementation are provided to illustrate embodiments of the inventions and not to limit the scope of the disclosure.", "FIG.", "1 illustrates a schematic diagram of energy usage 100 including an energy management system 102 to measure, analyze, communicate, and control the energy usage of a facility 104.Energy entering the facility 104 can be of many forms, such as for example, thermal, mechanical, electrical, chemical, light, and the like.", "The most common forms are typically electricity or power, gas, thermal mass (hot or cold air), and solar irradiance.", "The electrical energy can be generated from traditional fossil fuels, or alternate forms of power generation, such as solar cells, wind turbines, fuel cells, any type of electrical energy generator, and the like.", "Ambient weather conditions, such as cloudy days, or time of day, such as nighttime, may be responsible for radiant energy transfer (gains or losses).", "Facilities 104 can comprise one or more buildings, residences, factories, stores, commercial facilities, industrial facilities, one or more rooms, one or more offices, one or more zoned areas in a facility, one or more floors in a building, parking structures, stadiums, theatres, individual equipment or machinery (motors, chillers, pumps, fans, elevators, etc.", "), electric vehicles with energy and/or information flow, or the like.", "In another embodiment, the energy management system 102 measures, analyzes, communicates, and controls the energy usage of one or more electric circuits, appliances, devices, micro grids, power grids, or the like associated with the facility 104.The energy management system 102 measures energy parameters from the energy entering and consumed in the facility 104.The energy management system 102 additionally receives sensor signals from sensors 106.The sensors 106 can comprise current sensors, voltage sensors, EMF sensors, touch sensors, contact closures, capacitive sensors, trip sensors, mechanical switches, torque sensors, temperature sensors, air flow sensors, gas flow sensors, water flow sensors, water sensors, accelerometers, vibration sensors, GPS, wind sensors, sun sensors, pressure sensors, light sensors, tension-meters, microphones, humidity sensors, occupancy sensors, motion sensors, laser sensors, gas sensors (CO2, CO), speed sensors (rotational, angular), pulse counters, and the like.", "The energy management system communicates with third parties 108 directly, over local area networks, over the world wide web 110, such as the Internet, over a smart grid, and the like.", "Third parties are, for example, utility companies, building maintenance personnel, other energy management systems, first responders, emergency personnel, governmental energy agencies, equipment, control systems, other facilities, information databases, software systems, web services, equipment vendors, equipment technical support personnel, administrators, managers, smart meters, circuit breakers, machinery, equipment, vehicles, battery systems, power generators, fuel cells, inverters, PV panels, RSS feeds, weather stations, measurement devices with digital output, and the like.", "The energy management system 102 transmits the measured energy parameters, energy performance metrics, energy reports, energy alerts, control commands, activity logs, electricity demand reduction potential, demand reduction potential (electricity, gas, water), demand reduction measurements (electricity, gas, water), baseline energy information, peak energy information, energy duty cycle, power quality information, the sensor signals, and the like, to the third party 108.In addition, the energy management system 102 can receive additional energy data from the third party 108.Examples of the additional data include environmental data, weather forecast, fuel type, energy rates, grid loading, prior energy consumption, facility occupancy schedules, BIM (Building Information Modeling) data, GIS (Geographic Information System) data, facility data, equipment specification data, equipment maintenance logs, asset inventory data, and the like.", "The energy management system 102 analyzes the measured energy parameters, the sensor signals, and the additional data to provide analyzed energy data and energy controls.", "The energy management system 102 analyzes the data to calculate energy loads, determine possible energy reductions, identify malfunctioning systems, determine carbon footprints, calculate phase imbalance, calculate power quality, calculate power capacity, calculate energy efficiency metrics, calculate equipment duty cycles, calculate energy load profiles, identify peak energy, determine wasted energy, analyze root cause of wasted energy, identify losses due to simultaneous heating and cooling, calculate overcooling, calculate overheating, calculate schedule losses, calculate rate analysis, calculate payback of energy improvement measures, calculate optimum capacity and maximum payback of alternate energy sources, calculate demand reduction potential, calculate energy forecast, and the like.", "In an embodiment, energy management system 102 provides energy control signals based at least in part on the analysis of the measured energy parameters, the sensor signals, and the additional third party data.", "In one embodiment, the energy control signals are pulse width modulation (PWM) control signals to control the loading of electrical circuits associated with to the facility 104.Other examples of energy control signals are, but not limited to, relay interrupts, software interrupts, analog outputs, digital outputs, frequency modulation, voltage modulation, current clamping, wireless control (AM, FM, RF, Wi-Fi™, WiMax™, etc.", "), wired control (Ethernet®, BACNET®, ModBus®, IonWorks™, etc.)", "and the like.", "In other embodiments, the energy management system 102 transmits the analyzed energy data to the third parties 108 through direct communications, over a local area network, over the Internet, over a smart grid, and the like.", "FIG.", "2 illustrates an exemplary block diagram of an embodiment of the energy management system 102.The energy management system 102 comprises one or more computers 202 and memory 204, and communicates with one or more third parties 108 through a network 210.The computers 202 comprise, by way of example, processors, Field Programmable Gate Array (FPGA), System on a Chip (SOC), program logic, or other substrate configurations representing data and instructions, which operate as described herein.", "In other embodiments, the processors can comprise controller circuitry, processor circuitry, processors, general-purpose single-chip or multi-chip microprocessors, digital signal processors, embedded microprocessors, microcontrollers and the like.", "In an embodiment, the processor is an ADE 7880 by Analog Devices, an ADE 5169 by Analog Devices, or ADE 7953 by Analog Devices, and the like.", "The memory 204 can comprise one or more logical and/or physical data storage systems for storing data and applications used by the processor 202.In an embodiment, the memory 204 comprises program modules 212 and at least one data storage module 214.In an embodiment, the data storage module includes at least one database.", "In certain embodiments, the network 210 can comprise a local area network (LAN).", "In yet other embodiments, the network 210 can comprise one or more of the following communication means: internet, Internet, intranet, wide area network (WAN), home area network (HAN), public network, smart grid, combinations of the same, or the like.", "In other embodiments, the network 210 can be any communication system including by way of example, telephone networks, wireless data transmission systems, two-way cable systems, customized computer networks, interactive television networks, and the like.", "In addition, connectivity to the network 210 may be through, for example, TCP IP, Ethernet®, ZigBee®, BlueTooth®, Power Line Carrier (PLC), WiFi™, WiMax™, ModBus®, BACnet®, GSM® (Global System for Mobile Communication), GPRS (General Packet Radio Service), combinations of the same, or the like.", "In an embodiment, the memory 204 comprises an interface module, such as a Graphic User Interface (GUI), or the like, to provide a user interface to the energy management system 102 through interface equipment 216.The interface equipment comprises, by way of example, a personal computer, a display, a keyboard, a QWERTY keyboard, 8, 16, or more segment LEDs, LCD panels, a display, a smartphone, a mobile communication device, a microphone, a keypad, a speaker, a pointing device, user interface control elements, combinations of the same, and any other devices or systems that allow a user to provide input commands and receive outputs from the energy management system 102.The energy management system 102 further comprises input/output circuits 206 and analog to digital converter (ADCs) modules 208.The input/output circuits 206 interface with electrical circuits 218, including motors, such as, for example, fans 220, pumps/compressors 222, variable air volume (VAV) valves, elevators, and the like, temperature sensors 224, light ballasts, light switches, and other internal or external sensors 226 to provide current or voltage matching, voltage or current level adjustment, control signals, frequency adjustment, phase adjustment, or the like.", "The input/output circuits 206, in an embodiment, scale the electrical measurements and sensor data so that the energy measurement and sensor data can be analyzed and stored by the processor 202 and the memory 204.The input/output circuits 206 are digital, analog, or combinations of analog and digital circuits.", "The ADC modules 208 interface with the electrical circuits 218, 220, 222 to convert the analog energy measurements to digital values for further analysis and processing by the processor 202 and memory 204.FIG.", "3 illustrates an embodiment of the energy management system 102 comprising the processor 202, memory 204, one or more temperature sensor compensation modules 300, one or more sensor compensation modules 302 for other sensors, one or more ADC modules 208, one or more polarity correction devices 304, one or more multiplexing devices 338, and one or more phase ADC modules 306.The memory 204 comprises the data storage module 214 and the program modules 212.In an embodiment, the program modules 212 comprise an energy calculation module 308, a data gateway module 310, a data validation and reduction module 312, a data analysis module 314, a data encryption module 316, a global positioning system (GPS) module 318, a web server module 320, a human machine interface module 322, a pulse width modulation (PWM) controller module 324, and a communication module 326.In an embodiment, the energy measurement system 102 measures electrical parameters, such as voltage, current, line-to-line voltage, line-to-line current, line to neutral voltage, line to neutral current, total power, reactive power, active power, fundamental and harmonic total energy per phase, fundamental and harmonic reactive energy per phase, active energy per harmonic frequency per phase, reactive energy per harmonic frequency per phase, fundamental and harmonic active energy per phase, and the like, of 1 to n electrical circuits or sub-circuits 218.In addition, the measured parameter comprises, by way of example, light intensity, rotational speed, linear speed, temperature, vibration, carbon dioxide, pressure, motion, flow, acceleration, voltage, current, sound, ultrasonic frequencies, and the like.", "The electrical circuit 218 can be locally or remotely located from the energy management system 102 and can measure voltages ranging from 0 volts in a de-energized state to up to approximately 600 VAC or VDC in an energized state, and high speed voltage spikes to 4 kV.", "The energy management system 102 measures electrical circuits 218 have various phase configurations, such as, for example, single phase, split phase, three phase Delta, three phase Wye, and the like.", "The energy management system 102 operates at voltages from 80 VAC to 600 VAC and multiple frequencies, such as, for example, 50 Hz, 60 Hz, and the like.", "A measurement device 330 is associated with each electrical circuit 218 and acquires an analog measurement of the current, voltage, or power in its associated electrical circuit 218.In an embodiment, the measurement devices 330 couple directly into the facility's power distribution system where electrical measurements can be acquired internally from the main power distribution bars or through a connection to a circuit breaker.", "In another embodiment, measurement devices 330 can be embedded in the circuit breakers to measure the voltage and current of the circuit 218 associated with the circuit breaker.", "In an embodiment, the measurement device 330 electrically couples to the energy management system 102 by directly connecting the output leads of the measurement device 330 to the energy management system 102.In another embodiment, the measurement devices 330 communicate measured energy data from the circuit 218 to the energy management system 102 and control signals from the energy management system 102 to the circuit 218 via wireless, wired, optical, or power line carrier (PLC) communications.", "The measurement devices 330 can be powered from the pickup and rectification of the electromagnetic fields associated with the circuit 218, by an electrical connection to energized circuits with or without re-chargeable battery backup, or the like.", "The measurement devices 330 comprise, by way of example, Rogowski coils, DC shunts, external digital current sensors, external analog current sensors, clamp on current measuring toroid transformers (CTs), shunt resistor modules in series with a circuit breaker, combinations of the same, and the like.", "In an embodiment, the measurement devices 330 comprise current transformers 330.When the current in a circuit 218 is too high to directly apply to measuring instruments, the current transformer 330 produces a reduced current approximately proportional to the current in the circuit 218.The current transformer 330 also isolates the measuring instrument from very high voltage that could damage the measuring instrument if directly connected to the circuit 218.For each measured electrical circuit 218, the current transformer 330 electrically couples to the ADC module 208 through the polarity correction device 304.The polarity correction device 304 provides the correct polarity of the circuit 218 to the ADC 208 should the current transformer 330 be installed incorrectly.", "For example, when the current transformer 330 is installed incorrectly, such as by reversing the +/− outputs of the current transformer 330 with respect to the circuit 218 it is measuring, the phase of the measurement can be approximately 180 degrees different from the actual phase of the measured circuit 218.FIG.", "4 is a schematic diagram illustrating an embodiment of the polarity correction device 304.As describe above, the current transformer 330 electrically couples to the ADC module 208 through the polarity correction device 304, for each circuit 208.The energy management system 102 automatically corrects for the polarity of the measured circuit 218 should the current transformer 330 be installed incorrectly by sending a control signal to the polarity correction device 304.Polarity correction can also be done via software in one or more of the energy calculation module 308, the data gateway module 310 or the data validation and reduction module 312.In the embodiment illustrated in FIG.", "4, the polarity correction device 304 comprises a latching double pole double throw switch 400.The switch 400 is wire for polarity-reversal by connecting the second throw of the first switch (1, 2) to the first throw of the second switch (2,1) and also by connecting the first throw of the first switch (1,1) to the second throw of the second switch (2,2).", "The switch 400 can be a hardware device, which may be internally wired for polarity-reversal applications or implemented in the modules 212.The energy management system 102 automatically corrects the polarity of the measured circuit 218 by controlling the position of the switch 400.In an embodiment, the data validation and reduction module 312 evaluates when the voltage phase from the phase ADC module 306 and the current phase from the ADC module 208 for a given measured circuit 218 are separated by more than approximately 90 degrees and less than approximately 270 degrees, and/or when the measured energy is negative in the absence of power generation.", "When this condition exists, the current transformer 330 is incorrectly coupled to the circuit 218 and is measuring an incorrect phase of the circuit 218.The data validation and reduction module 312 transmits a control signal to the switch 400 or applies a software correction.", "The switch 400 receives the control signal and switches the contacts to the alternate position, thereby correcting the measured polarity.", "Referring to FIG.", "3, the output of the polarity correction device 304 comprises the measured signal from the measurement device 330 with the correct polarity.", "The output of the polarity correction module 304 electrically couples to the input of the ADC module 208.The electrical signals from the electrical circuits 218 are analog signals that are continuous in time.", "The ADC module 208 samples the analog electrical signal from the measurement device 330 at a sampling rate and converts the analog measurements to digital values for use by the processor 202 and program modules 212.In an embodiment, the energy management system 102 measures and analyzes energy data from the electrical circuit 222 comprising an electric motor that is used for pumping water or fluids, or for compressing a gas such as used for compressed air, compressed oxygen, compressed nitrogen, a heating, ventilation, and air conditioning (HVAC) system, or the like.", "Sensors 332 physically attach or electrically couple to the motor/pump/compressor 222.Examples of the sensors 332 are, but not limited to, an accelerometer for measuring vibration, a thermocouple for measuring temperature, the current transformer 330 and polarity correction device 304 for measuring current and voltage that is supplied to the motor 222 in 1 to n stages, and the like.", "Additionally, the fluid flow rate of the motor/pump 222 or the gas pressure in the motor/compressor 222 can be measured through direct flow measurement, with an ultrasonic flow sensor, with a pressure gauge, or the like.", "The output of the sensor 332 electrically couples to the input of the ADC module 208.The ADC module 208 samples the analog electrical signal from the sensors 332 at a sampling rate and converts the analog measurements to digital values for use by the processor 202 and the program modules 212.In another embodiment, the energy management system 102 measures and analyzes energy data from an electrical circuit 220 comprising an electric motor that is connected to a fan to deliver air flow.", "Sensors 334 physically attach or electrically couple to the motor/fan 220.Examples of the sensors 334 are, but not limited to, an accelerometer for measuring vibration, a thermocouple for measuring temperature, the current transformer 330 and polarity correction device 304 for measuring current and voltage that is supplied to the motor/fan 220 in 1 to n stages, air flow sensors to measure air flow from the motor/fan 220, and the like.", "The output of the sensor 334 electrically couples to the input of the ADC module 208.The ADC module 208 samples the analog electrical signal from the sensors 334 at a sampling rate and converts the analog measurements to digital values for use by the processor 202 and the program modules 212.In an embodiment, the ADC module 208 comprises an analog to digital converter, such as, for example ADE 5169 by Analog Devices, or the like, and at least one jumper.", "The jumper is field selectable to measure the phase of the electric circuit 218 having one of various possible phase configurations, such as single phase, split phase, three-phase Delta, three-phase Wye, or the like.", "In another embodiment, the ADC module 208 comprises an ADC, such as ADE 5169 by Analog Devices, for example, and the phase configuration and association of the ADC module 208 with its respective phase voltage can be performed by the program modules 212.Further, the data sampling rate of the ADC module 208 can range from approximately 10 Hz to approximately 1 MHz.", "In one embodiment, more than one set of phase voltages can be connected to the energy management system 102, such as voltage upstream and downstream of a transformer.", "The phase configuration of the ADC module 208 can be referenced to any of the voltage phases through modules 212.In another embodiment, a high speed ADC module 208 is electrically coupled in parallel to a low speed ADC module 208 included in an ADE7880 by Analog Devices.", "The high speed ADC module 208 measures high speed voltage transients while the ADE7880 ADC and microprocessor measure the active and reactive energy parameters.", "The phase ADC module 306 electrically couples to electrical circuits having phases A, B, C through resistive voltage dividers (not shown) or step down transformers (not shown) to digitally measure the voltage amplitude and phase information for the phases A, B, C. The resistive dividers proportionally reduce the amplitude of the electrical signal such that the signal level is compatible with the input signal requirements of the phase ADC module 306.The phase signals from the phases A, B, C are analog signals that are continuous in time.", "The energy management system 102 is capable of measuring three-phase, 3-wire Delta electrical connections and measuring three-phase, 4-wire Wye electrical connections.", "For example, a three-phase Delta power generation system transmits power on a 3-wire system where the phase of the power on each wire is separated in phase from the other wires by approximately 120 degrees.", "The energy management system 102 chooses one of the phases as a reference point.", "In another example, a three-phase Wye power generation system transmits power on a 4-wire system where three of the wires carry electrical current with phases separated by approximately 120 degrees from each other.", "The fourth wire is neutral, which is the reference point.", "The phase ADC module 306 samples these analog electrical signals at a sampling rate and converts the analog measurements to digital values for use by the processor 202 and modules 212.Each ADC module 306 can be referenced to any of the voltage phase by software selection and use of modules 212.In an embodiment, voltage phases are measured once in module 306.In one embodiment, a high speed phase ADC module 306 is electrically coupled in parallel to a low speed phase ADC module 306 included in an ADE7880 by Analog Devices.", "The high speed phase ADC module 306 measures high speed voltage transients while the ADE7880 ADC and microprocessor measure the active and reactive energy parameters.", "In an embodiment, the energy management system 102 can be used to measure currents and voltages of circuits on two or more three-phase voltage sources.", "The three-phase voltage sources are connected to two or more phase ADC modules 306.The multiplexing device 338 is used to reference each line voltage in the phase ADC modules 306 to any other line voltage in any of the phase ADC modules 306.The multiplexing device 338 is also used to reference the phase angle of the current in any of the ADC modules 208 to the phase angle in any of the line voltages in any of the phase ADC module 306.In another embodiment, the energy management system 102 can be used to measure currents and voltages of circuits on two or more three-phase voltage sources.", "The three-phase voltage sources are connected to two or more phase ADC modules 306.The multiplexing device 338 is used to reference each line voltage in the phase ADC modules 306 to any other line voltage in any of the phase ADC modules 306.The multiplexing device 338 is also used to reference the phase angle of the current in any of the ADC modules 208 to the phase angle in any of the line voltages in any of the phase ADC modules 306.In yet another embodiment, the multiplexing function of the multiplexing device 338 occurs by software.", "The digitized voltage and current waveforms are digitally multiplexed in real time using an FPGA or a digital signal processor.", "The digital multiplexer is used to reference the phase angle of any of the current ADC modules 208 to the phase angle of any of the voltage phase ADC modules 306.In an embodiment, the phase ADC module 306 comprises an analog to digital converter, such as, for example, ADE 5169 by Analog Devices, or the like, and at least one jumper.", "The jumper is field selectable to measure the phase A, B, C having one of various possible phase configurations, such as single phase, split phase, three-phase Delta, three-phase Wye, or the like.", "Further, the data sampling rate of the phase ADC module 306 can range from approximately 0.1 Hz to approximately 1 MHz.", "In an embodiment, the energy management system 102 and its sub-modules can be powered externally or internally through the voltage connection in phase ADC module 306.In other embodiments, external power can be from another energy management system 102, an external AC/DC power supply, an external AC power, or the like.", "The phase ADC module 306, and the ADC modules 208 for the electrical circuits 218, 220, 222 couple to the memory 204 over a system bus 336.The system bus 336 can include physical and logical connections to couple the processor 202, the memory 204, the sensor compensation 300, 302, and the ADC modules 208, 306 together and enable their interoperability.", "The digital measurement information collected by the phase ADC module 306, the ADC modules 208 for the 1 to n electrical circuits 218, and the ADC modules 208 for the circuits 220, 222 is sent to the energy calculation module 308.The energy calculation module 308 performs energy calculations on the digital measurement information and provides calculated energy data.", "Examples of the calculated energy data are, but not limited to, line-to-line and line-to-current voltage, total power, active power, reactive power, line-to-line and line-to-neutral current, power factor, fundamental and harmonic total energy per phase, fundamental and harmonic total energy for the sum of phases, fundamental and harmonic active energy per phase, fundamental and harmonic active energy for the sum of phases, fundamental and harmonic reactive energy per phase, fundamental and harmonic reactive energy for the sum of phases, frequency, harmonic frequency, gas usage, chilled water usage, hot water usage, total energy usage, and the like.", "The data gateway module 310 samples the measured energy data and the calculated energy data by controlling the sampling rate of the phase ADC module 306 and the ADC modules 208.The sampling rate ranges from approximately 0.1 Hz to approximately 1 MHz, and is preferably between approximately 1 kHz and approximately 20 kHz, more preferably between approximately 5 kHz and approximately 18 kHz, and most preferably between approximately 1 kHz and approximately 8 kHz.", "In another embodiment, the sampling rate ranges from approximately 0.1 Hz to approximately 24 kHz, and is preferably between approximately 1 kHz and approximately 10 kHz, more preferably between approximately 10 kHz and approximately 15 kHz, and most preferably between approximately 10 kHz and approximately 24 kHz.", "In an embodiment, the sampling rate is user selectable by the user from the user interface equipment 216.The data gateway module 310 sends the measured data and the calculated energy data to the data validation and reduction module 312.In another embodiment, the ADC sampling rate is decoupled from the data reporting rate sent to the 3rd party.", "The ADC sampling rate ranges from 10 kHz to 1 MHz.", "The data reporting (push) rate to the 3rd party can be user selectable and can be specific to data from each of the sensors 330, 332, 334, 226, 224.The data validation and reduction module 312 receives the measured data and the calculated energy data from the data gateway module 310.Further, the data validation and reduction module 312 compares the measured data and the calculated energy data with prior data samples and/or near-in-time data samples to insure that relevant and accurate data is passed to the data storage module 214 and to the data command and communication module 326.In an embodiment, the data validation and reduction module 312 determines data accuracy.", "In another embodiment, the data validation and reduction module 312 reduces the quantity of measured energy data.", "This is important for embodiments where multiple energy management systems 102 are each acquiring measurement data at up to approximately 24 kHz from multiple circuits 218, 220, 222 because data collection could overload a network, such as the smart-grid, or even the communication network 210, with data.", "In a further embodiment, the data validation and reduction module 312 performs both data reduction and correction.", "In one embodiment, the data validation and reduction module 312 analyzes significant changes in a measured energy parameter.", "In an embodiment, the significant change in the measured energy parameter may be indicative of a change in energy usage, or may be corrupted data.", "The data validation and reduction module 312 analyzes energy spikes in the measured energy data to determine whether the spike is a valid change in energy usage, noise, or corrupted data by acquiring additional samples from the data gateway module 310 at approximately the same time or near-in-time as the energy spike.", "If the energy spike is a valid data measurement, the amplitude of the later acquired sample will be proportional to the energy spike.", "If the amplitude of the later acquired data is substantially different than the energy spike, the data validation and reduction module 312 determines that the energy spike was caused by noise, and treats the bad data as irrelevant and not worthy of being passed on for storage or “push” or “pull” communication.", "In an embodiment, if the significant change is relevant and indicative of a change in energy usage, the energy management system 102 automatically transmits or pushes information relating to the significant change in the measured parameter within one hour after the detected change occurs, preferably within 15 minutes after the detected change occurs, more preferable within 1 minute after the detected change occurs, and most preferably within one second after the detected change occurs.", "In one embodiment, the data validation and reduction module 312 reduces the quantity of measured energy data that will be reported in substantially real time, stored in the data storage module 214, pushed or automatically transmitted to a remote or cloud database over the communication network 210, or pulled from a user inquiry.", "The reduced quantity of energy data is based at least in part on previously defined or user defined data filtering parameters, such as, for example, the amount of change of measured or calculated energy data, the rate of change of measured or calculated energy data, a maximum threshold on any of the measured or analyzed data, a minimum threshold on any of the measured or analyzed data, or the like.", "Reducing the quantity of measured data enables the energy measurement system 102 to use low, medium, or high speed data communication channels over the network 210 to deliver real time or near real time energy reporting for circuits 218, 220, 222 that are being digitally sampled at a higher rate.", "In an embodiment, the data filtering parameter is at least a 10% change in the detected value of the parameter, where the change is one of an increase or a decrease, where the parameter is a measured or a calculated parameter, and where the change is between the current value and the previous value of the parameter.", "More preferably, the data filtering parameter is at least a 5% change, and most preferably, the data filtering parameter is at least a 1% change.", "In another embodiment, the data filtering parameter is at least a 10% change in the detected parameter.", "In another embodiment, the data filtering parameter is at least a 10% difference in the rate of change of a parameter, where the change is one of an increase or a decrease, where the parameter is a measured or a calculated parameter, and where the change is between the detected current rate of change and the previous rate of change of the parameter.", "More preferably, the data filtering parameter is at least a 5% difference in the rate of change, and most preferably, the data filtering parameter is at least a 1% difference in the rate of change.", "FIG.", "5 is a flow chart of an exemplary data reduction and data validation process 500 for the data validation and reduction module 312.In an embodiment, the process 500 reduces and validates the data measured and/or calculated from at least one of the electrical circuits 208, 220, 222.Beginning at block 502, the process 500 acquires an initial energy measurement M0 from the data gateway module 310.At block 504, the process 500 acquires a next energy measurement M1 from the data gateway module 310.M0 and M1 are measurements of the same electrical parameter but separated in time, with M0 occurring first in time.", "In an embodiment, M0 and M1 are separated in time by one or more time periods of the sampling rate of the ADC module 208.At block 506, the process compares M0 and M1 and determines whether M0 and M1 have approximately the same value.", "In an embodiment, M0 and M1 are approximately equal if M0 and M1 differ from each other no more than a percentage of their value, which is user-determined.", "For example, M0 and M1 could be considered to have approximately the same value if they differ from each other by no more than 1%.", "In another embodiment, M0 and M1 have approximately the same value when M0=M1.If M0 and M1 are approximately the same value, the process 500 determines M1 is redundant data or data with little value and sets M0 to M1 at block 512 without storing M1.From block 512, the process 500 returns to block 504 and acquires the next measurement M1.If M0 and M1 are not approximately the same value at block 506, the process 500 moves to block 508 where the process 500 determines whether the values of M0 and M1 differ significantly, as could be indicative of an energy spike in the measured parameter 218, 220, 222.In an embodiment, M0 and M1 differ significantly if M0 and M1 differ from each other more than approximately a percentage of their value, which is user-determined.", "For example, M0 and M1 could be considered to differ significantly if they differ from each other by more than 50%.", "If M0 and M1 do not differ significantly, the process determines that M1 is a valid data measurement and is not a redundant data measurement and stores M1 in the data storage module 214.At block 512, the process 500 sets M0 to M1 and returns to block 504, where it acquires the next measurement M1.If M0 and M1 differ significantly at block 508, the process 500 moves to block 514 where at least one additional measurement M2 is acquired.", "In an embodiment, the at least one additional measurement M2 is acquired within 5 minutes of detecting the significant change in the measured parameter, more preferably within 1 minute, and most preferably within 10 msec.", "At block 516, the process 500 determines whether M2 is proportional to M1.M2 and M1 are measurements of the same electrical parameter but separated in time with M1 occurring first in time.", "In an embodiment, M2 and M1 are separated in time by one or more time periods of the sampling rate of the ADC 208.In another embodiment, M2 is acquired asynchronously with respect to M1.If the energy spike M1 is a valid data measurement, the amplitude of the later acquired sample M2 will be approximately proportional to the amplitude of the energy spike M1.In an embodiment, M2 is approximately proportional to M1 if the ratio M2/M1 is approximately constant.", "If M2 is approximately proportional to M1, then M1 is a valid data measurement and the process 500 moves to block 510.At block 510, the process 500 stores M1 in the data storage module 214.At block 512, the process 500 sets M0 to M1 and returns to block 504, where it acquires the next measurement M1.If M2 and M1 are not approximately proportional, M1 is most likely not a valid data measurement.", "The process 500 determines that the energy spike M1 was caused by noise and treats the bad data as irrelevant and not worthy of being passed on to the data storage module 214 or for push/pull communication.", "The process returns to block 504 and acquires the next measurement M1.Thus, the process 500 validates and reduces the measured and calculated energy data.", "Referring to FIG.", "3, the data validation and reduction module 312 sends the validated and reduced energy data to the data analysis module 314.The data analysis module 314 also receives and processes data from 3rd party through data command and communication module 326, and from data storage module 214.The data analysis module 314 sends the validated and reduced energy data, and/or results of energy analysis, efficiency analysis, usage analysis, occupancy analysis, performance analysis, etc., to one or more of the data storage module 214 for storage, the web server module 312 for transmission over the Internet, the human interface module 322 for review and manipulation by the user, and the data command and communication module 326 for transmission over the network 210.In an embodiment, the data analysis module 314 receives an indication from the data validation and reduction module 312 when the voltage phase and the current phase from the ADC module 208 exhibits more than approximately 90 degrees and less than approximately 270 degrees of phase differential.", "The data analysis module 314 automatically identifies the correct phase that is associated with the ADC module 208 and attaches this phase information to the corresponding energy information from the associated ADC module 208 in the data validation and reduction module 312.The data analysis module 314 corrects the phase selection settings for the ADC module 208 in energy calculation module 308 so that the ADC module 208 is referenced to the correct phase from the phase ADC module 306.Further, the data analysis module 314 processes validated and reduced energy data, sensor data, and external environmental and facility use information to derive and deliver electric load, device, and building management system/energy management system (BMS/EMS) control signals that are used to reduce or increase the electric energy in one or more specific circuits 218, 220, 222.For example, the data analysis module 314 compares the measured fluid flow rate or gas pressure to the energy used by the motor 222, the temperature of the motor 222, the belt tension of motor 222, the rotational speed of motor 222, and the vibration of the motor 222.Efficiency factors and curves are then derived from a comparison and analysis of these measured operating parameters and design operational parameters.", "Motor specifications are obtained from vendor data or BIM data through the data command and communication module 108, the web server module 320 or the data storage module 214.The efficiency factors are used to automatically adjust the AC motor speed through a variable speed or vector drive motor controller to derive and optimize energy use for a required fluid flow rate or compressed gas rate.", "The measured data and efficiency factors are also used to alert a 3rd party through the data command and communication module 108 of any motor malfunction or maintenance requirement.", "In the case of a DC motor 222, the PWM controller 324 is used to control the voltage to the motor/pump/compressor 222.In another example, the data analysis module 314 compares the data from the sensor 334 and other sensor 226 and analytically derives the air flow of the motor 220.Other sensor 226 may measure upstream pressure, downstream pressure, motor parameters such as speed and temperature.", "The data analysis module 314 further compares the derived air flow to the motor efficiency and related motor/fan operating parameters.", "This data is then used to automatically adjust the AC motor speed and optimize its energy use through a variable speed or vector drive motor controller to deliver optimum energy use for a required air flow rate.", "In the case of a DC motor/fan 220, the PWM controller 324 is used to control the voltage to the motor/fan 220 for optimized operation.", "At least some of the external environmental information is provided by the temperature sensor 224 which couples to the system bus 336 through the temperature compensation device 300, by one or more 3rd party which couples to the system bus 336 through the data command and communication module 326, and by the other sensors 226 which couple to the system bus 336 through the other sensor compensation device 302.The temperature compensation device 300 receives the temperature measurements from the temperature sensor 224 and scales the temperature measurements so that the temperature data is compatible with the input requirements of the processor 202 and memory 204.In the embodiment illustrated in FIG.", "3, the temperature sensors 224 are remotely located from the energy management system 102.In other embodiments, the temperature sensors 224 are located on the energy management system 102.The temperature measurements provide weather or time of day related temperature information of the areas surrounding the facility 104, temperature information of locations internal to the facility 104, device temperature information of the device associated with the circuit 218, 220, 222, and the like.", "In an embodiment, the temperature compensation 300 comprises calibration compensation look up tables to correctly utilize J or K thermocouple devices or wired/wireless thermostats for external local or remote measurement of temperature.", "Likewise, the other sensor compensation device 302 receives the sensor measurements from the other sensors 226 and scales the sensor measurements so that the sensor data is compatible with the input requirements of the processor 202 and memory or modules 204.In the embodiment illustrated in FIG.", "3, the other sensors 226 are remotely located from the energy management system 102.In other embodiments, the other sensors 224 are located on the energy management system 102.The other sensors, can be, by way of example and not limited to pressure sensors, light sensors, acceleration sensors, tension meters, flow sensors, gas sensors, microphones, humidity sensors, occupancy sensors, motion sensors, vibration sensors, wind speed, heat sensors, gas spectrometers, laser sensors, humidity sensors, and other environmental sensors such as water flow, air flow, and gas flow, and the like.", "The sensor data is analyzed to calculate energy loads, determine possible energy reduction, identify malfunctioning systems, and the like.", "Based on analyzing and comparing at least the validated and reduced energy data, input from the sensors 224, 226, 332, 334, and input from 3rd party module 108, the data analysis module 314 provides control signals for load control.", "In an embodiment, the energy management system 102 comprises the analog input/output ports 206 and/or the digital input/output ports 206, and the control signals are delivered to external devices through the ports 206 for load control of the external devices.", "In another embodiment, the control signals are delivered to the circuits 218, 220, 222 through the PWM controller module 324.In another embodiment, the control signals are delivered to 3rd party through the data command and communication module 326.In an embodiment, the energy management system 102 couples to the electrical circuits 218, 220, 222 through external high speed electronic switches such as high power MOSFETs, IGFETs, or the like.", "The PWM controller module 324 outputs a variable duty cycle pulsed signal for load control to the external high speed electronic switches.", "Such variable width pulses enable the external high speed electronic switch to control the electric energy and carbon footprint of any electric circuit 218, 220, 222 by switching the power to the electric circuit ON and OFF at high frequencies and for varying amount of time.", "The switching frequency varies from several times a minute to several kHz.", "The variable duty cycle pulsed signal in combination with the external high speed electronic switch is associated with a Class D or Class E control system design.", "The data analysis module 314 sends the validated and reduced energy data and the analyzed energy data to the data command and communication module 326.The data command and communication module 326 interfaces the energy management system 102 to third parties 108 through the communication network 210.The data command and communication module 326 pushes data and pulls data, where a data push is a request for the transmission of information initiated by the energy management system 102 (the sender) or an automatic transmission, and a data pull is a request for the transmission of information initiated by the third party 108 (the receiver).", "The data command and communication module 326 can push the validated and reduced energy data and/or the analyzed energy data using protocols to a remote device for real time or near real time analysis, to a remote device for control of the remote device, to a remote structured query language (SQL), SAP, or cloud database for storage, or the like.", "Further, the pushed data can be used for comparison of data, data mining, and additional data analysis.", "The additional data analysis includes but is not limited to billing, control of circuits, control of smart appliances, control of electric vehicle energy use, control of electric transportation systems energy use, and the like.", "Examples of the protocols and communication systems are, but not limited to, Ethernet® such as IEEE standard 802.3, ZigBee®, Power Line Carrier (PLC), WiFi™ such as the IEEE family of standards 802.11, WiMax™ such as IEEE standard 802.16e-2005, and GSM.", "The data can be delivered in, for example, XML, JSON, CSV, ASCII strings, binary strings, and other formats.", "In an embodiment, the data command and communication module 326 uses data clock synchronization and system clocking via an Ethernet® connection.", "Other system connections include networked TCP/IP, client-server ModBus®, BACnet®, mesh network ZigBee® wireless, WiFi™, WiMax™ that are operating either individually or concurrently to interact with third party hardware and software.", "The data command and communication module 326 further can store one or more of a copy of the measured data, the calculated data, the validated and reduced energy data, the analyzed energy data, and the sensor data in the data storage module 214 so that it can be viewed and accessed through the web server 320 or data command and communication module 326, according to certain embodiments.", "The data storage module 214 can store data in any of the data storage formats: binary, comma separated values, text file, XML files, relational database or non-relational database.", "In one embodiment, the data command and communication module 326 can be configured to act as a slave to an acquisition host of the third party 108, such as a PC or the like, and can be configured to communicate with a master host of the third party 108 in one of several standard protocols, such as Ethernet®, ModBus®, BACnet®, for example.", "The data command and communication module 326 then acts as a translation of the protocol to serial communication.", "In another embodiment, the energy management system 102 comprises a software digital I/O module and an analog I/O module, which interface with the data command and communication module 326 and with the data analysis module 314 to enable two-way software commands and interrupts between the data analysis module 314 and Building Management Systems (BMS), Building Energy Management Systems (BEMS), electrical vehicle charge stations, motor control systems, electrical control systems, smart appliances, programmable logic controllers, energy management reporting systems, carbon footprint reporting systems, other energy management system 102, and the like.", "In another embodiment, the I/O modules interface with pulse counters from natural gas or water meters to integrate this additional data.", "The data command and communication module 326 implements predetermined and automated power reduction steps in energy use systems, smart appliances, or plug loads, based at least in part on at least one of the measured energy data, the calculated energy data, the reduced and validated energy data, the analyzed energy data, the sensor data, data from another energy management system 102, or on external demand response commands, according to certain embodiments.", "The data storage module 214 stores energy data, such as the measured energy data, the calculated energy data, the reduced and validated energy data, the analyzed energy data, the sensor data, and any other data received or created by the energy management system 102.In an embodiment, the data storage module 214 provides a data buffer in case the communication channel with a local or remote host is broken.", "The buffer 214 decouples data sampling rates and data reporting rates.", "The energy data is stored locally at the required sampling rate until the communication lines are re-established.", "The energy data is then transferred to the host ensuring no data loss from a communication breakdown.", "In an embodiment, the energy management system 102 records measurements from sensors 330, 332, 226, 224 at sampling frequencies larger than approximately 20 kHz.", "The measurements are validated in the data validation and reduction module 312 and analyzed in the data analysis module 314.The data command and communication module 326 automatically transfers the data to the third party 108 or the data storage module 214 at a reporting rate of approximately once every 1 minute.", "The sampling rate and the reporting rate are decoupled.", "In another embodiment, the energy management system 102 records measurements from sensors 330, 332, 226, 224 at a sampling frequency of approximately 20 kHz.", "The measurements are validated in the data validation and reduction module 312 and analyzed in the data analysis module 314.The data command and communication module 326 automatically transfers the data to the third party 108 or the data storage module 214 at a reporting rate of approximately once every 1 minute.", "The measured data is compared to maximum and minimum thresholds at the sampling frequency of approximately 20 kHz.", "The data that crosses a threshold is automatically transferred to the third party 108 or the data storage module 214 at the time the threshold is crossed, independent of the reporting rate.", "The reporting of measured data at the rate of approximately once every minute continues unabated.", "In an embodiment, the data encryption module 316 encrypts the energy data derived from measuring the electric circuits 218, 220, 222 using secure and anti-hacking data encryption algorithms.", "In another embodiment, the data encryption module 316 uses anti-tamper and anti-hacking handshaking from existing and emerging “smart grid” and or IT security data protocols.", "In an embodiment, each energy management system 102 further comprises a unique address.", "In an embodiment, the address is a MAC address.", "In another embodiment, the address is a Globally Unique Identifier (GUID).", "In another embodiment, the unique identifier is a combination of an address and GPS information.", "The GPS module 318 maps the location of each addressed energy management system 102 and sends the GPS location coordinates to the data and command communication module 326, where the location coordinates are associated with the energy measurement data from the addressed energy management system 102.In an embodiment, the data encryption module 316 encrypts the energy data and the location information.", "The human machine interface module (HMI) 322 provides an interactive user interface between the interface equipment 216 and the energy management system 102 over the communication bus 210.The web server module 320 further interfaces with the HMI module 322 and/or the interface equipment 216 to further provide the user with a Web-based user interface.", "In other embodiments, the energy management system 102 further comprises a user interface software module that is compatible with the ISO/IEEE 802/3 standard (Ethernet®) from personal computers (PCs) on local area or wide area networks.", "The interface equipment 216 comprises, by way of example, a personal computer, a display, a keyboard, a QWERTY keyboard, 8, 16, or more segment LEDs or LCD panels, a display, a smartphone, a mobile communication device, a microphone, a keypad, a speaker, a pointing device, user interface control elements, tablet PCs, combinations of the same, and any other devices or systems that allow a user to provide input commands and receive outputs from the energy management system 102.In one embodiment, the user, through the user interface, can define the grouping of sensors 330, 332, 334, 226, 224 to be measured and analyzed, define the locations for the sensors 306, 304, 332, 226, 224 to be measured and analyzed.", "Analysis performed on information from individual sensors 330, 332, 334, 224, 226 can also be performed on any grouping of these sensors in quasi real time or near real time.", "Groups may also include information from sensors attached to other energy management system 102.In an embodiment, the groupings and locations of the circuits 218 can be implemented using “drag and drop” techniques.", "Grouping and location information can be stored locally in data storage 214 and or in a remote data base.", "In addition, the “drag and drop” techniques can be used for charting and reporting.", "In another embodiment, the energy management system 102 further comprises a mobile device module to interface the energy management system 102 with a mobile device.", "Users can view real time or stored and “pushed” or “pulled” energy use on mobile platforms, such as for example, iPhone®, Android™, BlackBerry®, and the like.", "Through the user interface, the user can define minimum and maximum alert thresholds on measured and calculated energy metrics, such as, for example, voltage, current, energies, energy consumption rate, powers, power factor, cost, cost rate, energy efficiency metric, energy efficiency rating, and the like, for each sensor 330, 332, 334, 224, 226, group of sensors 330, 332, 334, 224, 226 and locations.", "Comparative alert thresholds are set for alerts triggered by relative energy signatures and/or readings between sensors 330, 332, 334, 224, 226, groups of sensors 330, 332, 334, 224, 226, and locations with each other, with established baselines, or with established benchmarks.", "Predictive alert thresholds are set for alerts triggered by the projected energy consumption and values of energy sensors 330, 332, 334, 224, 226, groups of sensors 330, 332, 334, 224, 226, or location.", "When an alert, as defined by the user, is triggered, the energy management system 102 provides the user with an alert through email, text message, Facebook®, Twitter®, voicemail, RSS feeds, multi-media message automatic alerts, and the like.", "In one embodiment, the alert is accompanied by a description of the trigger event including charts and reports on the energy history before the alert trigger, the projected consumption, the results of the trigger event, and the like.", "In another embodiment, through the web server module or the push capability, the energy management system 102 provides the user with animated and interactive desktop and mobile widgets for communicating energy consumption levels, energy ratings and critical energy conservation measures to end users.", "In another embodiment, the energy management system 102 communicates energy consumption levels, energy ratings, energy efficiency metrics, and critical energy conservation measures to end users through RSS feeds with desktop tickers.", "In other embodiments, the energy management system 102 determines and reports the need for equipment or system maintenance, such as, for example, air filter replacement, fluid filter replacement, belt tensioning, belt alignment, worn or damaged belt, worn or damaged bearings, worn or damaged gears, poor lubrication, damaged anchor or frame, damaged or worn brushes, unbalanced voltage, poor power quality, distorted waveform, high harmonic distortion, poor power factor, phase load imbalance, critical power capacity, defective sensor, duct leak, pipe leak, worn insulation, defective power capacitors, defective battery, defective power filter, defective uninterruptable power supply (UPS), defective voltage regulator, defective circuit breaker, defective economizer vanes, defective air valves, defective gas valves, defective water valves, defective meters, defective indicators, and the like, based on an electrical signature from the measured, calculated and analyzed electrical parameters, inputs from other sensors 226, 224, data from the 3rd party 108, and stored data from data storage 214.In an embodiment, the electrical signature comprises at least one of a current and/or voltage waveform, current and/or voltage levels and peaks, power factor, other sensor information, such as temperature, vibration, acceleration, rotation, speed, and the like, of any “downstream” motor or pump.", "FIG.", "6 is a flow chart of an exemplary energy data management process 600.Beginning at blocks 602 and 603, the process 600 acquires energy measurements and sensor measurements respectively.", "In an embodiment, the measurements are acquired at a rate of up to approximately 24 kHz.", "In some embodiments, the bandwidth of the communications between the energy management system 102 and third parties, over for example, a LAN, an internet, the Internet, or the like, may be insufficient to accommodate data at up to 24,000 samples per second for 1 to n circuits 218, 220, 222 and 1 to n sensors 226 and 224.To accommodate a smaller bandwidth, the process 600 at blocks 604 and 605 reduces the quantity of measurements stored and/or transmitted by not saving a measurement that is approximately the same as the prior measurement for each sensor 330, 332, 334, 224, 226 as described in FIG.", "5 above.", "In an embodiment, the user determines how much the next measurement and the previous measurement differ before the measurements are not approximately the same.", "At blocks 606 and 607, the process 600 validates the reduced measurements.", "When the next measurement differs significantly from the previous measurement, the process 600 acquires additional measurements of the parameter and compares the amplitudes of the additional measurements with the amplitude of the significantly different measurement, as described in FIG.", "5 above.", "When the amplitudes are not proportional, the differing measurement is considered to have been caused by noise and it is not saved or transmitted.", "Conversely, when the amplitudes are proportional, the differing measurement is considered to be a valid measurement, indicative of an energy usage event, and it is stored and/or transmitted.", "At block 610, the process 600 analyzes the acquired measurements, the reduced measurements, and the validated measurements to provide calculated energy measurements, energy efficiency metrics, energy ratings, cost information, carbon footprint, maintenance list, control signals, reports, recommendations, and the like.", "In an embodiment, the analysis is based at least in part on the sensor data.", "At block 612, the process 600 communicates all or part of the energy data, the reduced and validated energy data, and/or the calculated energy data to third parties or to data storage 214.In an embodiment, the process automatically transmits or pushes the energy data directly to the third party, over a local area network, over a wide area network, over a smart grid, over an internet, over the Internet, or the like.", "The transmitted energy data comprises control signals, reports, recommendations, or the like.", "In an embodiment, the process 600 automatically transmits information related to at least one measured parameter at a rate of at least one per hour, more preferably at a rate of at least once per 15 minutes, and most preferably at a rate of at least once per minute.", "In another embodiment, the rate of automatically transmitting energy information may change based at least in part of the variability of the measured parameter.", "In another embodiment, the data is analyzed and transmitted at regular or user defined intervals, in addition to when the data crosses a user defined threshold.", "In another embodiment, the data from different sensors 330, 332, 334, 224, 226 is sampled and analyzed at different intervals.", "In another embodiment, the data from different sensors 330, 332, 334, 224, 226 is reported at different intervals.", "At block 614, in an embodiment, the process 600 transmits control signal to at least one of the measured circuits 218, 220, 222, to another energy management system 102, or to a 3rd party 108.In an embodiment, the control signals are pulse width modulation (PWM) signals to control the loading on the measured circuit 218, 220, 222.In an embodiment, the PWM signals are based at least in part on the sensor data.", "In an embodiment, the PWM signals are based at least in part on the measured energy data.", "In an embodiment, the PWM signals are based at least in part on data from the 3rd party 108.In another embodiment, the PWM signals are based at least in part on the calculated energy data.", "In an embodiment, the energy management system 102 can be used to measure energy usage and energy efficiency parameters related to the energy performance of electric motors.", "The acquire energy measurements block 602 may include, for example, power, current, voltage, power quality, harmonic energy, fundamental energy, energy in each harmonic frequency, voltage sags, voltage spikes, current drops, current spikes, and the like.", "The acquire sensor data block 603 may include, for example, motor vibration, motor speed, belt tension, motor temperature, motor imbalance, motor torque, parameters upstream motor, parameters downstream motor, and the like.", "The third party 108 and the data storage 214 may include, for example, facility demand reduction requirements, utility demand reduction requirements, weather conditions, building occupancy information, motor specifications from vendor, building information modeling (BIM) data on building systems, and the like.", "The communicate data block 612 may automatically transfer demand reduction potential, motor efficiency metrics, motor maintenance requirements, and motor maintenance alerts, motor activity log, motor event log, projected motor energy usage, and the like.", "The provide control signals block 614 includes, for example, pulse width modulation control of motor power, motor speed control, motor frequency control, turning motor ON, turning motor OFF, command sequences to other energy management systems 102, command sequences to third parties 108, and the like.", "Additional Embodiments of the Energy Management System In another embodiment, the energy management system 102 can be used to monitor at substantially continuous sampling rates the power quality of systems and report only power distortions independent of the reporting rate of the energy parameters.", "The ADC module 208 measures current and voltage at sampling rates exceeding approximately 20 kHz and compares the measured waveform of every circuit 218 and voltage from the phase ADC modules 306 to an acceptable waveform.", "The energy contained at each harmonic frequency is compared to an acceptable level of energy at each harmonic frequency in modules 212.The total harmonic energy, total fundamental energy, and the ratio of harmonic to fundamental energies are compared to acceptable levels in modules 212.The measured waveforms that are not acceptable waveforms, distorted waveforms, or in other words, fall out of specification, may be stored in the data storage module 214 and/or communicated via the data command and communication module 326.Alerts can be sent when a waveform is out of specification through the data command and communication module 326 within a user-defined period of time from when the distorted waveform was detected.", "In an embodiment, algorithms can be in place to avoid sending repeated alerts when sequential waveforms are distorted or when distorted waveforms are detected within a specified period of time.", "The ADC module 208 and the phase ADC module 306 can be used to detect high frequency spikes and drops in the measured parameters.", "Information on detected spikes can be stored in the data storage module 214 or transferred through the data command and communication module 326 at rates independent of the sampling rate or the reporting rate.", "A log of power quality, a count of acceptable waveforms, a count of non-acceptable waveforms, non-acceptable waveforms, spikes in measured data, drops in measured data, and the like, can be kept in the data storage module 214 and/or transferred through the data command and communication module 326.Embodiments of the system relate to a method of measuring and analyzing energy usage.", "The method comprises measuring one or more parameters indicative of energy usage for a plurality of sub-circuits, wherein a sampling rate for measuring is substantially continuous, automatically transmitting information related to at least one of the measured parameters at a reporting rate decoupled from the sampling rate that enables monitoring of current energy usage, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, and automatically transmitting, independent of the sampling rate and the reporting rate, information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change.", "In an embodiment, automatically transmitting information related to the significant change in the measured parameter caused by the change in energy usage after detecting the significant change can occur within 30 seconds after the detected change occurs.", "The sampling rate can be between approximately 0.1 Hz and approximately 1 MHz, and the sampling rate is decoupled from the reporting rate that enables monitoring of the current energy usage.", "The reporting rate can be between approximately once per day and approximately eight thousand times per second.", "The sampling rate and the reporting rate may vary from one measured parameter to another.", "The detected significant change can be approximately a 0.25% change in the measured parameter or the detected significant change can be user-defined.", "The rate of automatically transmitting information may change based on the variability of the measured parameter.", "The measured parameter can be selected from the group consisting of light intensity, rotational speed, linear speed, temperature, vibration, carbon dioxide, pressure, motion, flow, acceleration, position, tension, torque, voltage, current, sound, and ultrasonic frequencies.", "The measured current can be referenced to any of the measured voltage phases for determination of power factor and phase angle.", "The measured circuits can be of Delta configuration, Wye configuration, or any combination thereof and in any sequence.", "The voltage measurements can be of one or more phases, and the voltage measurement of any phase can be referenced to the voltage measurement of any other phase including one or more neutrals.", "In an embodiment, the method further comprises outputting, based at least in part on the measured parameter, a variable duty cycle signal for load control of at least one electric circuit, wherein the load control includes at least one of electric energy control and carbon footprint control, and wherein the electric circuit is selected from the group consisting of a lighting circuit, a motor circuit, an air handling system, a pump, and an HVAC compressor system.", "The measured parameter can be stored when it cannot be automatically transmitted and a stored parameter can be transmitted automatically when possible.", "Further, in an embodiment, determining whether the significant change in the measured parameter is caused by the change in energy usage includes acquiring an additional sample of the measured parameter, and determining whether the additional sample of the measured parameter is proportional to the significant change of the measured parameter, wherein when the additional sample of the measured parameter is proportional to the significant change in the measured parameter, the significant change in the measured parameter is caused by the change in energy usage.", "The additional sample can be acquired within 10 msec of detecting the significant change in the measured parameter.", "The method further comprises storing the significant change in the measured parameter when the significant change in the measured parameter is caused by the change in energy usage and disregarding the significant change in the measured parameter when the additional sample of the measured parameter is not proportional to the significant change in the measured parameter.", "According to a number of embodiments, the disclosure relates to a system for measuring and analyzing energy efficiency of a facility or facility subsystem.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of circuits, sub-circuits, or systems wherein a sampling rate for measuring is substantially continuous, a plurality of measurement devices configured to measure one or more parameters indicative of the energy efficiency of systems, wherein a sampling rate for measuring is substantially continuous, a plurality of measurement devices configured to measure one or more parameters indicative of the environmental condition of systems and facilities, wherein a sampling rate for measuring is substantially continuous, computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy efficiency, automatically obtaining relevant environmental conditions including weather data, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy efficiency, determining whether and the significant change in the measured parameter caused a change in energy efficiency, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy efficiency after detecting the significant change.", "In an embodiment, automatically transmitting information related to the significant change in the measured parameter caused by the change in energy efficiency after detecting the significant change can occur within 30 seconds after the detected change occurs.", "The sampling rate can be between approximately 0.1 Hz and approximately 1 MHz, and the sampling rate is independent of the rate that enables monitoring of the current energy usage.", "The detected significant change can be approximately a 0.25% change in the measured parameter or the detected significant change can be user defined.", "The rate of automatically transmitting information may change based on the variability of the measured parameter.", "The measured parameter can be selected from the group consisting of light intensity, rotational speed, linear speed, temperature, vibration, carbon dioxide, pressure, motion, flow, acceleration, voltage, current, sound, and ultrasonic frequencies.", "The computer-executable instructions further include, in an embodiment, outputting, based at least in part on the measured parameter, a variable duty cycle signal for load control of at least one electric circuit, where the load control includes at least one of electric energy control and carbon footprint control, and wherein the electric circuit is selected from the group consisting of a lighting circuit, a motor circuit, an air handling system, and an HVAC compressor system.", "The computer-executable instructions further include providing derived analysis of energy required by a facility or facility subsystem, based in part on the measured parameter that is selected from the group of measured parameters consisting of building orientation, time of day, outside air temperature, inside air temperature, reheat coil water temperature, cold air temperature, CO2, and enthalpy of return air.", "The computer-executable instructions further include a providing a derived analysis of energy required by a facility or a facility subsystem, based in part on a group of derived factors that are selected from those factors that contribute to facility heat loading and energy use including consisting of building occupancy, time of day, day of the week, day of the year, vacation schedules, lighting heat loads, and number of PC computers that are present in the facility.", "The computer-executable instructions further include outputting data, based at least in part on a comparison of the measured parameter of energy use compared to the derived parameter of energy required for a facility or facility subsystem consisting, of at least one of an electric circuit, and a gas circuit, outside temperature, and inside temperature ,and time of day and facility occupancy, and wherein the measured electric circuit, gas circuit, CO2, return air enthalpy is selected from the group consisting a lighting circuit, a motor circuit, an air handling system, an HVAC reheat hot water coil system, and a HVAC compressor system.", "The computer-executable instructions further include outputting of data, based at least in part on a comparison of the measured parameter of energy used and compared to the derived parameter of energy required by a facility or subsystem from the group consisting of a lighting circuit, a motor circuit, an air handling system, and a HVAC compressor system.", "The computer-executable instructions further include outputting data, based at least in part on a comparison of the measured parameter of energy use compared to the derived parameter of energy required for a facility or subsystem where the difference of measured energy used versus derived energy required by a facility or subsystem provides a differential signal that is proportional to the difference in measured energy used parameter versus derived energy parameter required from the group consisting of a lighting circuit, a motor circuit, an air handling system, a boiler reheat coil system, and a HVAC compressor system.", "The computer-executable instructions further include outputting substantially instantaneous demand response energy load use data that is based at least in part on a comparison of the measured parameter of energy use compared to the derived parameter of energy required for a facility subsystem from the group consisting of a lighting circuit, a motor circuit, an air handling system, and an HVAC compressor system.", "Further, in an embodiment, determining whether the significant change in the measure parameter is caused by the change in energy usage or energy required by a building or a building subsystem includes acquiring an additional sample of the measured parameter, and determining whether the additional sample of the measured parameter is proportional to the significant change of the measured parameter, wherein when the additional sample of the measured parameter is proportional to the significant change in the measured parameter, the significant change in the measured parameter is caused by the change in energy efficiency.", "The additional sample can be acquired within 10 msec of detecting the significant change in the measured parameter.", "The computer-executable instructions further include storing the significant change in the measured parameter when the significant change in the measured parameter is caused by the change in energy usage or a change in energy required.", "The computer-executable instructions further include disregarding the significant change in the measured parameter when the additional sample of the measured parameter is not proportional to the significant change in the measured parameter.", "Certain other embodiments relate to a system for measuring, analyzing and controlling energy usage of a facility or facility subsystem.", "The system comprises a plurality of energy measurement devices configured to measure one or more parameters indicative of energy usage for a plurality of circuits, sub-circuits, or systems where a sampling rate for measuring is substantially continuous, a plurality of measurement devices configured to measure one or more parameters indicative of the energy efficiency of systems, where a sampling rate for measuring is substantially continuous, and a plurality of measurement devices configured to measure one or more parameters indicative of the environmental condition of systems and facilities, wherein a sampling rate for measuring is substantially continuous.", "The system further comprises computer hardware including at least one computer processor, and computer-readable storage including computer-readable instructions that, when executed by the computer processor, cause the computer hardware to perform operations defined by the computer-executable instructions.", "The computer-executable instructions include automatically transmitting information related to at least one of the measured parameters at a rate that enables monitoring of current energy efficiency, automatically obtaining relevant environmental conditions including weather data, automatically determining control sequence to maximize energy efficiency, automatically determining control sequence to minimize demand usage at any time without affecting operations and comfort, automatically transmitting control commands to at least one system or equipment, detecting a significant change in a measured parameter, determining whether the significant change in the measured parameter is caused by a change in energy usage, determining whether and the significant change in the measured parameter caused a change in energy efficiency, and automatically transmitting information related to the significant change in the measured parameter caused by the change in energy efficiency after detecting the significant change.", "In an embodiment, the computer-executable instructions further include outputting, based at least in part on the measured parameter, a variable duty cycle signal for load control of at least one electric circuit, wherein the load control includes at least one of electric energy control and carbon footprint control, and where the electric circuit is selected from the group consisting of lighting circuit, a motor circuit, an air handling system, and an HVAC compressor system.", "The computer-executable instructions further include outputting demand response energy load use data that is based at least in part on a comparison of the measured parameter of energy use compared to the derived parameter of energy required for a facility subsystem for purposes of providing an output signal that enables reduction in energy used in one or more building subsystems consisting of a lighting circuit, a motor circuit, an air handling system, an HVAC reheat coil system, and an HVAC compressor system.", "In an embodiment, the measured parameter includes at least one of motor speed, motor temperature, motor vibration, belt tension, motor balance, motor torque, motor power consumption, motor phase imbalance, motor power factor, motor power quality, motor harmonic energy, motor fundamental energy, facility demand reduction requirements, utility demand reduction requirements, and parameters upstream and downstream of a motor.", "In an embodiment, analyzed data includes at least one of motor efficiency and motor maintenance requirements.", "In another embodiment, the control command includes at least one of turning the motor on, turning the motor off, reducing motor speed, reducing motor frequency, and pulse width modulation of motor power.", "Additional Configurations of Embodiments of the Energy Management System In one arrangement, electrical power from the power distribution grid enters the facility 104 through a main power bus into the facility's power distribution system.", "The power distribution system typically comprises a power distribution panel including main power distribution bars, electrical circuits 218, 220, 222, and circuit breakers.", "Examples of a power distribution panel are a main switch board, a sub panel, a distribution panel/box, a motor control center (MCC), and the like.", "In an embodiment, the energy management system 102 is enclosed in an enclosure mounted adjacent to the facility's power distribution panel and electrically connected to the panel's electrical circuits 218, 220, 222 through circuit breakers.", "In other embodiments, the energy management system 102 is embedded in the facility's power distribution system.", "In another embodiment, the energy management system 102 is embedded in a circuit breaker have an integral measuring device 330, such as a current sensor, a current transformer, a shunt resistor module, or the like, and a wireless, wired or power line carrier (PLC) communication and command module.", "In other embodiments, the energy management system 102 is enclosed in an enclosure mounted in the space to be monitored.", "In further embodiments, the energy management system 102 can be embedded in motors 220, 222, appliances, pumps 220, fans 222, lighting fixtures, elevators, elevator motors, electrical equipment, variable frequency devices, variable air volume valves, thermostats, temperature sensors, computers, machinery, electric vehicles, power supplies, generator controllers, or other electrical equipment and appliances, such as power outlets, power sockets, power strips, power extensions, power adapters, light switches, motion sensors, gas sensors, security cameras, IR detectors, load sensors, and the like.", "Additional Features of Embodiments of the Energy Management System The energy management system 102 can further comprises one or more of circuit protection, a circuit breaking capability, a power factor correction capability, and a frequency shifting and switching capability, such as currently employed by variable frequency drives, Class D or Class E control circuits, and the like, using high speed electronic switching devices, such as TRIAC switches, MOSFET switches, solid state relays or any other high speed high power switching devices, for example.", "In other embodiments, the energy management system 102 further comprises one or more of a wireless or wired communication module, occupancy sensor, occupancy counter, light sensor, temperature sensor, wireless thermostat, current sensor, gas sensor, heat sensor, rechargeable battery backup, solar photovoltaic panel for self-powered systems, LED displays, and the like.", "Other embodiments of the energy management system 102 communicate with other devices and/or instruments in the vicinity, such as, for example, controlling/non-controlling and wired/wireless thermostats, variable air volume (VAV) controllers, mechanical or electrical shades, automatic door locks, door sensors, card scanners, RFID devices, generator controller, and the like.", "Other embodiments of the energy management system 102 can be part of a mesh network in peer-to-peer, client-server, or master-slave configuration and yet further embodiments can be a Plug & Play, install and forget, stand alone measurement, communication, and control system.", "Additional embodiments of the energy management system 102 can measure and analyze data from internal and external sensors including current, voltage levels and waveforms, temperature, vibration, motor speed, motor torque and mechanical load, and the like.", "Other embodiments can calculate and communicate in real time or near real time an efficiency rating of a motor 220, 222 or other electrical equipment that may take into consideration an ambient condition of the motor 220, 222 or other electrical equipment in addition to the measured and analyzed data.", "The ambient condition can be communicated to the device through the embedded communication module, the analog inputs 206, or the digital inputs 206.The embodiments of the method, technology, circuits, and algorithms can be implemented, for example, on a circuit board with discrete components such as integrated circuits (ICs), application specific ICS (ASICs), field-programmable gate arrays (FPGAs), gate arrays, and modules, or can be built into an ASIC, central processing unit (CPU) 202, or system on a chip (SoC) for purposes of local or remote digital measurement, analysis, communication, and control of electric energy that is used by electrical systems, motors, buildings, appliances, electric vehicles, and/or electric transportation systems that are temporarily or permanently connected to an electric grid, the envisioned “smart grid”, or at a point on a micro-grid, or in a residence, building, data center, or commercial facility that uses electricity and that appears at any point along an electric grid, micro grid, “smart grid”, or at any point in a power distribution system, including but not limited to transformers, capacitors, and distribution panels.", "Depending on the embodiment, certain acts, events, or functions of any of the algorithms described herein can be performed in a different sequence, can be added, merged, or left out altogether (e.g., not all described acts or events are necessary for the practice of the algorithm).", "Moreover, in certain embodiments, acts or events can be performed concurrently, e.g., through multi-threaded processing, interrupt processing, or multiple processors or processor cores or on other parallel architectures, rather than sequentially.", "The various illustrative logical blocks, modules, and algorithm steps described in connection with the embodiments disclosed herein can be implemented as electronic hardware, computer software, or combinations of both.", "To clearly illustrate this interchangeability of hardware and software, various illustrative components, blocks, modules, and steps have been described above generally in terms of their functionality.", "Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the overall system.", "The described functionality can be implemented in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the disclosure.", "The various illustrative logical blocks and modules described in connection with the embodiments disclosed herein can be implemented or performed by a machine, such as a general purpose processor, a digital signal processor (DSP), an ASIC, a FPGA or other programmable logic device, discrete gate or transistor logic, discrete hardware components, or any combination thereof designed to perform the functions described herein.", "A general purpose processor can be a microprocessor, but in the alternative, the processor can be a controller, microcontroller, or state machine, combinations of the same, or the like.", "A processor can also be implemented as a combination of computing devices, e.g., a combination of a DSP and a microprocessor, a plurality of microprocessors, one or more microprocessors in conjunction with a DSP core, or any other such configuration.", "The steps of a method, process, or algorithm described in connection with the embodiments disclosed herein can be embodied directly in hardware, in a software module executed by a processor, or in a combination of the two.", "A software module can reside in RAM memory, flash memory, ROM memory, EPROM memory, EEPROM memory, registers, hard disk, a removable disk, a CD-ROM, or any other form of computer-readable storage medium known in the art.", "An exemplary storage medium can be coupled to the processor such that the processor can read information from, and write information to, the storage medium.", "In the alternative, the storage medium can be integral to the processor.", "The processor and the storage medium can reside in an ASIC.", "The ASIC can reside in the energy management system 102.In the alternative, the processor and the storage medium can reside as discrete components in the energy management system 102.The above detailed description of certain embodiments is not intended to be exhaustive or to limit the invention to the precise form disclosed above.", "While specific embodiments of, and examples for, the invention are described above for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those ordinary skilled in the relevant art will recognize.", "For example, while processes or blocks are presented in a given order, alternative embodiments may perform routines having steps, or employ systems having blocks, in a different order, and some processes or blocks may be deleted, moved, added, subdivided, combined, and/or modified.", "Each of these processes or blocks may be implemented in a variety of different ways.", "Also, while processes or blocks are at times shown as being performed in series, these processes or blocks may instead be performed in parallel, or may be performed at different times.", "Unless the context clearly requires otherwise, throughout the description and the claims, the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense, as opposed to an exclusive or exhaustive sense; that is to say, in the sense of “including, but not limited to.” The words “coupled” or connected”, as generally used herein, refer to two or more elements that may be either directly connected, or connected by way of one or more intermediate elements.", "Additionally, the words “herein,” “above,” “below,” and words of similar import, when used in this application, shall refer to this application as a whole and not to any particular portions of this application.", "Where the context permits, words in the above Detailed Description using the singular or plural number may also include the plural or singular number respectively.", "The word “or” in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list.", "Moreover, conditional language used herein, such as, among others, “can,” “could,” “might,” “may,” “e.g.,” “for example,” “such as” and the like, unless specifically stated otherwise, or otherwise understood within the context as used, is generally intended to convey that certain embodiments include, while other embodiments do not include, certain features, elements and/or states.", "Thus, such conditional language is not generally intended to imply that features, elements and/or states are in any way required for one or more embodiments or that one or more embodiments necessarily include logic for deciding, with or without author input or prompting, whether these features, elements and/or states are included or are to be performed in any particular embodiment.", "The teachings of the invention provided herein can be applied to other systems, not necessarily the systems described above.", "The elements and acts of the various embodiments described above can be combined to provide further embodiments.", "While certain embodiments of the inventions have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the disclosure.", "Indeed, the novel methods and systems described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the methods and systems described herein may be made without departing from the spirit of the disclosure.", "The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the disclosure." ] ]
Patent_15875562
[ [ "FIBER OPTIC ENCLOSURE WITH INTERNAL CABLE SPOOL", "A fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "A method of paying out a fiber optic cable from a fiber optic enclosure includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "A termination module is disposed on the cable spool." ], [ "1.A fiber optic enclosure comprising: a housing including a cover at a front of the housing, the cover being movable between an open position and a closed position; the housing defining a cable opening for routing a subscriber cable into the housing; a spool mountable to the housing and positionable within the housing; a fiber optic cable spooled about a spooling portion of the spool, the fiber optic cable including at least one optical fiber; a fiber optic connector coupled to the at least one optical fiber of the fiber optic cable; a fiber optic adapter including a first connector port for receiving the fiber optic connector and also including an opposite second connector port; the fiber optic cable being payable from the spool while the spool is mounted to the housing, wherein the spool rotates relative to the housing about an axis of rotation as the fiber optic cable is paid out from the spool, and wherein the fiber optic connector rotates in concert with the spool as the fiber optic cable is paid out from the spool; and wherein access for plugging a connectorized end of the subscriber cable into the second connector port of the fiber optic adapter is: a) available from the front of the housing when the cover is in the open position; and b) not available from the front of the housing when the cover is in the closed position.", "2.The fiber optic enclosure of claim 1, further comprising a hinge for allowing the cover to pivot between the open and closed positions.", "3.The fiber optic enclosure of claim 1, wherein the fiber optic connector is supported on the spool when the fiber optic cable is paid out from the spool.", "4.The fiber optic enclosure of claim 1, wherein the fiber optic adapter is coupled to the fiber optic connector, and wherein the fiber optic adapter rotates in concert with the spool as the fiber optic cable is paid out from the spool.", "5.The fiber optic enclosure of claim 1, wherein the fiber optic cable includes a plurality of optical fibers, wherein a plurality of fiber optic connectors terminate the plurality of optical fibers at the first end of the fiber optic cable, wherein the fiber optic connectors rotate in concert with the spool as the fiber optic cable is paid out from the spool, wherein the telecommunications enclosure further includes a plurality of fiber optic adapters, wherein the plurality of fiber optic connectors are secured within the plurality of fiber optic adapters when the fiber optic cable is paid out from the spool.", "6.The fiber optic enclosure of claim 1, wherein a cable seal is provided at the cable opening.", "7.The fiber optic enclosure of claim 1, further comprising a cable manager for storing slack cable in a loop within the housing at a location separate from the spooling portion of the spool.", "8.The fiber optic enclosure of claim 7, wherein the cable manager is positioned such that the loop is not co-axial with the axis of rotation of the spool.", "9.The fiber optic enclosure of claim 7, wherein the cable manager includes a curved guide surface.", "10.The fiber optic enclosure of claim 9, wherein the cable manager is configured for storing the slack cable in a coil.", "11.The fiber optic enclosure of claim 9, wherein the cable manager includes a slack storage spool which defines the curved guide surface.", "12.The fiber optic enclosure of claim 1, further comprising means for storing slack cable in a coil within the housing.", "13.A fiber optic enclosure comprising: a housing including a front and a back, the housing including a front cover movable between an open position and a closed position, the housing including sides that extend between the front and the back; the housing defining a cable opening through one of the sides of the housing; a spool mountable within the housing; a fiber optic cable coiled about a spooling portion of the spool, the fiber optic cable including at least one optical fiber; a fiber optic connector coupled to the at least one optical fiber of the fiber optic cable; a fiber optic adapter positioned within the housing, the fiber optic adapter including a first connector port receiving the fiber optic connector and also including an opposite second connector port, the front cover preventing the first and second connector ports of the fiber optic adapter from being accessed from the front of the housing when in the closed position, and the front cover allowing the first and second connector ports of the fiber optic adapter to be accessed from the front of the housing when in the open position; the fiber optic cable being payable from the spool while the spool is positioned within the housing, wherein the spool rotates relative to the housing about an axis of rotation as the fiber optic cable is paid out from the spool, and wherein the fiber optic connector and the fiber optic adapter rotate in concert with the spool as the fiber optic cable is paid out from the spool; and a cable routing path for routing a subscriber cable to the second connector port of the fiber optic adapter, the cable routing path extending within the housing from the cable opening to the second connector port of the fiber optic adapter, at least a portion of the cable routing path being located between a rear side of the front cover and a front axial end of the spool when the front cover is in the closed position.", "14.The fiber optic enclosure of claim 13, wherein the sides of the housing include first and second opposite sides, wherein the front cover is hingedly coupled to the first side of the housing, wherein the cable opening is located at the second side of the housing, and wherein the spool mounts at a spool mounting location within the housing where the spool is closer to the first side than the second side.", "15.The fiber optic enclosure of claim 13, wherein the front cover is coupled to a main portion of the housing by a hinge.", "16.The fiber optic enclosure of claim 13, wherein the fiber optic connector is supported on the spool when the fiber optic cable is paid out from the spool.", "17.The fiber optic enclosure of claim 13, wherein the fiber optic adapter is coupled to the fiber optic connector.", "18.The fiber optic enclosure of claim 13, wherein the fiber optic cable includes a plurality of optical fibers, wherein a plurality of fiber optic connectors terminate the plurality of optical fibers at the first end of the fiber optic cable, wherein the fiber optic connectors rotate in concert with the spool as the fiber optic cable is paid out from the spool, wherein the telecommunications enclosure further includes a plurality of fiber optic adapters, and wherein the plurality of fiber optic connectors are secured within the plurality of fiber optic adapters when the fiber optic cable is paid out from the spool.", "19.The fiber optic enclosure of claim 13, further comprising a manual lock configured to lock the spool with varying amounts of the fiber optic cable paid off the spool, the manual lock being lockable without moving the fiber optic adapter relative to the spool.", "20.The fiber optic enclosure of claim 19, wherein the spool defines apertures through which a pin can be inserted to lock the spool to the housing." ], [ "<SOH> BACKGROUND <EOH>As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable." ], [ "<SOH> SUMMARY <EOH>An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of application Ser.", "No.", "15/470,311, filed Mar.", "27, 2017, which is a continuation of application Ser.", "No.", "14/979,870, filed Dec. 28, 2015, now U.S. Pat.", "No.", "9,606,319, which is a continuation of application Ser.", "No.", "14/539,459, filed Nov. 12, 2014, now U.S. Pat.", "No.", "9,261,666, which is a continuation of application Ser.", "No.", "14/132,691, filed Dec. 18, 2013, now U.S. Pat.", "No.", "8,891,931, which is a continuation of application Ser.", "No.", "13/924,191, filed Jun.", "21, 2013, now U.S. Pat.", "No.", "8,705,929, which is a continuation of application Ser.", "No.", "13/479,015, filed May 23, 2012, now U.S. Pat.", "No.", "8,494,333, which is a continuation of application Ser.", "No.", "13/032,337, filed Feb. 22, 2011, now U.S. Pat.", "No.", "8,189,984, which is a continuation of application Ser.", "No.", "12/793,556, filed Jun.", "3, 2010, now U.S. Pat.", "No.", "7,894,701, which is a continuation of application Ser.", "No.", "12/182,705, filed Jul.", "30, 2008, now U.S. Pat.", "No.", "7,756,379, which application claims the benefit of provisional application Ser.", "Nos.", "61/029,248, filed Feb. 15, 2008 and 60/954,214, filed Aug. 6, 2007, which applications are incorporated herein by reference in their entirety.", "TECHNICAL FIELD The present disclosure relates to fiber optic enclosure, and more particularly, to a fiber optic enclosure with cable payout.", "BACKGROUND As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable.", "SUMMARY An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based.", "DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic representation of a fiber optic network that includes a fiber optic enclosure having features that are examples of inventive aspects in accordance with the principles of the present disclosure.", "FIG.", "2 is an isometric view of the fiber optic enclosure of FIG.", "1.FIG.", "3 is an isometric view of the fiber optic enclosure of FIG.", "2 with a cover in an open position.", "FIG.", "4 is a front view of the fiber optic enclosure of FIG.", "2 with the cover in the open position.", "FIG.", "5 is an exploded isometric view of the fiber optic enclosure of FIG.", "2.FIG.", "6 is a perspective view of a fiber optic adapter suitable for use within the fiber optic enclosure of FIG.", "2.FIG.", "7 is a cross-sectional view of the fiber optic adapter taken on line 7-7 of FIG.", "6.FIG.", "8 is an isometric view of another embodiment of a fiber optic enclosure.", "FIG.", "9 is a front view of the fiber optic enclosure of FIG.", "8.FIG.", "10 is a top view of the fiber optic enclosure of FIG.", "8.FIG.", "11 is a side view of the fiber optic enclosure of FIG.", "8.FIG.", "12 is an isometric view of the fiber optic enclosure of FIG.", "8, showing cables entering and exiting the enclosure.", "FIG.", "13 is an isometric view of the fiber optic enclosure of FIG.", "12 without the cover.", "FIG.", "14 is a front view of the fiber optic enclosure of FIG.", "13.FIG.", "15 is an exploded isometric view of the fiber optic enclosure of FIG.", "13.FIG.", "16 is an isometric view of the cable spool of the fiber optic enclosure of FIG.", "13.FIG.", "17 is a further isometric view of the fiber optic enclosure of FIG.", "12, with the cover in the pivoted open position.", "FIG.", "18 is an exploded view of a shipping container in which is disposed the fiber optic enclosure of FIG.", "8.DETAILED DESCRIPTION Reference will now be made in detail to the exemplary aspects of the present disclosure that are illustrated in the accompanying drawings.", "Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like structure.", "Referring now to FIG.", "1, a schematic representation of a fiber optic network, generally designated 11, in a facility 13 (e.g.", "individual residence, apartment, condominium, business, etc.)", "is shown.", "The fiber optic network 11 includes a feeder cable 15 from a central office (not shown).", "The feeder cable 15 enters a feeder cable input location 17 (e.g., a fiber distribution hub, a network interface device, etc.)", "having one or more optical splitters (e.g., 1-to-8 splitters, 1-to-16 splitters, or 1-to-32 splitters) that generate a number of individual fibers.", "In the subject embodiment, and by way of example only, the fiber distribution hub 17 is located on a lower level 19 of the facility 13.Each unit in the facility 13 includes a fiber optic enclosure, generally designated 21, with a subscriber cable 22 extending from each of the fiber optic enclosures 21 to the fiber distribution hub 17.The subscriber cable 22 extending between the fiber distribution hub 17 and the fiber optic enclosure 21 typically includes multiple optical fibers.", "Referring now to FIGS.", "2-5, the fiber optic enclosure 21 will now be described.", "The fiber optic enclosure 21 includes a housing, generally designated 23, having a cover 25.The housing 23 includes a base 27, a first sidewall 29, and an oppositely disposed second sidewall 31.The first and second sidewalls 29, 31 extend outwardly from the base 27 such that the base 27 and the first and second sidewalls 29, 31 cooperatively define an interior region 33.In the subject embodiment, the cover 25 is hingedly engaged with a sidewall 35 that is connected to the base 27 and the first and second sidewalls 29, 31.It will be understood, however, that the scope of the present disclosure is not limited to the cover 25 being hingedly engaged the sidewall 35.A cable spool, generally designated 37, is disposed in the interior region 33 of the fiber optic enclosure 21.The cable spool 37 includes a spooling portion 39, around which subscriber cable 22 is coiled (shown schematically in FIG.", "1).", "The cable spool 37 further includes an axial end 41.In the subject embodiment, the axial end 41 of the cable spool 37 defines a termination area 43 (shown as a dashed line in FIG.", "5).", "Disposed in the termination area 43 is a termination module, generally designated 45.The termination module 45 of the fiber optic enclosure 21 serves as the dividing line between the incoming fibers and the outgoing fibers.", "In the subject embodiment, the termination module 45 includes an adapter plate 47.The adapter plate 47 is an L-shaped bracket having a first side 49 (shown in FIG.", "4) and a second side 51.The first side 49 defines a plurality of mounting holes 53 while the second side 51 defines an adapter slot 55.It will be understood, however, that the scope of the present disclosure is not limited to the adapter plate 47 being an L-shaped bracket.", "The first side 49 of the adapter plate 47 is rigidly mounted (i.e., non-rotatable) to the axial end 41 of the cable spool 37 through a plurality of fasteners 57 (e.g., bolts, screws, rivets, etc.)", "which are inserted through the mounting holes 53 in the first side 49 and in connected engagement with the axial end 41 of the cable spool 37.The adapter slot 55 in the second side 51 of the adapter plate 47 is adapted to receive a plurality of adapters, generally designated 401.In the subject embodiment, the adapters 401 are SC-type adapters 401, although it will be understood that the scope of the present disclosure is not limited to the use of SC-type adapters 401.Similar SC-type adapters 401 have been described in detail in commonly owned U.S. Pat.", "No.", "5,317,663, the disclosure of which is incorporated herein by reference.", "Referring now to FIGS.", "6 and 7, the SC-type adapter 401 includes a main body 403 with a pair of tabs 405, 407 located on the exterior of the main body 403.The tabs 405, 407 serve to support the adapter 401 in the adapter slot 55.The adapter 401 further includes a pair of retaining clips 409, 411, with one retaining clip 409, 411 associated with each tab 405, 407.A front side 413 of the adapter 401 is inserted into the adapter slot 55.As the adapter 401 is inserted through the adapter slot 55, the retaining clips 409, 411 compress against the main body 403.The adapter 401 is inserted into the adapter slot 55 until the tabs 405, 407 abut the adapter plate 47.With the tabs 405, 407 abutting the adapter plate 47, the retaining clips 409, 411 decompress on the opposite side of the adapter plate 47, thereby retaining the adapter plate 47 between the retaining clips 409, 411 and the tabs 405, 407.In an alternate embodiment, the termination module includes a plurality of sliding adapter modules.", "Similar sliding adapter modules have been described in detail in commonly owned U.S. Pat.", "Nos.", "5,497,444; 5,717,810, 6,591,051 and U.S. Pat.", "Pub.", "No.", "2007/0025675, the disclosures of which are incorporated herein by reference.", "Referring now to FIGS.", "3-5, the axial end 41 of the cable spool 37 further defines a slack storage area 59.The slack storage area 59 includes a cable management spool 61 disposed on the axial end 41 of the cable spool 37.The cable management spool 61 is sized such that an outer radius of the cable management spool 61 is larger than the minimum bend radius of the optical fibers so as to avoid attenuation damage to the optical fibers during storage.", "The cable management spool 61 and the axial end 41 of the cable spool 37 cooperatively define a cable passage 63 that extends axially through the cable management spool 61 and through the axial end 41 of the cable spool 37.The cable passage 63 allows connectorized ends of incoming optical fibers to pass from the spooling portion 39 of the cable spool 37 to the slack storage area 59.The connectorized ends of the incoming optical fibers are then routed from the slack storage area 59 to the front sides 413 of the adapters 401 in the termination area 43.Referring now to FIG.", "5, the fiber optic enclosure 21 further includes a bearing mount, generally designated 71.In the subject embodiment, the bearing mount 71 is disposed on the base 27 of the housing 23.An outer surface 73 of the bearing mount 71 is adapted for a bearing 75 (shown as cross-hatching).", "In the subject embodiment, the bearing 75 is a needle bearing.", "However, it will be understood that the scope of the present disclosure is not limited to the bearing 75 being a needle bearing as the bearing 75 could also include a bushing, low-friction coating, etc.", "In one embodiment, the bearing 75 is engaged with an inner diameter of a central hole of the cable spool 37.In another embodiment, a rotary plain bearing is formed between the outer surface 73 of the bearing mount 71 and the inner diameter of the central hole of the cable spool 37.In this embodiment, the outer diameter of the bearing mount 71 is sized to fit within an inner diameter of a central hole of the spooling portion 39.The engagement of the bearing mount 71 and the spooling portion 39 of the cable spool 37 allows the cable spool 37 to rotate about the central axis 77 of the bearing mount 71.Referring now to FIGS.", "1 and 5, the subscriber cable 22, which includes multiple optical fibers, is coiled around the spooling portion 39 of the cable spool 37.In order to protect the subscriber cable 22 from attenuation resulting from the coiling of the subscriber cable 22 around the spooling portion 39, the cable spool 37 has an outer circumferential surface having a radius that is greater than the minimum bend radius of the subscriber cable 22.The subscriber cable 22 includes a first end having connectorized ends, which are inserted through the cable passage 63 and connectedly engaged with the first ends 413 of the adapters 401.A second end of the subscriber cable 22 is configured for connectivity with the fiber distribution hub 17.However, as shown in FIG.", "1, the length of subscriber cable 22 needed between each of the fiber optic enclosures 21 in the facility 13 and the fiber distribution hub 17 will vary depending upon the location of each fiber optic enclosure 21 with respect to the fiber distribution hub 17.A method of installing and using the fiber optic enclosure 21 to account for the varying lengths of subscriber cable 22 needed between the fiber optic enclosure 21 and the fiber distribution hub 17 will now be described.", "The fiber optic enclosure 21 provides dual functionality by serving as a storage location for the subscriber cable 22 and by selectively paying out a desired length of the subscriber cable 22.A first length of subscriber cable 22 is stored in the fiber optic enclosure 21 by coiling the length of subscriber cable 22 around the cable spool 37.The first length of subscriber cable 22 includes an installation length, which is sufficiently long to extend from the mounting location of the enclosure 28 to the fiber distribution hub 17, and an excess length, which is the length of subscriber cable 22 remaining on the cable spool 37 after the installation length has been paid out.", "In one embodiment, the first length is greater than or equal to about 100 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 200 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 300 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 400 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 2,000 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 1,500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 500 to about 1,500 feet.", "In a preferred embodiment, the first length of subscriber cable 22, which is coiled around the cable spool 89, is in the range of 100 to 500 feet.", "In one embodiment, a second length, or the excess length, of subscriber cable 22 is stored around the cable spool 37 after the first length of subscriber cable 22 has been paid out.", "If the first length of subscriber cable 22 is greater than the installation length of subscriber cable 22, the second length, or excess length, is stored around the cable spool 37.The second function of the fiber optic enclosure 21 involves the selective payout of the subscriber cable 22.With the cable spool 37 mounted to the bearing mount 71, the first end of the subscriber cable 22 in connected engagement with the front sides 413 of the adapters 401 and the outgoing optical fibers disengaged from the back sides of the adapters 401, the subscriber cable 22 can be paid out through fiber ports 79 disposed in the first and second sidewalls 29, 31.The subscriber cable 22 is paid out of the fiber optic enclosure 21 by selectively rotating the cable spool 37 with respect to the housing 23 about the central axis 77 of the bearing mount 71.As the termination module 45 is disposed on the axial end 41 of the cable spool 37, the selective rotation of the cable spool 37 with respect to the housing 23 results in the selective rotation of the termination module 45.Since the termination module 45 rotates unitarily with or in unison with the cable spool 37, the second end of the subscriber cable 22 can be paid out without the first end of the subscriber cable 22 being pulled out of the termination module 45.Once the desired length of subscriber cable 22 has been paid out, the rotation of the cable spool 37 is ceased.", "At this point, the position of the cable spool 37 can be fixed such that it does not rotate relative to the housing 23.In one embodiment, a pin is inserted through an opening in the axial end 41 of the cable spool 37 and through a corresponding opening in the base 27 of the housing 23 to fix the position of the cable spool 37 with respect to the housing 23.It will be understood, however, that the scope of the present disclosure is not limited to the use of a pin to fix the position of the cable spool 37 with respect to housing 23.An alternate method of selectively paying-out subscriber cable 22 from the fiber optic enclosure 21 will now be described.", "With the fiber optic enclosure 21 positioned near the fiber distribution hub 17, the second end of the subscriber cable 22 is unwound from the cable spool 37.In one embodiment, the second end is optically connected to the fiber distribution hub 17.With the second end of the subscriber cable 22 optically connected to the fiber distribution hub 17 and the first end of the subscriber cable 22 in connected engagement with the termination module 45, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17.In one embodiment, the fiber optic enclosure 21 is carried away from the fiber distribution hub 17 by an installer.", "In another embodiment, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17 in a wheeled cart (e.g., dolly, 4-wheeled cart, etc.).", "In a preferred embodiment, the fiber optic enclosure is disposed in a packaging enclosure (e.g., a box) during transport.", "As the fiber optic enclosure 21 is transported away from the fiber distribution hub 17, the subscriber cable 22 unwinds from the cable spool 37 causing the cable spool 37 to rotate within the interior region 33 of the housing 23, which is disposed in the packaging enclosure.", "When the fiber optic enclosure 21 has been transported to its mounting location, the fiber optic enclosure 21 is removed from the packaging enclosure, mounted to the mounting location.", "The cable spool 37 can be fixed in position relative to the housing 23 to prevent inadvertent rotation of the cable spool 37.Referring now to FIGS.", "8-18, an alternate embodiment of a fiber optic enclosure 121 is shown.", "The fiber optic enclosure 121 includes a housing 123 and a hinged cover 125.The housing 123 includes a base wall 120, a first sidewall 127 and an oppositely disposed second sidewall 128.The first and second sidewalls 127, 128 extend outwardly from the base wall 120 such that the base wall 120 and the first and second sidewalls 127, 128 cooperatively define an interior region 130.In the subject embodiment, the first sidewall 127 of the housing 123 defines a first port 131 while the second sidewall 128 defines a second port 132.The subscriber cable 122 enters/exits the fiber optic enclosure 121 at the first port 131 or at the second port 132.In the subject embodiment, both of the first and second ports 131, 132 are provided as knockout portions.", "A cable spool 137 is positioned within the interior region 130 of enclosure 121.In the subject embodiment, the cable spool 137 is adapted for rotation within the interior region 130 of the enclosure 121.In the subject embodiment, the cable spool 137 includes a first axial end 136, an oppositely disposed second axial end 138 and a spool portion 139.The spool portion 139 is disposed between the first and second axial ends 136, 138 of the cable spool 137.The spool portion 139 is adapted to receive a subscriber cable 122 coiled around or spooled on the spool portion 139.With the subscriber cable 122 spooled on the spool portion 139, the subscriber cable 122 can be selectively paid out by rotating the cable spool 137.As the cable spool 137 is rotated, the subscriber cable 122 is unwound from the spool portion 139 of the cable spool 137.After a desired length of subscriber cable 122 has been paid out, pin openings 141 can be used with a pin to fix the position of cable spool 137 relative to housing 123.The subscriber cable 122 is shown with a connectorized end 144 (e.g., MTP connector) for connecting to the fiber distribution hub 17 or other equipment.", "An opposite end of the subscriber cable 122 passes through an opening 145 disposed in the first axial end 136 of the cable spool 137.After passing through the opening 145, the subscriber cable 122 is routed to a fanout 147 disposed on the first axial end 136 of the cable spool 137 where the cable is broken out into individual fibers 124 having connectorized ends 146 (e.g., SC connectors).", "A cable management spool 161 is also disposed on the first axial end 136 of the cable spool 137.The cable management spool 161 manages fibers 124.In the subject embodiment, the cable management spool 161 includes a plurality of fingers 162 disposed on an end of the cable management spool 161.The fingers 162 assist with cable retention.", "The first axial end 136 of the cable spool 137 further includes an outer guide wall 163.In the subject embodiment, the outer guide wall 163 is disposed at a portion of the peripheral edge of the first axial end 136 adjacent to the cable management spool 161.In the subject embodiment, the outer guide wall 163 extends outwardly in a direction that is generally perpendicular to the first axial end 136.The outer guide wall 163 includes with a cable finger 164 disposed at an end of the outer guide wall 163 that is opposite the end engaged with the first axial end 136 of the cable spool 137.The cable finger 164 assists with retention and protection of the fibers 124.An adapter plate 149 is disposed on the first axial end 136 of the cable spool 137.In the subject embodiment, the adapter plate 149 includes separate openings 151.Each of the separate openings 151 is adapted to receive two adapters 401.In the depicted embodiment of FIG.", "16, the cable management spool 161, the outer guide wall 163 and the adapter plate 149 are integrally formed with the first axial end 136 of the cable spool 137.In the subject embodiment, the first axial end 136 of the cable spool 137 is formed from plastic.", "In another embodiment, the first and second axial ends 136, 138, the spool portion 139, the adapter plate 149, the cable management spool 161 and the outer guide wall 163 are integrally formed from a plastic material.", "Referring now to FIGS.", "13 and 14, the fiber optic enclosure 121 is shown connected to a second subscriber cable 126.After the subscriber cable 122 is paid out and cable spool 137 fixed in position relative to the housing 123, individual connectorized ends of the second subscriber cables 126 can be connected to the fibers 124 at adapters 401 of adapter plate 149.The second subscriber cables 126 exit the fiber optic enclosure 121 at a port 136 in a side 165 of the housing 123.In the illustrated embodiment, a slotted foam member 138 is disposed in the port 136.The slotted foam member 138 includes a plurality of slots through which the second subscriber cables 126 can be inserted in order to prevent or reduce the risk of ingress of environmental contamination (e.g., dust, water, etc.).", "While the fiber optic enclosure 121 is shown mounted to a mounting location 183 (e.g., wall, pole, etc.)", "in FIGS.", "1 and 17, it will be understood that the subscriber cable 122 can be paid out from the fiber optic enclosure 121 while the fiber optic enclosure 121 is either mounted to a mounting location 183 or removed from the mounting location 183.As shown in FIG.", "18, the subscriber cable 122 could be paid out while the fiber optic enclosure 121 is still packaged in a shipping container 179 provided there is an opening 181 in the shipping container 179 through which the subscriber cable 122 can be pulled.", "After the subscriber cable 122 has been paid out, the fiber optic enclosure 121 can be removed from the shipping container 179 and mounted to the mounting location 183.Various modifications and alterations of this disclosure will become apparent to those skilled in the art without departing from the scope and spirit of this disclosure, and it should be understood that the inventive scope of this disclosure is not to be unduly limited to the illustrative embodiments set forth herein." ] ]
Patent_15875564
[ [ "METHOD FOR PREPARING AZOXYSTROBIN AND ITS INTERMEDIATES", "The present invention discloses a method for preparing azoxystrobin intermediates represented by formulae (1) and (2), comprising: controlling a compound represented by formula (3) to contact with sodium methoxide and 4,6-dichloropyrimidine, to obtain a mixture of intermediates represented by formulae (1) and (2), in the existence of a catalyst, the catalyst is an azabicyclic compound or its salt.", "The present invention further discloses a method for preparing azoxystrobin, comprising: controlling the intermediate represented by formula (2) provided in the present invention to react with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, to obtain an azoxystrobin compound represented by formula (4).", "The method provided in the present invention has advantages including high transformation ratio, high product purity, easy and convenient operation, and environmental friendliness." ], [ "1.A method for preparing an azoxystrobin intermediate of formula (1) or (2), comprising: contacting a compound of formula (3) with sodium methoxide and 4,6-dichloropyrimidine in the presence of a catalyst, to provide a mixture of intermediates of formulae (1) and (2), wherein the catalyst is an azabicyclic compound or a salt thereof.", "2.The method of claim 1, wherein, the azabicyclic compound is at least one of compound (5), (6), or (7) or a salt thereof; wherein R1 and R2 are hydrogen, hydroxyl, C1-C6 hydrocarbonyl, or C1-C6 oxyl independently of each other, or R1 and R2 are combined into carbonyl, thiocarbonyl, cycloalkyl thioether, cycloalkoxyl, or a ketal structure; and R3, R4, R5, and R6 are hydrogen, C1-C6 hydrocarbonyl, C1-C6 oxyl, dimethyl amino, diethyl amino, diisopropyl amino, cyano, fluorine, chlorine, or bromine independently of each other.", "3.The method of claim 2, wherein, the azabicyclic compound is at least one of 1-azabicyclo[2.2.2]-octane; 1-azabicyclo[2.2.2]-octane-8-ketone; 1′-azaspiro[1,3]dioxolane-2,3′-bicyclo[2.2.2]-octane; 1,4-diazabicyclo[2.2.2]-octane; 2-methyl-1,4-diazabicyclo[2.2.2]-octane; 2,6-dimethyl-1,4-diazabicyclo[2.2.2]-octane; 2,5-dimethyl-1,4-diazabicyclo[2.2.2]-octane; 1,5-diazabicyclo[3.2.2]-nonane, and 6-methyl-1,5-diazabicyclo[3.2.2]-nonane, or a salt thereof.", "4.The method of claim 1, wherein, 1 mol of the compound of formula (3) is used and 0.0005-1 mol of the catalyst is used.", "5.The method of claim 1, wherein, the contacting occurs at a temperature of −20° C. to 60° C." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Azoxystrobin was a strobilurin fungicide discovered and commercialized by Zeneca company first, its chemical name is methyl (E)-2-{2-[6-(2-cyanophenoxy) pyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate, and its structural formula is shown in formula (4): This compound is an efficient and broad spectrum fungicide, can prevent and control almost all diseases resulted from fungi, oomycetes, phycomycetes, ascomycetes, and deuteromycetes, and is widely applied in foliage treatment and seed treatment of crop plants.", "Two compounds with structural formulae shown in formula (1) and formula (2) respectively are key intermediates for preparing azoxystrobin in the industry.", "A typical preparation method for the two compounds is disclosed in patent document WO92/08703.The preparation method is as follows: Where, the chemical name of the compound represented by formula (3) is 3-(α-methoxy) methylene benzofuran-2-(3H)-ketone.", "The compound represented by formula (3) reacts with sodium methoxide, and then reacts with 4,6-dichloropyrimidine, to obtain a mixture of the intermediates represented by formulae (1) and (2).", "The reaction takes 20-43 h. The reaction is poor in selectivity, it is difficult to separate the products, the yield ratio of azoxystrobin obtained finally in the follow-up reaction is approx.", "40%, and the azoxystrobin product is poor in quality and is in dark brown color.", "In patent document CN102311392A, an improved method is reported.", "In that method, a catalyst 1,4-diazabicyclo[2.2.2]octane (DABCO) is introduced after the compound represented by formula (3) reacts with sodium methoxide and thereby the compound represented by formula (8) is obtained.", "Under the catalytic action of the catalyst, the compound represented by formula (8) reacts with 4,6-dichloropyrimidine to obtain an intermediate represented by formula (1); the compound represented by formula (1) is transformed into the intermediate represented by formula (2) under the action of KHSO 4 .", "The reported chemical reaction process is as follows: Since a catalyst DABCO is introduced, that method improves the reaction rate of the reaction between the compound represented by formula (8) and 4,6-dichloropyrimidine; hence, the reaction time is greatly shortened, and the process can be completed within 1.5h.", "However, the above-mentioned techniques have the following drawbacks: 1) In the processes described in WO92/08703 and CN102311392A, the compound represented by formula (3) reacts with sodium methoxide to generate the compound represented by formula (8) first.", "Owing to the fact that the reaction is a strong exothermic reaction, the compound represented by formula (8) produced in the reaction is unstable, and may be transformed into the compounds represented by formulae (9), (10), (11), and (12) when it is subjected to heat or stored in a long time, resulting in compromised yield ratio.", "Especially, when the technical scheme is applied at an industrial scale, the reaction time will be prolonged severely because a great deal of heat is produced in the reaction; consequently, such subsidiary reactions will happen more strongly, and the yield ratio of the reaction will be compromised.", "2) The reaction between the compound represented by formula (8) and 4,6-dichloropyrimidine is also an exothermic reaction, and the reaction process is very sensitive to the reaction temperature.", "When the temperature is low, the reaction rate will be decreased severely; when the temperature is too high, a conjugate product as shown in formula (15) will be formed.", "Since 4,6-dichloropyrimidine is solid, usually it can be added in one operation or in batch only; in the existence of a catalyst DABCO, the reaction rate will be increased greatly; consequently, such an adding approach results in uneven heat release in the reaction, it is difficult to control the reaction temperature, and more conjugate products may be produced, resulting in compromised yield ratio of reaction.", "3) In the process of transformation from the intermediate represented by formula (1) into the intermediate represented by formula (2) as described in WO92/08703 and CN102311392A, both the intermediate represented by formula (1) and the intermediate represented by formula (2) are viscous liquids, while the catalyst potassium bisulfate is solid; hence, it is difficult to solve the solid-liquid mixing problem (i.e., stirring problem) in mass industrial production.", "In view of the problems described above, the present invention discloses an innovative method, with which the reaction process can be controlled easily, and the operation is simple and convenient, and the method is more suitable for use in mass industrial production." ], [ "RELATED APPLICATIONS This application is a divisional of U.S. patent application Ser.", "No.", "14/916,888 that was filed on Mar.", "4, 2016, which is a 35 U.S.C.", "371 National Stage Application of International Patent Application No.", "PCT/CN2014/073208 that was filed on Mar.", "11, 2014, which claims priority to Chinese Application No.", "201310401149.6 that was filed on Sep. 9, 2013.The entire content of U.S. patent application Ser.", "No.", "14/916,888 is hereby incorporated by reference herein.", "FIELD OF THE INVENTION The present invention relates to a method for preparing azoxystrobin represented by formula (4) and azoxystrobin intermediates represented by formulae (1) and (2).", "BACKGROUND OF THE INVENTION Azoxystrobin was a strobilurin fungicide discovered and commercialized by Zeneca company first, its chemical name is methyl (E)-2-{2-[6-(2-cyanophenoxy) pyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate, and its structural formula is shown in formula (4): This compound is an efficient and broad spectrum fungicide, can prevent and control almost all diseases resulted from fungi, oomycetes, phycomycetes, ascomycetes, and deuteromycetes, and is widely applied in foliage treatment and seed treatment of crop plants.", "Two compounds with structural formulae shown in formula (1) and formula (2) respectively are key intermediates for preparing azoxystrobin in the industry.", "A typical preparation method for the two compounds is disclosed in patent document WO92/08703.The preparation method is as follows: Where, the chemical name of the compound represented by formula (3) is 3-(α-methoxy) methylene benzofuran-2-(3H)-ketone.", "The compound represented by formula (3) reacts with sodium methoxide, and then reacts with 4,6-dichloropyrimidine, to obtain a mixture of the intermediates represented by formulae (1) and (2).", "The reaction takes 20-43 h. The reaction is poor in selectivity, it is difficult to separate the products, the yield ratio of azoxystrobin obtained finally in the follow-up reaction is approx.", "40%, and the azoxystrobin product is poor in quality and is in dark brown color.", "In patent document CN102311392A, an improved method is reported.", "In that method, a catalyst 1,4-diazabicyclo[2.2.2]octane (DABCO) is introduced after the compound represented by formula (3) reacts with sodium methoxide and thereby the compound represented by formula (8) is obtained.", "Under the catalytic action of the catalyst, the compound represented by formula (8) reacts with 4,6-dichloropyrimidine to obtain an intermediate represented by formula (1); the compound represented by formula (1) is transformed into the intermediate represented by formula (2) under the action of KHSO4.The reported chemical reaction process is as follows: Since a catalyst DABCO is introduced, that method improves the reaction rate of the reaction between the compound represented by formula (8) and 4,6-dichloropyrimidine; hence, the reaction time is greatly shortened, and the process can be completed within 1.5h.", "However, the above-mentioned techniques have the following drawbacks: 1) In the processes described in WO92/08703 and CN102311392A, the compound represented by formula (3) reacts with sodium methoxide to generate the compound represented by formula (8) first.", "Owing to the fact that the reaction is a strong exothermic reaction, the compound represented by formula (8) produced in the reaction is unstable, and may be transformed into the compounds represented by formulae (9), (10), (11), and (12) when it is subjected to heat or stored in a long time, resulting in compromised yield ratio.", "Especially, when the technical scheme is applied at an industrial scale, the reaction time will be prolonged severely because a great deal of heat is produced in the reaction; consequently, such subsidiary reactions will happen more strongly, and the yield ratio of the reaction will be compromised.", "2) The reaction between the compound represented by formula (8) and 4,6-dichloropyrimidine is also an exothermic reaction, and the reaction process is very sensitive to the reaction temperature.", "When the temperature is low, the reaction rate will be decreased severely; when the temperature is too high, a conjugate product as shown in formula (15) will be formed.", "Since 4,6-dichloropyrimidine is solid, usually it can be added in one operation or in batch only; in the existence of a catalyst DABCO, the reaction rate will be increased greatly; consequently, such an adding approach results in uneven heat release in the reaction, it is difficult to control the reaction temperature, and more conjugate products may be produced, resulting in compromised yield ratio of reaction.", "3) In the process of transformation from the intermediate represented by formula (1) into the intermediate represented by formula (2) as described in WO92/08703 and CN102311392A, both the intermediate represented by formula (1) and the intermediate represented by formula (2) are viscous liquids, while the catalyst potassium bisulfate is solid; hence, it is difficult to solve the solid-liquid mixing problem (i.e., stirring problem) in mass industrial production.", "In view of the problems described above, the present invention discloses an innovative method, with which the reaction process can be controlled easily, and the operation is simple and convenient, and the method is more suitable for use in mass industrial production.", "CONTENTS OF THE INVENTION The present invention provides a method for preparing the azoxystrobin represented by formula (4) and azoxystrobin intermediates represented by formulae (1) and (2), with which the reaction process is easy to control, the operation is simple and convenient, and the yield ratio is high.", "The present invention provides a method for preparing azoxystrobin intermediates represented by formulae (1) and (2), comprising: controlling a compound represented by formula (3) to contact with sodium methoxide and 4,6-dichloropyrimidine, in the existence of a catalyst, to obtain a mixture of intermediates represented by formulae (1) and (2), the catalyst is an azabicyclic compound or its salt.", "The present invention further provides a method for preparing azoxystrobin, comprising the following steps: a) controlling the compound represented by formula (3) to react with sodium methoxide and 4,6-dichloropyrimidine for 1-3h at 10-15° C., in the existence of an azabicyclic compound or its salt, to obtain a mixture of intermediates represented by formulae (1) and (2); b) controlling the mixture obtained in step a) to react for 1-3h at 95-105° C., in the existence of dimethyl sulfate in a catalytic amount, so that the intermediate represented by formula (1) is transformed into the intermediate represented by formula (2); c) controlling the intermediate represented by formula (2) in step b) to react with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, to obtain an azoxystrobin compound represented by formula (4).", "In the method for preparing azoxystrobin represented by formula (4) and azoxystrobin intermediates represented by formulae (1) and (2) provided in the present invention, a compound represented by formula (3) contacts with 4,6-dihalogenated pyrimidine, in the existence of sodium methoxide and a catalyst, so that a transformation ratio as high as 99.5% and selectivity as high as 92.7% can be achieved with the method.", "In addition, the azoxystrobin represented by formula (4) prepared with the method has high purity, and the entire reaction can be completed in a one-pot approach; hence, complex follow-up intermediate product treatment procedures can be avoided, and the operation is simple and convenient.", "Other characteristics and advantages of the present invention will be further detailed in the embodiments hereunder.", "DETAILED DESCRIPTION OF THE EMBODIMENTS Hereunder some embodiments of the present invention will be detailed.", "It should be appreciated that the embodiments described here are only provided to describe and explain the present invention, but shall not be deemed as constituting any limitation to the present invention.", "In an aspect of the present invention, a method for preparing azoxystrobin intermediates represented by formulae (1) and (2) is provided.", "The method comprises: controlling a compound represented by formula (3) to contact with sodium methoxide and 4,6-dichloropyrimidine, in the existence of a catalyst, to obtain a mixture of intermediates represented by formulae (1) and (2), the catalyst is an azabicyclic compound or its salt.", "According to the present invention, a mixture of the intermediates represented by formulae (1) and (2) can be obtained, as long as the compound represented by formula (3) contacts with 4,6-dihalogenated pyrimidine and sodium methoxide, in the existence of the catalyst (azabicyclic compound or its salt).", "Wherein, the compound represented by formula (3) can contact with 4,6-dihalogenated pyrimidine and sodium methoxide in the existence of the catalyst (azabicyclic compound or its salt) in a variety of ways, as long as the compound represented by formula (3), 4,6-dihalogenated pyrimidine, sodium methoxide, and azabicyclic compound or its salt exist in the reaction system at the same time.", "Preferably, the contact conditions may include: adding anhydrous potassium carbonate and/or methyl formate into the reaction system in the early stage of reaction.", "By adding anhydrous potassium carbonate, the reaction will proceed more thoroughly in the late stage, the amount of required sodium methoxide can be reduced, and thereby a series of subsidiary reactions incurred by the existence of a large amount of sodium methoxide can be reduced.", "By adding methyl formate, the stability of ring-opening products in the transition state can be improved.", "corresponding to 1 mol compound represented by formula (3), the usage amount of anhydrous potassium carbonate is 0-1 mol, preferably 0.05-0.1 mol; the usage amount of methyl formate is 0-4 mol, preferably 0.5-3 mol; in addition, the total usage amount of anhydrous potassium carbonate and methyl formate is 0.1-5 mol, preferably 0.7-3.8 mol.", "The inventor has found: if the ring opening catalyst contains sodium methoxide, anhydrous potassium carbonate, and methyl formate and the three components are used at a 1:0.05-0.1:0.5-3 ratio, higher selectivity and yield ratio can be obtained.", "Wherein, there is no particular restriction on the adding sequence of the raw materials of the reaction; for example, the compound represented by formula (3), 4,6-dihalogenated pyrimidine, azabicyclic or its salt, and anhydrous potassium carbonate and/or methyl formate can be added into the reaction system in any order.", "Wherein, there is no particular restriction on the form of adding of sodium methoxide.", "In the present invention, there is no particular restriction on the solvent used in the reaction, the solvent can be any aprotic solvent commonly used in chemical reactions; for example, the solvent can be any one or more of methyl benzene, dimethyl benzene, chlorobenzene, benzene, ethyl ether, carbon tetrachloride, dimethyl sulfoxide, N,N-dimethyl formamide (DMF), and tetrahydrofuran (THF).", "In the present invention, the C1-C6 hydrocarbonyl comprises chain hydrocarbonyl and cyclic hydrocarbonyl.", "Preferably, the chain hydrocarbonyl comprises saturated chain hydrocarbonyl and unsaturated chain hydrocarbonyl; for example, the saturated chain hydrocarbonyl can be any of methyl, ethyl, propyl, isopropyl, n-butyl, isobutyl, tert.-butyl, amyl, and hexyl, and the unsaturated chain hydrocarbonyl can be any of propenyl, isopropenyl, butenyl, isobutenyl, pentenyl, and hexenyl.", "The cyclic hydrocarbonyl comprises saturated cyclic hydrocarbonyl and unsaturated cyclic hydrocarbonyl; for example, the saturated cyclic hydrocarbonyl can be any of cyclopropyl, cyclopentyl, and cyclohexyl, and the unsaturated cyclic hydrocarbonyl can be any of cyclopropenyl, cyclopentenyl, and cyclohexenyl.", "More preferably, the C1-C6 hydrocarbonyl is methyl.", "In the present invention, the C1-C6 oxyl comprises saturated oxyl and unsaturated oxyl; for example, the saturated oxyl can be any of methoxy, ethoxy, propoxy, isopropoxy, cyclopropoxy, butoxy, isobutoxy, tert.-butoxy, pentyloxy, cyclopentyloxy, cyclohexyloxy, and n-hexyloxy, and the unsaturated oxyl can be either of allyloxy and cycloallyloxy.", "Preferably, the C1-C6 oxyl is methoxy.", "In the present invention, corresponding to 1 mol compound represented by formula (3), the usage amount of the azabicyclic compound or its salt can be 0.0005-1 mol, preferably 0.001-0.1 mol; the usage amount of sodium methoxide can be 0.5-1.5 mol, preferably 0.8-1.2 mol; the usage amount of 4,6-dihalogenated pyrimidine can be 0.5-2 mol, preferably 0.8-1.5 mol.", "In the present invention, the contact temperature in the reaction is −20° C. to 60° C., preferably −10° C. to 50° C. In the present invention, the azabicyclic compound is at least one of the compound represented by formula (5), (6), or (7) and their salts; R1 and R2 are hydrogen, hydroxyl, C1-C6 hydrocarbonyl, or C1-C6 oxyl independently of each other, or R1 and R2 are combined into carbonyl, thiocarbonyl, cycloalkyl thioether, cycloalkoxyl, or ketal structure; R3, R4, R5, and R6 are hydrogen, C1-C6 hydrocarbonyl, C1-C6 oxyl, dimethyl amino, diethyl amino, diisopropyl amino, cyano, fluorine, chlorine, or bromine independently of each other.", "Preferably, in the present invention, the azabicyclic compound is at least one of 1-azabicyclo[2.2.2]-octane; 1-azabicyclo[2.2.2]-octane-8-ketone; 1′-azaspiro[1,3]-dioxolane-2,3′-bicyclo[2.2.2]-octane; 1,4-diazabicyclo[2.2.2]-octane(DABCO); 2-methyl-1,4-diazabicyclo[2.2.2]-octane; 2,6-dimethyl-1,4-diazabicyclo[2.2.2]-octane; 2,5-dimethyl-1,4-diazabicyclo[2.2.2]-octan e; 1,5-diazabicyclo[3.2.2]-nonane, and 6-methyl-1,5-diazabicyclo[3.2.2]-nonane, or their salts.", "In a preferred embodiment of the present invention, the intermediates represented by formulae (1) and (2) in the present invention can be obtained through the following steps: at −20° C. to 60° C., in the existence of sodium methoxide, on the basis of the total mole quantity of the compound represented by formula (3), mixing 1 mol compound represented by formula (3) with 0.5-2 mol 4,6-dihalogenated pyrimidine and 0.0005-1 mol catalyst (azabicyclic compound or its salt), and anhydrous potassium carbonate and/or methyl formate in 0.1-5 mol total quantity.", "In another aspect of the present invention, the present invention further provides a method for preparing azoxystrobin, comprising the following steps: a) preparing a mixture of intermediates represented by formulae (1) and (2) with the method provided in the present invention; b) controlling the mixture obtained in step a) to react for 1-3h at 95-105° C., in the existence of dimethyl sulfate in a catalytic amount, so that the intermediate represented by formula (1) is transformed into the intermediate represented by formula (2); c) controlling the intermediate represented by formula (2) in step b) to react with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, to obtain an azoxystrobin compound represented by formula (4).", "In the present invention, the intermediate represented by formula (2) reacts with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, and the mole ratio of the intermediate represented by formula (2) to the 2-cyanophenol or its salt can be 1:0.7-1.2.Preferably, the conditions of the reaction between the intermediate represented by formula (2) and 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt further include: the intermediate represented by formula (2) contacts with 2-cyanophenol or its salt and butyl acetate, and the contact conditions include: reaction temperature of 40-120° C. and reaction time of 30-300 min.", "More preferably, the reaction temperature is 60° C.-100° C., and the reaction time is 60-250 min.", "In another aspect of the present invention, the present invention further provides a method for preparing azoxystrobin, comprising the following steps: a) controlling the compound represented by formula (3) to react with sodium methoxide and 4,6-dichloropyrimidine for 1-3h at 10-15° C., in the existence of an azabicyclic compound or its salt, to obtain a mixture of intermediates represented by formulae (1) and (2); b) controlling the mixture obtained in step a) to react for 1-3h at 95-105° C., in the existence of dimethyl sulfate in a catalytic amount, so that the intermediate represented by formula (1) is transformed into the intermediate represented by formula (2); c) controlling the intermediate represented by formula (2) in step b) to react with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, to obtain an azoxystrobin compound represented by formula (4).", "In the present invention, the conditions of the reaction between the intermediate represented by formula (2) in step c) and 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt include: reaction temperature of 75° C.-125° C. and reaction time of 3-6h.", "Preferably, the reaction temperature is 80° C.-100° C., and the reaction time is 4-5h.", "According to a preferred embodiment of the present invention, the azoxystrobin compound represented by formula (4) in the present invention can be obtained through the following steps: a) at −20° C. to 60° C., in the existence of azabicyclic or its salt that serve as the catalyst, on the basis of the total mole quantity of the compound represented by formula (3), mixing 1 mol compound represented by formula (3) with 0.5-2 mol 4,6-dihalogenated pyrimidine, and anhydrous potassium carbonate and/or methyl formate in 0.1-5 mol total quantity, to obtain a mixture of the intermediates represented by formulae (1) and (2).", "b) controlling the mixture obtained in step a) to react for 1-3h at 95-105° C., in the existence of dimethyl sulfate in a catalytic amount, to obtain the intermediate represented by formula (2); c) controlling the intermediate represented by formula (2) obtained in step b) to react with 2-cyanophenol or its salt under the catalytic action of an azabicyclic compound or its salt, to obtain an azoxystrobin compound represented by formula (4); adding 0.7-1.2 mol 2-cyanophenol or its salt into the intermediate represented by formula (2) and controlling the reaction to proceed for 0.5-5h at 40° C.-120° C. in the existence of 0.0005-1 mol azabicyclic compound or its salt that serve as the catalyst and butyl acetate in solvent amount; thus, the azoxystrobin compound represented by formula (4) is obtained.", "Hereunder the present invention will be further detailed in some embodiments.", "In the following embodiments, the compound represented by formula (3) is prepared in the laboratory from benzofuran-2-(3H)-ketone with a known method (WO9208703), and its purity is 97 wt.", "%.", "In the following embodiments, the total yield ratio is calculated with the following formula: Yield ratio=transformation ratio of the compound represented by formula (3)*selectivity Total yield ratio=molal quantity of the compound represented by formula (4)/molal quantity of benzofuran-2-(3H)-ketone×100% In the following embodiments, the purity of any compound is measured by gas chromatography (GC).", "Embodiment 1 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.206 mol 4,6-dichloropyrimidine, 0.03 mol anhydrous potassium carbonate, 0.4 mol methyl formate, and 0.008 mol 2-methyl-1,4-diazabicyclo[2,2,2]-octane into a reaction bulb sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 12 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92.3%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 78:22; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.5%, the selectivity is 93.2%, and the yield ratio is 92.7%.", "Embodiment 2 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.16 mol 4,6-dichloropyrimidine, 0.01 mol anhydrous potassium carbonate, 0.1 mol methyl formate, and 0.0002 mol DABCO into a reaction bulb sequentially, start stirring, cool down to −10° C., and then control the temperature at −10 to 20° C., add 9.6 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring.", "Measured by GC, the content of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.2%, the selectivity is 92.7%, and the yield ratio is 92%.", "Embodiment 3 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.3 mol 4,6-dichloropyrimidine, 0.16 mol anhydrous potassium carbonate, 0.6 mol methyl formate, and 0.02 mol 1′-azaspiro[1,3]-dioxolane-2,3′-bicyclo[2,2,2]-octane into a reaction bulb sequentially, start stirring, cool down to 10° C., and then control the temperature at 15-40° C., add 14.4 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91.7%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 79:21; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.3%, the selectivity is 91.9%, and the yield ratio is 91.3%.", "Embodiment 4 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.206 mol 4,6-dichloropyrimidine, 0.03 mol anhydrous potassium carbonate, 0.4 mol methyl formate, and 0.008 mol 2,6-dimethyl-1,4-diazabicyclo[2.2.2]-octane into a reaction bulb sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 13 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 90%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.4%, the selectivity is 91.2%, and the yield ratio is 90.7%.", "Embodiment 5 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.206 mol 4,6-dichloropyrimidine, 0.03 mol anhydrous potassium carbonate, 0.4 mol methyl formate, and 0.008 mol 2,5-dimethyl-1,4-diazabicyclo[2.2.2]-octane into a reaction bulb sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 10 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 1h., and then let the reaction proceed for 0.5h.", "while stirring.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91.8%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 80:20; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.0%, the selectivity is 92.1%, and the yield ratio is 91.2%.", "Embodiment 6 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Under nitrogen protection, add 200 ml dry methyl benzene, 0.20 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone, 0.206 mol 4,6-dichloropyrimidine, 0.03 mol anhydrous potassium carbonate, 0.4 mol methyl formate, and 0.008 mol 1,5-diazabicyclo[3.2.2]-nonane into a reaction bulb sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 10 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91.3%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 81:19; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.4%, the selectivity is 90.7%, and the yield ratio is 90.2%.", "Embodiment 7 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but anhydrous potassium carbonate and methyl formate are not added in the reaction.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89.5%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 77:23; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 97.2%, the selectivity is 91.2%, and the yield ratio is 88.6%.", "Embodiment 8 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but methyl formate is not added in the reaction.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 98.7%, the selectivity is 90.8%, and the yield ratio is 89.6%.", "Embodiment 9 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but anhydrous potassium carbonate is not added in the reaction.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 98.2%, the selectivity is 90.7%, and the yield ratio is 89.1%.", "Embodiment 10 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the usage amount of the first coupling catalyst 2-methyl-1,4-diazabicyclo[2,2,2]-octane is 0.004 mol.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 72:28; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.0%, the selectivity is 92.7%, and the yield ratio is 91.8%.", "Embodiment 11 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the usage amount of the first coupling catalyst 2-methyl-1,4-diazabicyclo[2,2,2]-octane is 0.002 mol.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 72:28; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.1%, the selectivity is 91.6%, and the yield ratio is 90.8%.", "Embodiment 12 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the usage amount of the first coupling catalyst 2-methyl-1,4-diazabicyclo[2,2,2]-octane is 0.001 mol.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89.7%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 71:29; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 98.3%, the selectivity is 90.3%, and the yield ratio is 88.8%.", "Embodiment 13 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the usage amount of the first coupling catalyst 2-methyl-1,4-diazabicyclo[2,2,2]-octane is 0.016 mol.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89.1%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 71:29; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.5%, the selectivity is 89.5%, and the yield ratio is 89.1%.", "Embodiment 14 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the adding duration of sodium methoxide is 30 min., and sample analysis is carried out after the adding operation.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89.3%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 78:22; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 97.5%, the selectivity is 91.0%, and the yield ratio is 88.7%.", "Embodiment 15 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the adding duration of sodium methoxide is 90 min., and sample analysis is carried out after the adding operation.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91.3%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 79:21; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.3%, the selectivity is 89.5%, and the yield ratio is 88.9%.", "Embodiment 16 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but the adding duration of sodium methoxide is 150 min., and sample analysis is carried out after the adding operation.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 89.3%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 79:21; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.5%, the selectivity is 87.8%, and the yield ratio is 87.4%.", "Embodiment 17 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but dimethyl benzene is used as the solvent.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91.8%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.1%, the selectivity is 92.7%, and the yield ratio is 91.9%.", "Embodiment 18 This embodiment is provided to describe the method for preparing the azoxystrobin intermediates represented by formulae (1) and (2) in the present invention.", "Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate with the method described in embodiment 1, but chlorobenzene is used as the solvent.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92.1%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 77:23; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 99.3%, the selectivity is 92.3%, and the yield ratio is 91.7%.", "Comparative Example 1 Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate with the method provided in WO9208703.Under nitrogen protection, dissolve 0.05 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone in 100 ml tetrahydrofuran.", "Add 0.05 mol sodium methoxide and 0.05 mol methanol into the solution.", "The heat release is strong in the adding operation (the temperature rises from 20° C. to 45° C.).", "Cool down to 20° C., stir for 15 min., add 0.05 mol 4,6-dichloropyrimidine, and then stir for 22h.", "Filter, wash the filter cake with methylene chloride, condense the filtrate by reduced pressure condensing, and then dissolve with methylene chloride, wash with water, and then condense the organic phase after stratification, to obtain viscous oily methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate.", "Measured by GC, the content of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate is 54.3%; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 95.4%, the selectivity is 47.4%, and the yield ratio is 45.2%.", "Comparative Example 2 Prepare methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate with the method provided in CN102311392A.", "Mix 0.1 mol 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone and 0.05 mol potassium carbonate in 80 ml methyl benzene solvent, cool down to 0° C., add 5 mL 28 wt.", "% methanol solution of sodium methoxide, and let the reaction proceed for 25 min.", "Add 0.11 mol 4,6-dichloropyrimidine and 0.006 mol DABCO catalyst into the previous reaction solution, let the reaction to proceed for 60 min., and then filter to remove the inorganic salts, wash the filtrate with water, and recover methyl benzene by distillation, to obtain viscous oily methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate.", "Measured by GC, the content of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate is 65.7%; the transformation ratio of 3-(α-methoxy)methylene benzofuran-2-(3H)-ketone is 94.7%, the selectivity is 48.1%, and the yield ratio is 55.2%.", "It can be seen from the embodiments 1-18 and comparative examples 1-2: compared with the preparation methods in the comparative examples, the method prepared methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate provided in the present invention apparently has higher transformation ratio, higher selectivity, and higher yield ratio.", "In addition, with the method provided in the present invention, (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is synthesized in one step; thus, the drawback of unstable intermediate products in the methods in the prior art is overcome.", "Compared with synthetic methods in the prior art, with the method provided in the present invention, the reaction time is shortened greatly, and the production efficiency is improved.", "Embodiment 19 This embodiment is provided to describe the method for preparing the azoxystrobin compound represented by formula (4) in a one-pot approach in the present invention.", "Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 1,4-diazabicyclo[2.2.2]-octane into 100 mL methyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24.Next, add 100 g water into the reaction bulb, stir so that the solid is dissolved; keep the solution still for stratification, and then condense the organic phase, to obtain viscous liquid.", "Add 0.003 mol dimethyl sulfate into the obtained viscous liquid, heat up to 110° C. in negative pressure state, keep at the temperature and let the reaction proceed for 60 min.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 0.8:99.2; a viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is obtained.", "Add 80 ml butyl acetate, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2-methyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 85° C. while stirring, keep at the temperature and let the reaction proceed for 200 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, add 50 ml water into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with butyl acetate, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat, and wash; then, filter and dry; thus, 27.8 g (0.0690 mol) light yellow solid is obtained, the purity is 99.5%, and the yield ratio is 69.0%.", "Embodiment 20 This embodiment is provided to describe the method for preparing the azoxystrobin compound represented by formula (4) in a one-pot approach in the present invention.", "Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 2-methyl-1,4-diazabicyclo[2.2.2]-octane into 100 mL dimethyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24.Next, distill out methyl formate and methanol under negative pressure; after the end of desolventizing under negative pressure, add 50 g water into the reaction bulb, stir so that the solid is dissolved, keep the solution still for stratification, and then condense the organic phase, to obtain viscous liquid.", "Add 0.003 mol dimethyl sulfate and 0.1 mol acetic anhydride into the obtained viscous liquid, heat up to 105° C., keep at the temperature and reflux, and let the reaction proceed for 60 min.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 1.0:99; condense the reaction liquid under negative pressure to remove the solvent, to obtain viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate.", "Add 80 ml butyl acetate, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2,6-dimethyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 100° C. while stirring, keep at the temperature and let the reaction proceed for 250 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, add 50 ml water into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with butyl acetate, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat and wash; then, filter and dry; thus, 28.1 g (0.0697 mol) light yellow solid is obtained, the purity is 99.6%, and the yield ratio is 69.7%.", "Embodiment 21 This embodiment is provided to describe the method for preparing the azoxystrobin compound represented by formula (4) in a one-pot approach in the present invention.", "Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 2.6-dimethyl-1,4-diazabicyclo[2.2.2]-octane into 100 mL dimethyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 1.0:99; condense the reaction liquid under negative pressure to remove the solvent, to obtain viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate.", "Add 80 ml butyl acetate, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2.5-dimethyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 60° C. while stirring, keep at the temperature and let the reaction proceed for 60 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, add 50 ml water into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with butyl acetate, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat and wash; then, filter and dry; thus, 27.3 g (0.0677 mol) light yellow solid is obtained, the purity is 99.4%, and the yield ratio is 67.7%.", "Embodiment 22 This embodiment is provided to describe the method for preparing the azoxystrobin compound represented by formula (4) in a one-pot approach in the present invention.", "Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 2.5-dimethyl-1,4-diazabicyclo[2.2.2]-octane into 100 mL dimethyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and then let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24.Next, distill out methyl formate and methanol under negative pressure; after the end of desolventizing under negative pressure, add 50 g water into the reaction bulb, stir so that the solid is dissolved, keep the solution still for stratification, and then condense the organic phase, to obtain viscous liquid.", "Add 0.003 mol dimethyl sulfate (99%, 0.38 g) and 0.1 mol acetic anhydride into the obtained viscous liquid, heat up to 105° C., keep at the temperature and reflux, and let the reaction proceed for 60 min.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 1.0:99; condense the reaction liquid under negative pressure to remove the solvent, to obtain viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate.", "Add 80 ml butyl acetate, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2.5-dimethyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 100° C. while stirring, keep at the temperature and let the reaction proceed for 200 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, add 50 ml water into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with butyl acetate, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat and wash; then, filter and dry; thus, 27.3 g (0.0677 mol) light yellow solid is obtained, the purity is 99.4%, and the yield ratio is 67.7%.", "Comparative Example 3 Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 1,4-diazabicyclo[2.2.2]-octane into 100 mL methyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24.Next, add 100 g water into the reaction bulb, stir so that the solid is dissolved; keep the solution still for stratification, and then condense the organic phase, to obtain viscous liquid.", "Add 0.003 mol dimethyl sulfate into the obtained viscous liquid, heat up to 110° C. in negative pressure state, keep at the temperature and let the reaction proceed for 60 min.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 1.0:99; a viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is obtained.", "Add 80 ml DMF, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2-methyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 100° C. while stirring, keep at the temperature and let the reaction proceed for 120 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, desolventize under negative pressure to remove DMF, and then add 50 ml water and 80 ml butyl acetate into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with butyl acetate, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat, and wash; then, filter and dry; thus, 26.1 g (0.0648 mol) light yellow solid is obtained, the purity is 99.5%, and the yield ratio is 64.8%.", "Comparative Example 4 Under nitrogen protection, add 0.1 mol 4,6-dichloropyrimidine, 0.015 mol anhydrous potassium carbonate, 0.15 mol methyl formate, and 0.002 mol 1,4-diazabicyclo[2.2.2]-octane into 100 mL methyl benzene solution of 0.1 mol 3-(t-methoxy)methylene benzofuran-2-(3H)-ketone sequentially, start stirring, cool down to 5° C., and then control the temperature at 5-20° C., add 5 mL 29 wt.", "% methanol solution of sodium methoxide in droplets within 60 min., and let the reaction proceed for 30 min.", "while stirring, to obtain a solid-containing mixture.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 92%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 76:24.Next, add 100 g water into the reaction bulb, stir so that the solid is dissolved; keep the solution still for stratification, and then condense the organic phase, to obtain viscous liquid.", "Add 0.003 mol dimethyl sulfate into the obtained viscous liquid, heat up to 110° C. in negative pressure state, keep at the temperature and let the reaction proceed for 60 min.", "Measured by GC, the content of the mixture of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate and methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 91%; measured by LC, the ratio of methyl 2-(2-((6-chloropyridinyl-4-)oxy)phenyl)-3,3-dimethoxyacrylate to methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is 1.0:99; a viscous liquid compound methyl (E)-2-{2-[6-chloropyrimidinyl-4-oxy]phenyl}-3-methoxyacrylate is obtained.", "Add 80 ml DMSO, 0.085 mol 2-cyanophenol, 0.095 mol anhydrous potassium carbonate, and 0.003 mol 2-methyl-1,4-diazabicyclo[2.2.2]-octane into the obtained viscous liquid above, heat up the reaction mixture to 100° C. while stirring, keep at the temperature and let the reaction proceed for 120 min., and monitor the reaction situation with a gas chromatograph (GC).", "When the GC indicates that the normalized area of methyl (E)-2-[2-(6-chloropyrimidinyl-4-oxy)phenyl]-3-methoxyacrylate is smaller than 1%, desolventize under negative pressure to remove DMSO, and then add 50 ml water and 80 ml methyl benzene into the reaction system, stir for 10 min., keep the solution still for 10 min.", "at 80° C. for stratification, and then remove the aqueous phase, and repeat washing the organic phase once by adding water again; cool down the obtained organic phase to −5° C., so that crystals precipitate; then, filter to obtain a wet filer cake of azoxystrobin, rinse the filter cake with methyl benzene, and heat up the rinsed filter cake to approx.", "50-60° C. with 50 ml methanol, beat, and wash; then, filter and dry; thus, 26.1 g (0.0648 mol) light yellow solid is obtained, the purity is 99.1%, and the yield ratio is 64.8%.", "It can be seen from embodiments 19-22 and comparative examples 3-4: with the method provided in the present invention, the operation for preparing azoxystrobin is simple, and the intermediate product refining steps are avoided.", "In addition, in the azoxystrobin preparation method provided in the present invention, the product can directly precipitate from the solvent in the late stage of reaction; thus, not only the azoxystrobin production process is simplified, but also the industrial production cost of azoxystrobin is reduced substantially.", "While some preferred embodiments of the present invention are described above, the present invention is not limited to the details in those embodiments.", "Those skilled in the art can make modifications and variations to the technical scheme of the present invention, without departing from the spirit of the present invention.", "However, all these modifications and variations shall be deemed as falling into the protected domain of the present invention.", "In addition, it should be noted that the specific technical features described in above embodiments can be combined in any appropriate form, provided that there is no conflict.", "To avoid unnecessary repetition, the possible combinations are not described specifically in the present invention.", "Moreover, different embodiments of the present invention can be combined freely as required, as long as the combinations don't deviate from the ideal and spirit of the present invention.", "However, such combinations shall also be deemed as falling into the scope disclosed in the present invention." ] ]
Patent_15875569
[ [ "SEMICONDUCTOR DEVICE HAVING CAL LATENCY FUNCTION", "One controller for controlling operation of a memory device includes an output circuit configured to supply a chip select signal, an address signal, a command signal, and a clock signal to the memory device, and a data processing circuit configured to process read data and write data through a data terminal based on the chip select signal, the address signal, the command signal, and the clock signal supplied by the output circuit.", "The controller is configured to supply the address signal and the command signal to the memory device a predetermined duration after the output circuit supplies the chip select signal." ], [ "1.A controller for controlling operation of a memory device, the controller comprising: an output circuit configured to: supply a chip select signal, an address signal, a command signal, and a clock signal to the memory device; and a data processing circuit configured to process read data and write data through a data terminal based on the chip select signal, the address signal, the command signal, and the clock signal supplied by the output circuit; wherein the controller is configured to supply the address signal and the command signal to the memory device a predetermined duration after the output circuit supplies the chip select signal.", "2.The controller of claim 1, wherein the memory device is a DRAM.", "3.The controller of claim 1, wherein the controller is configured to set a mode register with an enable setting that corresponds to the predetermined duration.", "4.The controller of claim 3, wherein the controller is configured to set the mode register with a predetermined latency.", "5.The controller of claim 1, wherein, in response to the output circuit supplying the chip select signal, a command receiver and an address receiver change from an inactive state to an active state.", "6.The controller of claim 5, wherein, in response to the output circuit supplying the chip select signal, the command receiver and the address receiver change from the inactive state to the active state a predetermined time after the output circuit supplies the chip select signal.", "7.The controller of claim 6, wherein, in response to the output circuit supplying the chip select signal and the command receiver changing to the active state, the command receiver receives the command signal and produces an internal command signal.", "8.The controller of claim 7, wherein, in response to the output circuit supplying the chip select signal and the address receiver changing to the active state, the address receiver receives the address signal and produces an internal address signal.", "9.A system comprising: a controller; and a memory device; wherein the controller comprises: an output circuit configured to supply a chip select signal, an address signal, a command signal, and a clock signal to the memory device; and a data processing circuit configured to process read data and write data through a data terminal based on the chip select signal, the address signal, the command signal, and the clock signal supplied by the output circuit; wherein the controller is configured to supply the address signal and the command signal to the memory device a predetermined duration after the output circuit supplies the chip select signal.", "10.The system of claim 9, further comprising a mode register, wherein the controller is configured to set the mode register with an enable setting that corresponds to the predetermined duration.", "11.The system of claim 10, wherein the controller is configured to set the mode register with a predetermined latency.", "12.The system of claim 9, further comprising a command receiver and an address receiver that change from an inactive state to an active state in response to the output circuit supplying the chip select signal.", "13.The system of claim 12, wherein the command receiver and the address receiver change from the inactive state to the active state a predetermined time after the output circuit supplies the chip select signal.", "14.The system of claim 13, wherein the command receiver receives the command signal and produces an internal command signal in response to the output circuit supplying the chip select signal and the command receiver changing to the active state.", "15.The system of claim 14, wherein the address receiver receives the address signal and produces an internal address signal in response to the output circuit supplying the chip select signal and the address receiver changing to the active state.", "16.The system of claim 15, further comprising a latency control circuit that signals to a receiver control circuit that the predetermined time has lapsed, and the receiver control circuit activates an enable signal that changes the command receiver and the address receiver from the inactive state to the active state.", "17.The system of claim 9, wherein the memory device is a DRAM.", "18.A method for controlling operation of a memory device, the method comprising: supplying a chip select signal, an address signal, a command signal, and a clock signal from an output circuit to the memory device; processing, by a data processing circuit, read data and write data through a data terminal based on the chip select signal, the address signal, the command signal, and the clock signal supplied by the output circuit; and supplying the address signal and the command signal to the memory device a predetermined duration after the output circuit supplies the chip select signal.", "19.The method of claim 18, further comprising setting a mode register with an enable setting that corresponds to the predetermined duration.", "20.The method of claim 19, further comprising setting the mode register with a predetermined latency." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> SUMMARY <EOH>In one embodiment, there is provided a semiconductor device having a memory array, the method includes receiving a mode register command to set a command latency value in a mode register; receiving a chip select signal; activating a command receiver in response to the chip select signal; receiving, with the command receiver, an access command with a first latency from the chip select signal equal to the command latency value; accessing the memory array in response to the access command; and deactivating the command receiver with a second latency from the chip select signal equal to a deactivation latency value, In another embodiment, there is provided a semiconductor device that includes: a command receiver receiving a command signal supplied from outside via a command terminal to generate an internal command signal; and a control circuit activating an internal chip select signal after elapse of a first latency since a chip select signal supplied from outside via a chip select terminal is activated.", "The control circuit activates the command receiver in response to the chip select signal, and deactivates the command receiver when the chip select signal is maintained in an inactive state during a second latency that is larger than the first latency.", "In still another embodiment, there is provided an information processing system that includes: a controller that outputs a chip select signal and a command signal; and a semiconductor device.", "The semiconductor device includes: a command receiver receiving the command signal supplied from the controller to generate an internal command signal; and a control circuit activating an internal chip select signal after elapse of a first latency since the chip select signal supplied from the controller is activated.", "The control circuit activates the command receiver in response to the chip select signal, and deactivates the command receiver when the chip select signal is maintained in an inactive state during a second latency that is larger than the first latency.", "According to the present invention, the active state of the command receiver is maintained even if the chip select signal changes frequently in a short period.", "This reduces charging and discharging currents resulting from frequent repetitions of activation and deactivation of the command receiver, whereby the power consumption of the semiconductor device can be reduced." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present application is a Continuation Application of U.S. patent application Ser.", "No.", "15/403,513 filed on Jan. 11, 2017, now U.S. Pat.", "No.", "9,886,994 which issued on Feb. 6, 2018, which is a Continuation Application of U.S. patent application Ser.", "No.", "15/229,417 filed on Aug. 5, 2016, now U.S. Pat.", "No.", "9,576,639, which is a Continuation Application of U.S. patent application Ser.", "No.", "14/733,924 filed on Jun.", "8, 2015, now U.S. Pat.", "No.", "9,455,019 which issued on Sep 27, 2016, which is a Continuation Application of U.S. patent application Ser.", "No.", "14/268,827 filed on May 2, 2014, now U.S. Pat.", "No.", "9,053,775 which issued on Jun.", "9, 2015, which is a Continuation Application of U.S. patent application Ser.", "No.", "13/615,430 filed on Sep. 13, 2012, now U.S. Pat.", "No.", "8,743,652 which issued on Jun.", "3, 2014, which is based on and claims priority from Japanese Patent Application No.", "2011-212142, filed on Sep. 28, 2011, all of which are incorporated herein by reference in their entirety.", "BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to a semiconductor device and an information processing system including the same, and more particularly to a semiconductor device that can issue a command signal and the like at timing different from that of a chip select signal and an information processing system including the same.", "Description of Related Art Semiconductor memory devices typified by a dynamic random access memory (DRAM receive an address signal and a command signal supplied from a controller, and access the memory cell array based on the signals.", "The address signal and the command signal are enabled when a chip select signal supplied from the controller is activated.", "In principle, the controller therefore needs to issue the address signal and the command signal with the chip select signal activated.", "DDR4 (Double Data Rate 4) DRAMs have recently been proposed as DRAMs even faster than DDR3 (Double Data Rate 3) DRAMs.", "DDR4 DRAMs support a new function called “CS_n to command address latency (CAL latency)”.", "The CAL latency means that the controller supplies an address signal and a command signal to a semiconductor device after a predetermined time (predetermined latency) since the controller supplies a chip select signal to the semiconductor device and the semiconductor device receives the address signal and the command signal after a predetermined time (predetermined latency) since the reception of the chip select signal.", "That is, the CAL latency is a function that allows input of the address signal and the command signal after a lapse of a predetermined latency since the reception of the chip select signal with respect to the semiconductor device.", "Such a function can be used to grasp the input timing of the address signal and the command signal on the semiconductor device (semiconductor memory device) side.", "Address receivers and command receivers can thus be deactivated in periods where the address signal and the command signal are not input.", "This allows a reduction in power consumption.", "A semiconductor device that can issue a command signal and the like at timing different from that of a chip select signal is also described in Japanese Patent Application Laid-Open No.", "2000-285674.What timing to change the address receivers and the command receivers from an inactive state to an active state after a lapse of the CAL latency since the activation of the chip select signal, and what timing to change the receivers from an active state to an inactive state, may be determined in consideration of the CAL latency and power consumption.", "There are three important factors concerned, including the power consumption of the receivers, the power consumption caused by control signals for controlling the activation and deactivation of the receivers, and the value of the CAL latency.", "For example, Japanese Patent Application Laid-Open No.", "2000-285674 discusses that an enable signal is activated at timing ½ clock cycles after the activation of a chip select signal, and the enable signal is deactivated at timing one clock cycle later.", "According to the semiconductor device described in Japanese Patent Application Laid-Open No.", "2000-285674, the enable signal has a waveform that changes with the chip select signal.", "If the chip select signal changes frequently in a short period, the enable signal also changes frequently in a short time.", "In such a case, the semiconductor device fails to provide a sufficient effect for reducing power consumption including the charging and discharging currents of the enable signal.", "The reason is that the enable signal, supplied to a large number of address receivers and command receivers, is a high-load internal signal in the semiconductor device.", "SUMMARY In one embodiment, there is provided a semiconductor device having a memory array, the method includes receiving a mode register command to set a command latency value in a mode register; receiving a chip select signal; activating a command receiver in response to the chip select signal; receiving, with the command receiver, an access command with a first latency from the chip select signal equal to the command latency value; accessing the memory array in response to the access command; and deactivating the command receiver with a second latency from the chip select signal equal to a deactivation latency value, In another embodiment, there is provided a semiconductor device that includes: a command receiver receiving a command signal supplied from outside via a command terminal to generate an internal command signal; and a control circuit activating an internal chip select signal after elapse of a first latency since a chip select signal supplied from outside via a chip select terminal is activated.", "The control circuit activates the command receiver in response to the chip select signal, and deactivates the command receiver when the chip select signal is maintained in an inactive state during a second latency that is larger than the first latency.", "In still another embodiment, there is provided an information processing system that includes: a controller that outputs a chip select signal and a command signal; and a semiconductor device.", "The semiconductor device includes: a command receiver receiving the command signal supplied from the controller to generate an internal command signal; and a control circuit activating an internal chip select signal after elapse of a first latency since the chip select signal supplied from the controller is activated.", "The control circuit activates the command receiver in response to the chip select signal, and deactivates the command receiver when the chip select signal is maintained in an inactive state during a second latency that is larger than the first latency.", "According to the present invention, the active state of the command receiver is maintained even if the chip select signal changes frequently in a short period.", "This reduces charging and discharging currents resulting from frequent repetitions of activation and deactivation of the command receiver, whereby the power consumption of the semiconductor device can be reduced.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a block diagram for explaining the principle of an embodiment of the present invention; FIG.", "2 is a block diagram indicative of an embodiment of a semiconductor device 10a according to a first preferred embodiment of the present invention and mainly shows details of circuit blocks belonging to an access control circuit 20 shown in FIG.", "1; FIG.", "3 is a circuit diagram indicative of an embodiment of a latency control circuit 100; FIG.", "4 is a circuit diagram indicative of an embodiment of a receiver control circuit 200; FIG.", "5 is an example of a truth table for explaining an operation of a command decoder 82; FIG.", "6 is a timing chart for explaining the operation of the semiconductor device 10a according to the first embodiment and shows operations in a CALON mode; FIG.", "7 is a block diagram indicative of an embodiment of a semiconductor device 10b according to a second preferred embodiment of the present invention and mainly shows details of circuit blocks belonging to the access control circuit 20 shown in FIG.", "1; and FIG.", "8 is a timing chart for explaining the operation of the semiconductor device 10b according to the second embodiment and shows operations in a CALON mode.", "DETAILED DESCRIPTION OF THE EMBODIMENTS A representative example of the technical concept of an embodiment of the present invention for solving the problem will be described below.", "It will be understood that what the present invention claims are not limited to such a technical concept but set forth in the claims of the present invention.", "More specifically, the present embodiment includes: changing a command receiver from an inactive state to an active state in response to the activation of a chip select signal; and changing the command receiver from the active state to the inactive state on the condition that the chip select signal has been maintained in an inactive state for a time (second latency) longer than a CAL latency (first latency).", "In other words, the active state of the command receiver corresponding to a first chip select signal supplied to the semiconductor device is changed to the inactive state on the condition that a second chip select signal is not supplied (i.e., the chip select signal has been maintained in an inactive state) in a second latency period corresponding to the first chip select signal.", "Consequently, the active state of the command receiver is maintained even if the chip select signal changes frequently in a short period.", "This reduces charging and discharging currents resulting from the control of the command receiver.", "Referring now to FIG.", "1, an information processing system including a controller 50 and a semiconductor device 10 is shown.", "The semiconductor device 10 shown in FIG.", "1 is a semiconductor memory device such as a clock synchronization type DRAM.", "The semiconductor device 10 includes a memory cell array 11.The memory cell array 11 includes a plurality of word lines WL and a plurality of bit lines BL which intersect each other.", "Memory cells MC are arranged at the intersections.", "The word lines WL are selected by a row decoder 12.The bit lines BL are selected by a column decoder 13.The bit lines BL are connected to respective corresponding sense amplifiers SA in a sense circuit 14.Bit lines BL selected by the column decoder 13 are connected to an amplifier circuit 15 through sense amplifiers SA.", "The operation of the row decoder 12, the column decoder 13, the sense circuit 14, and the amplifier circuit 15 is controlled by an access control circuit 20.An address signal ADD, a command signal CMD, a chip select signal CS, and a clock signal CK are supplied to the access control circuit 20 through terminals 21 to 24.Based on such signals, the access control circuit 20 controls the row decoder 12, the column decoder 13, the sense circuit 14.the amplifier circuit 15, and a data input/output circuit 30.Specifically, if the command signal CMD is an active command, the address signal ADD is supplied to the row decoder 12.In response to this, the row decoder 12 selects a word line WL that is designated by the address signal ADD, whereby corresponding memory cells MC are connected to respective bit lines BL.", "The access control circuit 20 then activates the sense circuit 14 at predetermined timing.", "On the other hand, if the command signal CMD is a read command or a write command, the address signal ADD is supplied to the column decoder 13.In response to this, the column decoder 13 connects bit lines BL designated by the address signal ADD to the amplifier circuit 15.Consequently, in a read operation, read data DQ read from the memory cell array 11 through sense amplifiers SA is output from a data terminal 31 to outside through the amplifier circuit 15 and the data input/output circuit 30.In a write operation, write data DQ supplied from outside through the data terminal 31 and the data input/output circuit 30 is written to memory cells MC through the amplifier circuit 15 and sense amplifiers SA.", "As shown in FIG.", "1, the access control circuit 20 includes address receivers 90a, command receivers 90b, a latency control circuit, and receiver control circuit 200.The address receivers 90a are circuits that accept the address signal ADD supplied from the controller 50 through address terminals 21.The address signal ADD includes a plurality of bits.", "There are a plurality of address terminals 21 and a plurality of address receivers 90a corresponding to the respective plurality of bits.", "The command receivers 90b are circuits that accept the command signal CMD supplied from the controller 50 through command terminals 22.The command signal CMD includes a plurality of bits.", "There are a plurality of command terminals 22 and a plurality of command receivers 90b corresponding to the respective plurality of bits.", "The address receivers 90a and the command receivers 90b are activated based on an enable signal REN.", "If the enable signal REN is in an inactive state, the address receivers 90a and the command receivers 90b are also deactivated.", "Thus, power consumption is reduced.", "In the present embodiment, the enable signal REN may be referred to as a “first control signal”.", "The latency control circuit 100 is a circuit that receives the chip select signal CS supplied from the controller 50 through the chip select terminal 23 and delays the chip select signal CS by a predetermined latency.", "The latency control circuit 100 performs delay operations in synchronization with the clock signal CK.", "The clock signal CK is a synchronization signal having a predetermined period.", "The clock signal CK is supplied from the controller 50 through the clock terminal 24.The latency control circuit 100 performs two types of delay operations.", "A first operation includes enabling internal address signals and internal command signals output from the address receivers 90a and the command receivers 90b in response to a lapse of a first latency since the activation of the chip select signal CS.", "A second operation includes resetting the receiver control circuit 200 in response to the fact that the chip select signal CS has maintained the inactive state for a second latency longer than the first latency after the activation of the chip select signal CS.", "In the present embodiment, the first latency may be referred to as a “first time”.", "The receiver control circuit 200 is set in response to the activation of the chip select signal CS.", "The receiver control circuit 200, when set, activates the enable signal REN.", "The receiver control circuit 200 is reset in response to a lapse of the foregoing second latency since the activation of the chip select signal CS.", "The resetting of the receiver control circuit 200 deactivates the enable signal REN.", "The foregoing circuit blocks operate with respective predetermined internal voltages as their power supply.", "The internal power supplies are generated by a power supply circuit 40 shown in FIG.", "1.The power supply circuit 40 receives an external potential VDD and a ground potential VSS supplied through power supply terminals 41 and 42, respectively.", "Based on the potentials, the power supply circuit 40 generates internal voltages VPP, VPERI, VARY, etc.", "The internal potential VPP is generated by boosting the external potential VDD.", "The internal potentials VPERI and VARY are generated by stepping down the external potential VDD.", "The internal voltage VPP is a voltage that is mainly used in the row decoder 12.The row decoder 12 drives a word line WL that is selected based on the address signal ADD to the VPP level, thereby making the cell transistors included in memory cells MC conducting.", "The internal.", "voltage VARY is a voltage that is mainly used in the sense circuit 14.The sense circuit 14, when activated, drives either one of each pair of bit lines to the VARY level and the other to the VSS level, thereby amplifying read data that is read out.", "The internal voltage VPERI is used as the operating voltage of most of the peripheral circuits such as the access control circuit 20.The use of the internal voltage VPERI lower than the external voltage VDD as the operating voltage of the peripheral circuits reduces the power consumption of the semiconductor device 10.Now, the controller 50 includes an output circuit 60 and a data processing circuit 70.The output circuit 60 is a circuit for supplying the address signal ADD, the command signal CMD, the chip select signal CS, and the clock signal CK to the semiconductor device 10 through terminals 61 to 64.The data processing circuit 70 is a circuit that processes read data DQ and write data DQ input/output through a data terminal 71.When the controller 50 accesses the semiconductor device 10, the controller 50 supplies the address signal ADD and the command signal CMD to the semiconductor device 10 after a lapse of a predetermined latency.", "Consequently, in a period where neither of the address signal ADD and the command signal CMD is supplied from the controller 50, the address receivers 90a and the command receivers 90 h of the semiconductor device 10 are deactivated.", "This reduces the power consumption of the receivers 90a and 90b.", "The address receivers 90a and the command receivers 90b are not deactivated immediately after a lapse of the first latency since the activation of the chip select signal CS.", "Instead, the address receivers 90a and the command receivers 90b are deactivated after a lapse of the second latency longer than the first latency.", "The receivers 90a and 90b are thus maintained in an active state if the activation and deactivation of the chip select signal CS are frequently repeated in a short period.", "Consequently, even if the activation and deactivation of the chip select signal CS are frequently repeated in a short period, it is possible to reduce the charging and discharging currents of the high-load enable signal REN due to the control of the receivers 90a and 90b.", "Preferred embodiments of the present invention will be explained below in detail with reference to the accompanying drawings.", "Turning to FIG.", "2, the access control circuit 20 includes a chip select receiver 91 and a clock receiver 92 aside from the address receivers 90a and the command receivers 90b.", "The chip select receiver 91 receives the chip select signal CS supplied from the controller 50 and generates an internal chip select signal ICS1.The clock receiver 92 receives the clock signal CK supplied from the controller 50 and generates an internal clock signal ICLK.", "The internal chip select signal ICS1 and the internal clock signal ICLK are supplied to the latency control circuit 100.Turning to FIG.", "3, the latency control circuit 100 includes a shift register 110 which includes three cascaded stages of flip-flop circuits FF1 to FF3.The internal chip select signal ICS1 is supplied to the flip-flop circuit FF1 in the first stage.", "An internal chip select signal ICS2 is output from the flip-flop circuit FF3 in the final state.", "Since the flip-flop circuits FF1 to FF3 operate in synchronization with the internal clock signal ICLK, the shift register 110 outputs the internal chip select signal ICS2 after three clock cycles since the activation of the internal chip select signal ICS1.The number of stages of the shift register 110 corresponds to the foregoing first latency.", "The internal chip select signal ICS2 is supplied to one of the input nodes of a selector 141 shown in FIG.", "2.While FIG.", "3 shows the case where the first latency is three clock cycles, the first latency need not be fixed.", "It is preferable that the value of the first latency is variable by mode setting.", "The mode setting is performed by setting a predetermined mode signal into a mode register 25 shown in FIG.", "2.The mode register 25 contains set values including one as to whether to enable or disable a CAL latency operation.", "If an operation mode for enabling a CAL latency operation (CALON mode) is set, a mode signal CALEN is activated to a high level, for example.", "If an operation mode for disabling a CAL latency operation (CALOFF mode) is set, the mode signal CALEN is deactivated to a low level, for example.", "The latency control circuit 100 further includes a bit counter 120.The bit counter 120 is a circuit that performs a count-down operation in synchronization with the internal clock signal ICLK.", "The resulting count value COUNT is supplied to a detection circuit G0 which is an OR gate circuit.", "The bit counter 120 includes a set node “set” which is intended to set the count value COUNT to an initial value.", "When the set node “set” is activated to a high level, the count value COUNT is preset to a maximum value.", "As shown in FIG.", "3, an output signal CALm2 of the flip-flop circuit FF1 is supplied to the set node “set” of the bit counter 120.This means that the bit counter 120 is preset to the maximum value after one clock cycle since the activation of the internal chip select signal ICS1.The detection circuit G0 is a circuit that detects that the count value COUNT of the bit counter 120 reaches a minimum value.", "In the present example, the count value COUNT is a three-bit binary signal.", "Counting down the count value COUNT seven times from a maximum value of “111 (=7)” reaches a minimum value of “000 (=0)”.", "In other words, an output signal RSTa of the detection circuit G0 is activated to a low level after a lapse of a total of eight clock cycles since the activation of the internal chip select signal ICS1.Note that if the internal chip select signal ICS1 is activated again within eight clock cycles since the previous activation of the internal chip select signal ICS1, the count value COUNT returns to the maximum value.", "The output signal RSTa is thus activated to a low level on the condition that the internal chip select signal ICS1 has riot been activated for eight consecutive clock cycles since the last activation of the internal chip select signal ICS1.The number of clocks corresponds to the foregoing second latency.", "In the present embodiment, the second latency (the time between when the chip select signal CS is deactivated and when the enable signal REN is deactivated to a low level) may be referred to as a “second time”.", "While FIG.", "3 shows the case where the second latency is eight clock cycles, the present invention is not limited thereto.", "Note that the second latency at least needs to be longer than the first latency.", "The reason is that if the second latency is shorter than the first latency, the receivers 90a and 90b can be deactivated at timing when the address signal ADD or the command signal CMD is input.", "The output signal RSTa of the detection circuit GO is supplied to a pulse generation circuit 130.The pulse generation circuit 130 is a circuit that activates a reset signal RST, a one-shot pulse, in response to a change of the output signal RSTa of the detection circuit GO from a high level to a low level.", "In the present embodiment, the reset signal RST may be referred to as a “second control signal”, The second control signal is supplied to the receiver control circuit 200 shown FIG.", "2.Turning to FIG.", "4, the receiver control circuit 200 includes an SR latch circuit L. A NOR gate circuit G1 receives the inverted signal of the mode signal CALEN and the internal chip select signal ICS1.The output of the NOR gate circuit G1 is supplied to a set node S of the SR latch circuit L. The inverted signal of the reset signal RST is supplied to a reset node R of the SR latch circuit L. With such a configuration, if the mode signal CALEN is activated to a high level, i.e., set to the CALON mode and the internal chip select signal ICS1 is activated, then the enable signal REN is immediately activated to a high level.", "In the present embodiment, the time between when the chip select signal CS is activated and when the enable signal REN is activated to a high level may be referred to as a “third time”.", "Subsequently, when the reset signal RST is activated, the enable signal REN is deactivated to a low level.", "The activation timing of the reset signal RST is as has been described with reference to FIG.", "3.On the other hand, if the mode signal CALEN is deactivated to a low level, i.e., set to the CALOFF mode, the enable signal REN is constantly activated to a high level.", "The enable signal REN is supplied to a receiver 90 shown in FIG.", "2.The receiver 90 is a circuit block including the address receivers 90a and the command receivers 90b.", "The receiver 90 is activated in a period when the enable signal REN is at a high level, and deactivated when the enable signal REN is at a low level.", "In the meantime, the chip select receiver 91 which receives the chip select signal CS is constantly activated.", "As shown in FIG.", "2, the internal chip select signal ICS1 and the internal chip select signal ICS2 passed through the latency control circuit 100 are supplied to the selector 141.The selector 141 selects either one of the internal chip select signals ICS1 and ICS2 based on the mode signal CALEN, and supplies the selected signal to a circuit block 80 as an internal chip select signal ICS3.Specifically, if the mode signal CALEN is deactivated to a low level, i.e., set to the CALOFF mode, the selector 141 selects the internal chip select signal ICS1.If the mode signal CALEN is activated to a high level, i.e., set to the CALON mode, the selector 141 selects the internal chip select signal ICS2.The circuit block 80 includes an address latch circuit 80a and a command decoder 80b.", "If the internal chip select signal ICS3 is activated, the circuit block 80 enables an internal address signal IADD1 and an internal command signal ICMD1.The internal address signal IADD1 refers to the output signals of the address receivers 90a.", "The internal command signal ICMD1 refers to the output signals of the command receivers 90b.", "If the internal chip select signal ICS3 is activated, the address latch circuit 80a latches the internal address signal IADD1 output from the address receivers 90a, and outputs the latched signal as an internal address signal IADD2.If the internal chip select signal ICS3 is activated, the command decoder circuit 80b decodes the internal command signal ICMD1 output from the command receivers 90b, and outputs the resultant as an internal command signal ICMD2.The internal address signal IADD2 latched in the address latch circuit 80a is supplied to the row decoder 12, the column decoder 13, the mode register 25, and the like according to the content of the internal command signal ICMD2.Turning to FIG.", "5, in this example, combinations of the chip select signal CS and the command signal CMD produce internal commands including a DESEL command, a NOP command, an active command TACT, a precharge command IPRE, a write command IWR1, a read command IRD1, and a mode register setting command MRS.", "The DESEL, command is a command that is generated when the chip select signal CS is in an inactive state.", "When the DESEL command is issued, the access control circuit 20 stops recognition of internal commands generated by combinations of command signals CMD other than the DESEL command.", "In other words, the generation of new internal commands other than the DESEL command is prevented.", "The access control circuit 20 therefore issues no new command (new control) to the subsequent circuits (such as the row decoder 12).", "Consequently, the subsequent circuits maintain their state corresponding to the previous command.", "The NOP command is a command that is generated when the chip select signal CS is in an active state and all the bits (ACT, RAS, CAS, and WE) of the command signal CMD are at a low level.", "Again, when the NOP command is issued, the access control circuit 20 issues no new command (new control) to the subsequent circuits (such as the row decoder 12).", "Consequently, the subsequent circuits maintain their state corresponding to the previous command.", "As can be seen from FIG.", "5, the DESEL command is a broader command than the NOP command.", "When the active command IACT, the write command IWR1, and the read command IRD1 are issued, the access control circuit 20 performs the foregoing operations to make a row access, a write access, and a read access, respectively.", "The precharge command IPRE is a command for deactivating the memory cell array 11 which has been activated by the active command IACT.", "The mode register setting signal MRS is an internal command for rewriting a set value of the mode register 25.The configuration of the semiconductor device 10a according to the first embodiment has been described so far.", "Next, the operation of the semiconductor device 10a according to the present embodiment will be described.", "Turning to FIG.", "6, when the CALON mode is set, the issuance timing of the chip select signal CS from the controller 50 is not the same as that of the command signal CMD and the address signal ADD.", "The command signal CMD and the address signal ADD are issued after a lapse of the first latency since the issuance of the chip select signal CS.", "FIG.", "6 shows a case where the first latency is set to three clock cycles.", "As shown in FIG.", "6, when the chip select signal CS is issued at time t11, the internal chip select signal ICS1 changes to a high level and the enable signal REN is activated to a high level.", "Consequently, the address receivers 90a and the command receivers 90b which have been deactivated are activated to allow the reception of the address signal ADD and the command signal CMD.", "Note that it takes some time to change the input stages of the receivers 90a and 90b from an inactive state to an active state.", "In FIG.", "6, the gentle change of the enable signal REN represents the time needed.", "The number of input stages included in the receivers 90a and 90b is approximately twenty, the same as the number of address terminals 21 and command terminals 22.To switch the input stages from an OFF state to an ON state, all the gate electrodes included in the circuits need to be charged up.", "This produces relatively high charging and discharging currents.", "In other words, a considerable amount of power is consumed to switch the receivers 90a and 90b from an inactive state to an active state and from an active state to an inactive state.", "The internal chip select signal ICS1 is passed through the flip-flop circuits FF1 to FF3 included in the latency control circuit 100 and output as the internal chip select signal ICS2 three clock cycles later.", "The activation timing of the internal chip select signal ICS2 is in synchronization with the timing when the command signal CMD and the address signal ADD are issued from the controller 50.As a result, the command signal CMD and the address signal ADD are processed by the address latch circuit 80a and the command decoder 80b.", "In FIG.", "6, the command and address corresponding to time t11 are denoted by A.", "Now, when the output signal CALm2 of the flip-flop circuit FF1 is activated, the count value COUNT of the bit counter 120 is preset to the maximum value=7.The count value COUNT of the bit counter 120 is counted down in synchronization with the internal clock signal ICLK.", "In the example shown in FIG.", "6, the chip select signal CS is activated again at time t12 before the count value COUNT of the bit counter 120 reaches 0.Time t12 is the timing where seven clock cycles have elapsed since time t11.Since the count value COUNT of the bit counter 120 is restored to the maximum value=7 before reaching 0, the reset signal RST is not activated at this point in time.", "In the example shown in FIG.", "6, the chip select signal CS is also activated at time t13 which is two clock cycles after time t12.Operations based on the chip select signals CS input at times t12 and t13 are the same as those based on the chip select signal CS input at time M. Consequently, the enable signal REN remains at a high level during that time, allowing the reception of the address signal ADD and the command signal CMD.", "In FIG.", "6, the commands and addresses corresponding to times t12 and t13 are denoted by B and C, respectively.", "After a lapse of eight clock cycles since time t13, the count value COUNT of the bit counter 120 reaches 0, whereby the reset signal RST is activated.", "In response to this, the SR latch circuit L included in the receiver control circuit 200 is reset, and the enable signal REN is deactivated to a low level.", "At this point in time, it is assured that the chip select signal CS has not been activated for at least eight clock cycles.", "Then, no address signal ADD or command signal CMD will be supplied from the controller 50.As described above, the semiconductor device 10a according to the present embodiment does not deactivate the address receivers 90a or the command receivers 90b immediately after a lapse of the first latency since the activation of the chip select signal CS.", "Instead, the semiconductor device 10a deactivates the address receivers 90a and the command receivers 90b after a lapse of the second latency longer than the first latency.", "The receivers 90a and 90b are therefore maintained in an active state if the activation and deactivation of the chip select signal CS are frequently repeated in a short period.", "This can reduce charging and discharging currents resulting from the control of the receivers 90a and 90b.", "Note that the address receivers 90a and the command receivers 90b are activated immediately after the activation of the chip select signal CS, without waiting for the first latency to elapse.", "The address signal ADD and the command signal CMD can thus be properly received even if it takes time to activate the receivers 90a and 90b.", "Next, a second embodiment of the present invention will be described.", "Turning to FIG.", "7, the second embodiment differs from the semiconductor device 10a shown in FIG.", "2 in that there are added a latency shifter 310 and an AND gate circuit or synchronization control circuit 320.In other respects, the present embodiment is the same as the semiconductor device 10a shown in FIG.", "2.The same components will thus be designated by like reference numerals.", "Redundant description will be omitted.", "The latency shifter 310 is a counter that counts the latency of a column system command (such as a write command and a read command) included in the internal command signal ICMD2 generated by the command decoder 80b.", "Receiving a column-system internal command signal ICMD2, the latency shifter 310 counts up a predetermined latency and then outputs the column-system internal command signal ICMD2 as an internal command signal ICMD3.Latencies for the latency shifter 310 to count include the latency of a write command, or a write latency WL, and the latency of a read command, or a read latency RL.", "The write latency WL refers to the latency from the issuance of a write command from the controller 50 to the input of the first piece of write data DQ.", "Issuance timing of a write command may be preceded by an additive latency (AL) than its original issuance timing.", "The write latency WL is thus defined by WL=AL+CWL, where a latency from the original issuance timing of a write command to the input of the first piece of write data DQ is the CAS write latency (CWL).", "The read latency refers to the latency from the issuance of a read command from the controller 50 to the output of the first piece of read data DQ.", "Issuance timing of a read command may be preceded by an additive latency (AL) than its original issuance timing.", "The read latency RL is thus defined by RL=AL+CL, where a latency from the original issuance timing of a read command to the output of the first piece of read data DQ is the CAS latency (CL).", "The controller 50 issues the write latency WL, the read latency RL, and the additive latency AL to the semiconductor device 10b in advance.", "The semiconductor device 10b stores the values of the write latency WL, the read latency RL, and the additive latency AL into the mode register 25 shown in FIG.", "2.The latency shifter 310 operates in synchronization with an internal clock signal ICLK2 output from the synchronization control circuit 320.The synchronization control circuit 320 is a two-input AND gate circuit that receives the internal clock signal ICLK and the enable signal REN.", "The synchronization control circuit 320 is thus clocked only in a period where the enable signal REN is at a high level.", "In the present embodiment, the second latency is set to be longer than the write latency WL and the read latency RL.", "Turning to FIG.", "8, in the present example, the count value COUNT of the bit counter 120 is preset to a maximum value of 11.As shown in FIG.", "8, when the chip select signal CS is issued at time t21, the internal chip select signal ICS1 changes to a high level and the enable signal REN is activated to a high level.", "Consequently, the address receivers 90a and the command receivers 90b which have been deactivated are activated to allow the reception of the address signal ADD and the command signal CMD.", "Such an operation is the same as in the first embodiment.", "When the enable signal REN is activated to a high level, the internal clock signal ICLK2 starts clocking.", "The clocking of the internal clock signal ICLK2 makes the latency shifter 310 operable.", "After a lapse of three clock cycles since the activation of the chip select signal CS, the controller 50 issues the command signal CMD and the address signal ADD.", "The present example deals with the case where the command signal CMD issued from the controller 50 is a write command.", "Such a command signal CMD is accepted by the command receivers 90b, and decoded into the internal command signal ICMD2 by the command decoder 80b.", "The internal command signal ICMD2 is input to the latency shifter 310.At this point in time, the latency shifter 310 is in an operable state.", "The latency shifter 310 therefore provides a delay as much as the write latency WL to the internal command signal ICMD2 input to the latency shifter 310, and outputs the resultant as the internal command signal ICMD3.In the present embodiment, the latency that represents a write latency WL and a read latency RL for the latency shifter 310 to provide may be referred to as a “third latency”.", "After a lapse of twelve clock cycles since time t21, the count value COUNT of the bit counter 120 reaches 0, whereby the enable signal REN is deactivated to a low level (time t22).", "In response to this, the internal clock signal ICLK2 stops clocking.", "At this point in time, the chip select signal CS has not been activated for at least twelve clock cycles.", "This ensures that no command is retained in the latency shifter 310.The reason is that the second latency is set to be longer than the write latency WL and the read latency RL.", "Incidentally, the entire contents disclosed in the aforementioned patent documents and non-patent documents including Japanese Patent Application Laid-Open No.", "2000-285674 are incorporated herein by reference.", "As described above, the semiconductor device 10b according to the second embodiment stops clocking the internal clock signal ICLK2 in a period where the enable signal REN is in an inactive state.", "Consequently, useless clocking is avoided in a period where no command is retained in the latency shifter 310.This allows a further reduction of power consumption in addition to the effects of the first embodiment.", "It is apparent that the present invention is not limited to the above embodiments, but may be modified and changed without departing from the scope and spirit of the invention.", "Volatile memories, non-volatile memories, or mixtures of them can be applied to the memory cells of the present invention.", "The technical concept of the present invention may be applied to a semiconductor device including a signal transmission circuit.", "The forms of the circuits in the circuit blocks disclosed in the drawings and other circuits for generating the control signals are not limited to the circuit forms disclosed in the embodiments.", "When the transistors are field effect transistors (FETs), various FETs are applicable, including MIS (Metal Insulator Semiconductor) and TFT (Thin Film Transistor) as well as MOS (Metal Oxide Semiconductor).", "The device may even include bipolar transistors.", "For example, the present invention can be applied to a general semiconductor device such as a CPU (Central Processing Unit), an MCU (Micro Control Unit), a DSP (Digital Signal Processor), an ASIC (Application Specific Integrated Circuit), and an ASSP (Application Specific Standard Circuit), each of which includes a memory function.", "An SOC (System on Chip), an MCP (Multi Chip Package), and a POP (Package on Package) and so on are pointed to as examples of types of semiconductor device to which the present invention is applied.", "The present invention can be applied to the semiconductor device that has these arbitrary product form and package form.", "When the transistors that constitute a logic gate and the like are field effect transistors (FETs), various FETs are applicable, including MIS (Metal Insulator Semiconductor) and TFT (Thin Film Transistor) as well as NMS (Metal Oxide Semiconductor).", "The device may even include bipolar transistors.", "In addition, an NMOS transistor (N-channel MOS transistor) is a representative example of a first conductive transistor, and a PMOS transistor (P-channel MOS transistor) is a representative example of a second conductive transistor.", "Many combinations and selections of various constituent elements disclosed in this specification can be made within the scope of the appended claims of the present invention.", "That is, it is needles to mention that the present invention embraces the entire disclosure of this specification including the claims, as well as various changes and modifications which can be made by those skilled in the art based on the technical concept of the invention.", "In addition, while not specifically claimed in the claim section, the applicant reserves the right to include in the claim section of the application at any appropriate time the following information processing systems: A1.An information processing system comprising: a controller that outputs a chip select signal and a command signal; and a semiconductor device including: a command receiver receiving the command signal supplied from the controller to generate an internal command signal; and a control circuit activating an internal chip select signal after elapse of a first latency since the chip select signal supplied from the controller is activated, wherein the control circuit activates the command receiver in response to the chip select signal, and deactivates the command receiver when the chip select signal is maintained in an inactive state during a second latency that is larger than the first latency.", "A2.The information processing system as described in Al, wherein the semiconductor device further includes: a command decoder that decodes the internal command signal to generate a first internal command signal; and a selector that supplies either one of the chip select signal and the internal chip select signal to the command decoder to activate the command decoder.", "A3.The information processing system as described in A1 or A2, wherein the semiconductor device further includes an address receiver receiving an address signal supplied from the controller to generate an internal address signal, the control circuit activates the address receiver in response to the chip select signal, and deactivates the address receiver when the chip select signal is maintained in the inactive state during the second latency." ] ]
Patent_15875574
[ [ "Dual Irrigating Bipolar Forceps", "Bipolar electrosurgical forceps are provided with dual irrigating tubes that deliver irrigating liquid to the opposed surfaces of the tips of the forceps to prevent the sticking of body tissue to the tips.", "To reduce the manufacturing costs of the forceps and enable the forceps to be single use, disposable forceps, each tube of the dual irrigating tools is a plastic tube adhered along one of the opposing surfaces of the pair of forceps arms.", "Each tube also has a flat nozzle at the distal end of the tube that disburses irrigating liquid across the opposed surfaces of the forceps arm distal and tips." ], [ "1.A forceps comprising: first and second arms, each of the first and second arms having an elongate length with opposite proximal and distal ends and having opposite inner and outer surfaces that extend the elongate length of each of the first and second arms, the proximal ends of the first and second arms being connected together with the inner surfaces of the first and second arms opposing each other, and the distal ends of the first and second arms being constructed as tissue cauterizing tips; and a first irrigation tube having a length with opposite proximal and distal ends, at least a part of the first irrigation tube length being secured to the first arm with the first irrigation tube length extending from the first irrigation tube proximal end adjacent the first arm proximal end, across the elongate length of the first arm to the first irrigation tube distal end adjacent the first arm distal end, the first irrigation tube having a cross-section configuration along its length, wherein the cross-section configuration is a substantially circular configuration as the first irrigation tube extends from the first irrigation tube proximal end toward the first irrigation tube distal end and wherein the cross-section configuration changes from the substantially circular configuration to an oblong configuration at a portion of the first irrigation tube length adjacent the first irrigation tube distal end with the cross-section configuration of the first irrigation tube distal end being oblong.", "2.The forceps of claim 1, further comprising a second irrigation tube having a length with opposite proximal and distal ends, with at least a part of the second irrigation tube being secured to the second arm with the second irrigation tube length extending from the second irrigation tube proximal end adjacent the second arm proximal end, across the elongate length of the second arm to the second irrigation tube distal end adjacent the second arm distal end.", "3.The forceps of claim 2, wherein the cross-section configuration of the second irrigation tube is a substantially circular configuration as the second irrigation tube extends from the second irrigation tube proximal end toward the second irrigation tube distal end and wherein the cross-section configuration changes from the substantially circular configuration to an oblong configuration at a portion of the second plastic irrigation tube length adjacent the second plastic irrigation tube distal end with the cross-section configuration of the second plastic irrigation tube distal end being oblong.", "4.The forceps of claim 2, further comprising: an irrigation fluid supply tube having a length with opposite first and second ends, the irrigation fluid supply tube first end being connected and in communication with both of the first and second irrigation tube proximal ends and the irrigation fluid supply tube second end being connectable to a source of irrigation fluid.", "5.The forceps of claim 4, further comprising: a base enclosing the first and second arm proximal ends and enclosing a connection of the irrigation fluid supply tube first end to both of the first and second irrigation tube proximal ends.", "6.The forceps of claim 5, wherein the base has a primary portion with an exterior surface that extends around the proximal ends of the first and second arms and the base has a secondary portion having an exterior surface that projects at an angle from the primary portion exterior surface and extends around the irrigation fluid supply tube adjacent the connection of the irrigation fluid supply tube first end to the first and second irrigation tube proximal ends.", "7.The forceps of claim 1, wherein at least a part of the first irrigation tube length is secured to the first arm by an adhesive.", "8.The forceps of claim 7, wherein the first irrigation tube is secured by the adhesive to the inner surface of the first arm.", "9.The forceps of claim 1, wherein the first irrigation tube extends across only the inner surface of the first arm.", "10.The forceps of claim 1, further comprising first and second electrical conductors, each electrical conductor having a flexible elongate length, the first electrical conductor being electrically connected to the first arm and the second electrical conductor being electrically connected to the second arm.", "11.The forceps of claim 2, wherein the first and second irrigation tubes are plastic tubes.", "12.The forceps of claim 2, wherein the first and second irrigation tubes being constructed of an electrically insulating material.", "13.A forceps comprising: first and second arms, each of the first and second arms having an elongate length with opposite proximal and distal ends and having opposite inner and outer surfaces that extend the elongate length of each of the first and second arms, the proximal ends of the first and second arms being connected together with the inner surfaces of the first and second arms opposing each other, and the distal ends of the first and second arms being constructed as tissue cauterizing tips; and first and second plastic irrigation tubes, each of the first and second plastic irrigation tubes having a length with opposite proximal and distal ends, at least a part of the first plastic irrigation tube length being secured to the first arm with the first plastic irrigation tube length extending from the first plastic irrigation tube proximal end adjacent the first arm proximal end, across the elongate length of the first arm to the first plastic irrigation tube distal end adjacent the first arm distal end, the first plastic irrigation tube having a cross-section configuration along its length, wherein the cross-section configuration of the first plastic irrigation tube is a substantially circular configuration as the first plastic irrigation tube extends from the first plastic irrigation tube proximal end toward the first plastic irrigation tube distal end and wherein the cross-section configuration of the first plastic irrigation tube changes from the substantially circular configuration to an oblong configuration at a portion of the first plastic irrigation tube length adjacent the first plastic irrigation tube distal end with the cross-section configuration of the first plastic irrigation tube distal end being oblong, and at least a part of the second plastic irrigation tube length being secured to the second arm with the second plastic irrigation tube length extending from the second plastic irrigation tube proximal end adjacent the second arm proximal end, across the elongate length of the second arm to the second plastic irrigation tube distal end adjacent the second arm distal end.", "14.The forceps of claim 13, wherein the second plastic irrigation tube has a cross-section configuration along its length, wherein the cross-section configuration is a substantially circular configuration as the second plastic irrigation tube extends from the second plastic irrigation tube proximal end toward the second plastic irrigation tube distal end and wherein the cross-section configuration changes from the substantially circular configuration to an oblong configuration at a portion of the second plastic irrigation tube length adjacent the second plastic irrigation tube distal end with the cross-section configuration of the second plastic irrigation tube distal end being oblong.", "15.The forceps of claim 14, further comprising: an irrigation fluid supply tube having a length with opposite first and second ends, the irrigation fluid supply tube second end being connectable to a source of irrigation fluid and the irrigation fluid supply tube first end being connected and in communication with both of the first and second plastic irrigation tube proximal ends.", "16.The forceps of claim 15, further comprising: a base enclosing the first and second arm proximal ends and a connection of the irrigation fluid supply tube first end to both of the first and second plastic irrigation tube proximal ends.", "17.The forceps of claim 16, wherein the base has a primary portion with an exterior surface that extends around the proximal ends of the first and second arms and the base has a secondary portion with an exterior surface that projects at an angle from the primary portion exterior surface and extends around the connection of the irrigation fluid supply tube first end to the first and second plastic irrigation tube proximal ends.", "18.The forceps of claim 13, wherein the first and second plastic irrigation tubes are secured to the inner surfaces of the respective first and second arms.", "19.The forceps of claim 13, wherein the first and second plastic irrigation tubes extend across only the inner surfaces of the respective first and second arms.", "20.The forceps of claim 13, further comprising: first and second electrical conductors, each electrical conductor having a flexible elongate length, the first electrical conductor being electrically connected to the first arm and the second electrical conductor being electrically connected to the second arm." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>Further features of the invention are set forth in the following detailed description of the preferred embodiment of the invention and in the drawing figures.", "FIG.", "1 is a side elevation view of the dual irrigating single use bipolar forceps of the invention, FIG.", "2 is a bottom plan view of the forceps of FIG.", "1 .", "FIG.", "3 is a perspective disassembled view of the forceps of FIG.", "1 , FIG.", "4 is an enlarged partial view of the distal end tip of one of the forceps arms.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE, TO RELATED APPLICATION The present application is a continuation of U.S. patent application Ser.", "No.", "13/787,686, filed Jan. 9, 2013, and entitled “Dual Irrigating Bipolar Forceps” which is herein incorporated by reference in its entirety.", "DESCRIPTION OF THE RELATED ART Bipolar electrosurgical forceps are typically constricted with a pair of electrode arms having proximal ends that are adapted to have electrical conductors removably attached to the proximal ends, and opposite distal ends with tips that contact and grasp or pinch body tissue between the tips during use of the forceps.", "For patient safety reasons, it is required that portions of the forceps tips that contact the body tissue be constructed or formed of a biocompatible material that will not react with the body tissue.", "In the use of prior art forceps, one of the electrical conductors connected to the forceps proximal end is connected to a source of electric power, and the other electrical conductor connected to the forceps proximal end is connected to a ground.", "The pair of forceps arms function as electrodes.", "When the forceps arms are manually squeezed by the surgeon to grasp body tissue between the distal end tips of the arms, an electric circuit is completed from one forceps arm tip through the body tissue to the other forceps arm tip.", "Current passing through the forceps tips and the body tissue heats the forceps tips and the body tissue held between the tips and causes the tissue to be joined or coagulated.", "However, the heat produced in the forceps tips can also result in pieces of the body tissue sticking to the tips.", "During a surgical procedure using bipolar electrosurgical forceps, pieces of body tissue can accumulate on the forceps tips.", "To prevent or reduce the sticking of body tissue to the forceps tips and accumulating on the forceps tips during a surgical procedure, bipolar electrosurgical forceps have been developed that deliver an irrigating liquid to the forceps tips where the liquid functions as a barrier to tissue sticking to the forceps tips.", "The prior art bipolar forceps that include an irrigating feature have employed a single tube that is attached to one of the forceps arms with a distal end of the tube positioned adjacent the distal end tip of the arm.", "To supply sufficient irrigating liquid to he effective, the single tube was constructed with a 0.065 inch outside diameter and a 0.20 inside diameter.", "However, the size of the tube circular distal end was found to obstruct the surgeon's vision of the forceps arm distal end.", "Additionally, the size of the tube circular distal end would interfere with the surgeon squeezing the forceps arm distal end tips together.", "The circular tube end would prevent the tips from touching when the forceps arms were squeezed and would force the tips apart, resisting the force of the surgeon attempting to close the tips.", "The circular distal end of the tubing not only created an obstruction to observation of the forceps arm tip and an obstruction to closing the forceps arm tips, the circular shape of the tube distal end tip did not promote wetting of the tip.", "Additionally, the prior art forceps often used heat shrink or shrink wrap to attach the irrigation tube to the forceps arm.", "The heat shrink or shrink wrap was wrapped around the tube and the forceps arm.", "However, the wrap around the tube and arm creates a pair of projecting ridges on the outside surface of the forceps arm at the opposite ends of the shrink wrap.", "The ridges created by the shrink wrap presented the potential problem of the ridges engaging with soft tissue as the forceps are moved to a surgery site and possibly damaging the soft tissue.", "Furthermore, in prior art irrigating, bipolar forceps, the irrigation tube and the electrical conductor cords projected outwardly from the forceps arm proximal ends in a direction aligned with the forceps arms.", "With the forceps arms being oriented generally vertically in use, the projecting tube and cords added weight at the distal ends of the forceps arms.", "The weight added to the distal ends of the forceps arms detracts from the comfort of the surgeon using the forceps.", "BRIEF DESCRIPTION OF THE DRAWINGS Further features of the invention are set forth in the following detailed description of the preferred embodiment of the invention and in the drawing figures.", "FIG.", "1 is a side elevation view of the dual irrigating single use bipolar forceps of the invention, FIG.", "2 is a bottom plan view of the forceps of FIG.", "1.FIG.", "3 is a perspective disassembled view of the forceps of FIG.", "1, FIG.", "4 is an enlarged partial view of the distal end tip of one of the forceps arms.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The present invention provides a disposable or single use, tissue sticking resistant forceps that includes a liquid irrigating feature to each tip of the forceps arms, By being disposable or single use, what is meant is that the forceps are specifically designed for one use in an electrosurgical procedure and for disposal after the one use.", "The forceps are comprised of first and second elongate forceps arms.", "The arms have the same configurations and are laser cut from a blank of aluminum and machined to their final configurations.", "Stamping, water jet cutting, EDM, plasma cutting, or molding processes could also be used to form the forceps arms.", "Electrical conductors are secured to the proximal ends of the pair of arms.", "A layer of biocompatible metal, for example silver, is applied to the distal end tips of the pair of arms.", "To reduce manufacturing costs, the layer of metal has a thickness that is not more than 0.010 of an inch, and is preferably in a range of 0.002 to 0.005 of an inch.", "This significantly reduces the amount of the expensive biocompatible metal used in the construction of the single use forceps.", "A pair of irrigating liquid tubes are secured to the opposed interior surfaces of the forceps arms.", "The tubes are constructed of non-electrically conductive plastic and are secured to the forceps arms by an adhesive, thereby reducing the costs of securing the tubes to the forceps arms.", "The distal ends of the tubes are positioned adjacent the distal end tips of the arms and are formed as flat nozzles on the interior surfaces of the arms.", "The flat nozzle configuration disperses the irrigating liquid across the opposed surfaces of the arm distal end tips and thereby enhances the non-sticking function of the irrigation liquid.", "The proximal ends of the tubes are positioned adjacent the proximal ends of the forceps arms and are connected in fluid communication with a single supply tube.", "The single fluid supply tube extends from the proximal ends of the forceps arms to a connector at the opposite end of the supply tube that is adapted to connect the supply tube to a single irrigation fluid source.", "The proximal ends of the forceps arms, the connection of the electrical conductors to the proximal ends of the forceps arms and the connection of the irrigation tube proximal ends to the supply tube are all encapsulated in and surrounded by a potting material that forms a base around the forceps arm proximal ends.", "The base has a primary portion with an exterior surface that extends around the forceps arm proximal ends and around the connection of the electrical conductors to the forceps arms.", "The base also has a secondary portion having an exterior surface that projects at an angle from the primary portion exterior surface.", "The secondary portion exterior surface extends around the connection of the supply tube to the irrigation tube proximal ends.", "The angled orientation of the secondary portion of the base relative to the primary portion of the base directs the supply tube at an angle away from the lengths of the forceps arms and thereby reduces the influence of the weight of the supply tube on the weight at the distal ends of the forceps arms when the forceps are used in a vertical orientation.", "This in turn reduces the interference of the supply tube with the movement of the forceps arms by a surgeon's hand.", "Constructing the forceps in the manner discussed above reduces their manufacturing costs enabling the forceps to be single use, disposable forceps.", "The forceps also have the advantages of supplying irrigating liquid to the opposed surfaces of both the forceps arm distal end tips without obstructing the surgeon's view of the distal end tips and without interfering with the surgeon squeezing together the distal end tips.", "FIGS.", "1 and 2 show respective side and bottom views of the single use, dual irrigating bipolar forceps of the present invention.", "FIG.", "3 is a disassembled view of an embodiment of the forceps.", "The forceps are specifically designed to be disposable or single use, bipolar electrosurgical forceps with an irrigating feature that reduces or eliminates tissue sticking.", "The forceps are basically comprised of a pair of forceps arms or electrodes 12, 14, a pair of electrically conductive cords 16, 18, a pair of fluid irrigation tubes 22, 24, an irrigation supply tube 26 and a base 28 As explained below, the construction of the forceps is simplified and comprises a minimum number of parts to reduce the manufacturing costs of the forceps and to make the disposal of the forceps after a single use economically feasible.", "Furthermore, as explained below, the forceps are constructed with an irrigation feature that provides irrigating liquid to the distal end tips of the forceps arms to reduce or eliminate tissue sticking while avoiding the drawback of prior art forceps having irrigating features The first 12 and second 14 forceps arms are constructed as mirror images of each other.", "in view of this, the construction of only the first arm 12 is described in detail.", "The same reference numbers are employed to label the component parts of both forceps arms 12, 14, with the reference numbers of the second forceps arm 14 being followed by a prime (′).", "The first forceps arm 12 is constructed with a narrow, elongate length having an intermediate portion 32 and opposite proximal 34 and distal 36 ends.", "The arm intermediate portion 32 is shaped and dimensioned to be held comfortably by a single hand of the surgeon using the forceps.", "The entire length of the first arm 12 is constructed from a blank of 6061-T6 aluminum that is laser cut to the configuration of the arm shown.", "In alternate embodiments of the forceps, the arms 12, 14 may be constructed entirely of stainless steel, entirely of brass, entirely of tin, entirely of copper, or entirely of an alloy of these materials, The arms 12, 14 could also be constructed of a plastic material.", "However, a plastic embodiment of the arms would require an electrical conductor extending the length of the arms in order to enable the arms to function as electrodes of the electrosurgical instrument.", "An insulating coating 38 completely covers the intermediate portion 32 of the arm 12.The coating 38 is electrically insulated and may also be thermally insulated.", "The coating 38 covers only the intermediate portion 32 of the arm 12, leaving the arm proximal end 34 and distal end 36 projecting and exposed from the coating layer 38.A much thinner layer of the insulating coating 38 is applied to the arm 12 of the disposable forceps than would be applied to forceps aims of a reusable forceps.", "Because the forceps are intended to be disposable or only single use, a thinner layer of the insulating coating 38 may be used without concern for the layer wearing through after repeated uses and sterilizations of the forceps.", "Applying the thinner layer of insulating coating 38 to the arm 12 reduces the production costs, improves visual and sight access, and reduces the barrier for heat rejection to the environment imposed by a thicker insulating coating.", "The primary purpose of the insulating coating 38 is to provide electrical insulation for the patient to prevent stray currents from damaging healthy tissue.", "The first 16 and second 18 bipolar electrically conducting cords with flexible elongate lengths are connected to the proximal ends 34, 34′ of the first 12 and second 14 forceps arms.", "The two cords 16, 18 are identical in construction, Therefore, the construction of only the first cord 16 is described in detail.", "The same reference numbers employed in the description of the first cord 16 are also used in labeling the component parts of the second cord 18, but the reference numbers labeling the parts of the second cord 18 are followed by a prime (′).", "The construction of the cord 16 is for the most part conventional.", "The cord contains an electrically conductive wire 42 that is covered by an insulated layer 44.A first end 46 of the wire 42 extends from the insulator layer 44 and is electrically connected to the aim proximal end 34.Referring to FIG.", "3, the wire first end 46 is inserted through a hole 48 in the arm proximal end 34 and crimped, thereby securing the first cord 16 both mechanically and electrically to the first arm 12.The cord 16 has a flexible, elongate length that extends from the first arm 12 to an electrical connector 52 at the opposite second end of the cord 16.The lengths of the cords 16, 18 allow the forceps arms, 12, 14 to be easily manipulated by the hand of a surgeon when the electrical connectors 52, 52′ are connected to a source of electric power.", "High strand count wire is used in the cord 16 for maximum flexibility.", "By crimping the wire 16 onto the arm proximal end 34, the costs of a removable plug on the cord is eliminated, and also manufacturing difficulties associated in attaching a traditional connecting pin to the arm 12 are overcome.", "Providing integral electrical conducting cords on the forceps eliminates the costs of the electrical male plugs on the forceps and the removable conductor cords with female plug receptors of prior art bipolar forceps.", "This reduces manufacturing costs of the forceps of the invention compared to that of prior art bipolar forceps.", "The distal ends 36, 36′ of the first 12 and second 14 forceps arms are covered with stick resistant tip layers 54, 54′, on at least the opposing surfaces of the tips.", "The tip layer 54 in one embodiment of the invention is a thin layer of biocompatible material applied to the distal ends 36, 36′ of the forceps arms 12, 14.The thickness of the biocompatible material on the arm distal end 36 is kept to a minimum to reduce costs, and is not more than 0.010 of an inch.", "More preferably, the thickness of the layer of biocompatible material on each arm tip is not more than 0.005 of an inch or is in a range of 0.002 of an inch to 0.005 of an inch.", "The biocompatible material is preferably pure silver or gold.", "The thickness of 0.002 to 0.005 of an inch for the layer of biocompatible material is a specification for the silver plating process.", "Smaller thicknesses are also acceptable.", "The thickness range of 0.002.to 0.005 of an inch is chosen to be slightly more conservative in order to allow for some minor material removal when the tip layers are polished prior to packaging.", "A final layer thickness of 0.001 to 0.003 is most preferred.", "A silver plating thicknesses less than 0.001 and even less than 0.0005 of an inch is suitable in some embodiments.", "The minimal thickness of the biocompatible metal on the distal ends 36, 36′ of the forceps arms reduces the costs of materials used in the construction of the forceps with tissue cauterizing tips, reduces the costs of manufacturing the forceps, and thereby enables the forceps to be disposable or single use forceps.", "The first 22 and second 24 fluid irrigation tubes are secured to the opposed, interior surfaces of the respective first 16 and second 18 forceps arms on the insulation 38, 38′ coating the arms.", "The irrigation tubes 22, 24 have basically the same constructions.", "In view of this, the construction of only one of the irrigation tubes 22 is described in detail.", "The same reference numbers are employed to label the component parts of both of the irrigation tubes 22, 24, with the reference numbers of the second irrigation tube 24 being followed by a prime (′).", "The first irrigation tube 22 has a flexible length with opposite proximal 56 and distal 58 ends.", "The entirety of the tube length is constructed of a transparent, biocompatible, non-electrically conductive plastic material, for example polycarbonate capillary tubing.", "Employing non-conductive plastic tubing avoids any short circuiting of the arm distal end tips 36, 36′.", "In the operative embodiment of the forceps, the tube 22 has a 500 micron or 0.020 inch outer diameter dimension and a 250 micron or 0.010 inch interior diameter dimension.", "This small tube size on the forceps is less obstructive visually and functionally.", "However, because two tubes 22, 24 are employed on the forceps there is still a sufficient flow of irrigation fluid supplied to arm tips 36, 36′.", "The tube distal end 58 is secured by an adhesive to the insulation 38 coating the interior surface of the first arm 12 immediately adjacent or juxtaposed with the tip layer 54 of the arm.", "From the tube distal end 58 a majority of the length of the tube is also secured to the interior surface of the forceps aim 12 by the adhesive.", "As can be seen in FIG.", "1, a portion 60 of the length of the first, tube 22 adjacent the tube proximal end 56 is not secured to the first forceps arm 12.The use of a plastic tube and an adhesive securing the first tube 22 to the first forceps arm 12 reduces the manufacturing costs of the forceps.", "Because the forceps are disposable, it is not necessary that the tube be secured to the arm in a manner that will stand up to repeated sterilizations.", "Additionally, employing the adhesive to secure the first tube 22 to the interior surface of the first forceps arm 12 maintains a smooth exterior surface of the first forceps arm 12 and avoids any projections or ridges on the exterior surface that could potentially damage body tissue in use of the forceps.", "The majority of the length of the first tube 22 from the tube proximal end 56 toward the tube distal end 58 has a circular cross-section.", "However, as seen in FIG.", "4, a portion of the first tube 22 at the tube distal end 58 is effectively flattened, forming an elongated nozzle shape 62 having an oblong cross-section at the distal end 58 of the tube 22.The nozzle shape 62 has a length of approximately 2.5 mm.", "The elongated shape of the nozzle 62 and its position adjacent the tip layer 54 of the first arm 12 promotes wetting of the interior surface of the first arm tip layer 54.The elongated configuration of the nozzle 62 disburses the irrigating liquid across the arm distal end tip 36 and thereby enhances the non-sticking function of the irrigation liquid.", "The elongated nozzle 62 also avoids drop formation in the irrigating liquid dispensed from the nozzle.", "Additionally, the flattened and elongated shape of the nozzle 62 does not interfere with the surgeon squeezing together the forceps arms 12, 14 to grip tissue between the distal ends of the arms, as occurred in prior art forceps.", "The proximal ends 56, 56′ of both tubes 22, 24 extend from the portions of the tubes adhered to the interior surfaces of the arms 12, 14 to positions adjacent the proximal ends 34, 34′ of the arms.", "Here the tube proximal ends 56, 56′ are connected in fluid communication with the single supply tube 26.The supply tube 26 has a flexible length between opposite first 66 and second 68 ends of the tube.", "In the illustrated embodiment the supply tube 24 is constructed from transparent polyurethane tubing having a 1/16 inch inner diameter dimension and a ⅛ inch outer diameter dimension.", "A transparent polycarbonate barbed tube fitting 72 is provided at the supply tube first end 66.The fitting 72 connects the supply tube first end 66 with the proximal ends 56, 56′ of the respective first 22 and second 24 irrigation tubes.", "The opposite second end 68 of the supply tube is provided with a gamma stabilized polypropylene luer fitting 74.The luer fitting 74 is adapted to connect the supply tube 24 to a single irrigation liquid source.", "The forceps arm proximal ends 34, 34′, the connection of the cord first ends 46, 46′ to the forceps arm proximal ends 34, 34′, and the connection of the irrigation tube proximal ends 56, 56′ to the fitting 72 at the supply tube first end 66 are all encapsulated in and surrounded by a potting material that forms the base 28 around the forceps arm proximal ends 34, 34′.", "The base 28 has a primary portion with a generally cylindrical exterior surface 82 that extends around the forceps arm proximal ends 34, 34′ and around the cord first ends 46, 46′.", "The base 28 also has a secondary portion having a generally cylindrical exterior surface 84 that projects at an angle from the primary portion exterior surface 82.The secondary portion exterior surface 84 extends around the connection of the irrigation tube proximal ends 56, 56′ with the first end fitting 72 of the supply tube 26.The angled orientation of the secondary portion 84 of the base relative to the primary portion 82 of the base directs the liquid supply tube 26 at an angle away from the lengths of forceps arms 12, 14, thereby reducing the influence of the weight of the supply tube 26 containing irrigation liquid on the weight at the distal ends 36, 36′ of the forceps arms when the forceps are being used in a vertical orientation.", "This in turn reduces the interference of the liquid supply tube 26 with the movement of the forceps arms 12, 14 by a surgeon's hand.", "Constructing the forceps in the manner discussed above reduces the manufacturing costs of the forceps, thereby enabling the forceps to be single use, disposable forceps.", "The construction of the forceps described above also provides the advantage of supplying irrigating liquid to the opposed surfaces of both the forceps arm distal end tips 36, 36′ without obstructing the surgeon's view of the of the distal end tips and without interfering with the surgeon squeezing together the distal end tips.", "As various modifications could be made in the construction of the apparatus herein described and illustrated without departing from the scope of the invention, it is intended that all matter contained in the foregoing description or shown in the accompanying drawings shall be interpreted as illustrative rather than limiting.", "Thus, the breadth and scope of the present invention should not be limited by any of the above described exemplary embodiments, but should be defined only in accordance with the following claims appended hereto and their equivalents." ] ]
Patent_15875576
[ [ "INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND INFORMATION PROCESSING PROGRAM", "There is provided an image processing apparatus including an input device configured to receive a stroke input, and a display controller configured to control a displaying of a modified stroke, wherein the modified stroke is synthesized based on characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input." ], [ "1.An information processing apparatus, comprising: a display; a touch pad configured to receive a consecutive stroke input given by a user; and a processor configured to recognize the consecutive stroke input including at least two characters, and perform an enlargement processing or a contraction processing on the consecutive stroke input to align the at least two characters based on a bounding box surrounding a character in the consecutive stroke input.", "2.The information processing apparatus according to claim 1, wherein the processor performs the enlargement processing or the contraction processing on the consecutive stroke input to reposition the at least two characters to be aligned according to a new bounding box that is different than the bounding box that surrounded the character in the consecutive stroke input.", "3.The information processing apparatus according to claim 2, wherein the new bounding box is located at a different position than the bounding box that surrounded the character in the consecutive stroke input.", "4.The information processing apparatus according to claim 2, wherein the new bounding box and the bounding box that surrounded the character in the consecutive stroke input are of different size or shape.", "5.The information processing apparatus according to claim 1, wherein the processor performs the enlargement processing or the contraction processing on the consecutive stroke input to reposition the at least two characters to be aligned within new respective bounding boxes that have been resized and aligned.", "6.The information processing apparatus according to claim 1, wherein the processor performs the enlargement processing or the contraction processing on the consecutive stroke input based on a correction coefficient.", "7.The information processing apparatus according to claim 1, wherein the processor performs the enlargement processing or the contraction processing on the consecutive stroke input by normalizing characteristic parameters of the at least two characters of the received consecutive stroke input.", "8.The information processing apparatus according to claim 1, wherein the processor performs the enlargement processing or the contraction processing on the consecutive stroke input by interpolating characteristic parameters of the at least two characters of the received consecutive stroke input based on a difference in size or shape between the bounding box and the new bounding box.", "9.The information processing apparatus according to claim 1, wherein the consecutive stroke input given by the user is a handwritten input that is input upon the touch pad.", "10.The information processing apparatus according to claim 1, wherein the consecutive stroke input given by the user is a gesture input.", "11.The information processing apparatus according to claim 1, wherein a stylistic characteristic of the consecutive stroke input given by the user is maintained as the processor performs the enlargement processing or the contraction processing on the consecutive stroke input.", "12.An information processing method, comprising: receiving a consecutive stroke input given by a user on a touch pad; recognizing the consecutive stroke input including at least two characters; and performing an enlargement processing or a contraction processing on the consecutive stroke input to align the at least two characters based on a bounding box surrounding a character in the consecutive stroke input.", "13.A non-transitory computer-readable medium having embodied thereon a program, which when executed by a computer causes the computer to perform an information processing method, the method comprising: receiving a consecutive stroke input given by a user on a touch pad; recognizing the consecutive stroke input including at least two characters; and performing an enlargement processing or a contraction processing on the consecutive stroke input to align the at least two characters based on a bounding box surrounding a character in the consecutive stroke input." ], [ "<SOH> BACKGROUND ART <EOH>From the past, in a handwritten character input and a pattern recognition of a handwritten input character, a series of input strokes (unicursal line constituting mark) undergo recognition processing at a timing at which a certain interval is temporally or spatially caused and displayed using a font prepared in a system as text data in most cases.", "Further, Patent Literature 1 discloses a technique of cutting out a handwritten input character string for each character and performing a correction of a character tilt, an interval adjustment, and the like, to thus improve a character recognition rate." ], [ "<SOH> SUMMARY <EOH>" ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "14/897,840 (filed on Dec. 11, 2015), which is a National Stage Patent Application of PCT International Patent Application No.", "PCT/JP2014/002561 (Filed on May 15, 2014) under 35.U.S.C.", "§ 371, which claims priority to Japanese Patent Application No.", "2013-133201 (filed on Jun.", "25, 2013), which are all hereby incorporated by reference in their entirety.", "TECHNICAL FIELD The present disclosure relates to an information processing apparatus, an information processing method, and an information processing program for formatting handwritten input characters and figures (hereinafter, collectively referred to as marks).", "BACKGROUND ART From the past, in a handwritten character input and a pattern recognition of a handwritten input character, a series of input strokes (unicursal line constituting mark) undergo recognition processing at a timing at which a certain interval is temporally or spatially caused and displayed using a font prepared in a system as text data in most cases.", "Further, Patent Literature 1 discloses a technique of cutting out a handwritten input character string for each character and performing a correction of a character tilt, an interval adjustment, and the like, to thus improve a character recognition rate.", "CITATION LIST Patent Literature [PTL 1] Japanese Patent No.", "4741977 SUMMARY Technical Problem However, even when the same content as that input by a user is displayed, there is a large difference in appearance between a handwritten character and a character in a rendered font.", "With the rendered font, there has been a problem that characteristics such as a nuance of an input and a handwriting tendency of a writer will be lost, and a sense of unity as a whole will also be lost in a document combined with handwritten figures and the like.", "In addition, there have been various problems in the formatting of a handwritten input mark.", "In view of the circumstances as described above, an object of the present disclosure is to provide an information processing apparatus, an information processing method, and an information processing program with which handwritten input marks can be formatted appropriately.", "Solution to Problem According to an aspect of the present disclosure, there is provided an image processing apparatus including: an input device configured to receive a stroke input; and a display controller configured to control a displaying of a modified stroke, wherein the modified stroke is synthesized based on characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input.", "According to another aspect of the present disclosure, there is provided an image processing method including: controlling a reception of a stroke input; controlling a determination of characteristic parameters of the received stroke input; controlling a synthesizing of a modified stroke based on the characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input; and controlling the modified stroke to be displayed.", "According to another aspect of the present disclosure, there is provided a non-transitory computer-readable medium having embodied thereon a program, which when executed by a computer causes the computer to perform an image processing method, the method including: controlling a reception of a stroke input; controlling a determination of characteristic parameters of the received stroke input; controlling a synthesizing of a modified stroke based on the characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input; and controlling the modified stroke to be displayed.", "According to another aspect of the present disclosure, there is provided an image processing system including: a terminal device configured to receive a stroke input and control a displaying of a modified stroke; and a server device configured to match a reference stroke to the received stroke input and synthesize the modified stroke based on characteristic parameters of the received stroke input and characteristic parameters of the matched reference stroke.", "Effect of Invention As described above, according to embodiments of the present disclosure, a handwritten input mark can be formatted appropriately.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1 A structural diagram showing a structure of an information processing apparatus according to an embodiment.", "FIG.", "2 A structural diagram showing another structure of the information processing apparatus according to an embodiment.", "FIG.", "3 A diagram showing an example of a stroke input to an input apparatus 200 by a handwritten input of a user.", "FIG.", "4 A diagram showing a state where a plurality of representative points RPs are extracted as characteristic parameters from input data.", "FIG.", "5 A diagram showing an example of formatting example data of a character “2”.", "FIG.", "6 An example of semi-formatted data generated by a correction.", "FIG.", "7 A diagram showing a state of processing of performing parallel movement or enlargement and contraction processing on bounding boxes BB1 to BB4 of respective characters and adjusting sizes, central coordinates, and intervals of the bounding boxes BB1 to BB4.FIG.", "8 A flowchart showing a flow of basic processing carried out by the information processing apparatus 100 of an embodiment.", "FIG.", "9 A diagram showing an example of a GUI for setting a correction coefficient k, the diagram showing an example of setting the correction coefficient k by a slider S. FIG.", "10 A diagram showing an example of a GUI for setting the correction coefficient k, the diagram showing an example of setting the correction coefficient k by a user directly dragging a stroke R of semi-formatted data.", "FIG.", "11 A flowchart for explaining an entire flow in a case where the correction coefficient k is set using a GUI.", "FIG.", "12 A flowchart for explaining an entire flow in a case where the correction coefficient k is set using a context.", "DESCRIPTION OF EMBODIMENTS Hereinafter, embodiments of the present disclosure will be described with reference to the drawings.", "First Embodiment [Outline] In the present disclosure, in formatting a handwritten input stroke (input stroke data) based on a result of a pattern recognition, a semi-formatted stroke is generated while leaving characteristics of the input stroke instead of fully replacing it by an ideal shape stored on a system side.", "As a result, display of easy-to-read characters and figures (marks) is realized while leaving a handwriting nuance and texture.", "It should be noted that in the present disclosure, it can also be said that a handwritten input stroke (input stroke data) and an ideal shape stored on the system side (reference stroke data) are synthesized to generate a semi-formatted stroke.", "It should be noted that since the present disclosure presupposes that a pattern recognition of characters and figures (marks) is performed, recognized data can of course be used as general text data in a search, a language analysis, and the like.", "[Difference from Typical Technique] In general, in formatting a handwritten input stroke, a correction of a distance or curvature of consecutive sampling points is performed locally before a pattern recognition of the stroke.", "Specifically, the formatting performed herein is assumed to be used as preprocessing for improving a character recognition processing accuracy.", "In contrast, in the present disclosure, a handwritten input stroke (mark) is corrected based on a pattern recognition result of the stroke and formatted to become readable, and thus an object and method differ from those of the typical technique.", "[Structure] Next, a structure of an information processing apparatus of an embodiment will be described.", "FIG.", "1 is a structural diagram showing the structure of the information processing apparatus according to an embodiment.", "The information processing apparatus 100 includes an input controller 1, a recognition processing unit 2, a storage 3, a format processing unit 4, and a display controller 5.The information processing apparatus 1 is used with an input apparatus 200 and a display apparatus 300.The input apparatus 200 is a touchpad or the like and accepts, as a stroke, a handwritten input of a user made by a finger, a stylus pen, and the like.", "It should be noted that the input apparatus 200 may be anything as long as it can accept a handwritten input of the user and may be, for example, a mouse and a pen tablet.", "The input controller 1 controls the input apparatus 200 and accepts input data input to the input apparatus 200 by the user.", "The recognition processing unit 2 recognizes what the data input by the user is by a known method for a character recognition or figure recognition based on a stroke as the input data accepted by the input controller 1.The storage 3 stores in advance recognition data (including dictionary for word recognition) used by the recognition processing unit 2 in performing the character recognition or figure recognition and formatting example data (reference stroke data) that the format processing unit 4 needs to carry out processing of semi-formatting the input data.", "Specifically, the storage 3 is constituted of a hard disk drive, a nonvolatile memory, and the like.", "The format processing unit 4 performs semi-formatting by a correction of the input data based on the input data supplied from the input controller 1, the pattern recognition result (e.g., character code in case of character recognition) of the input data supplied from the recognition processing unit 2, the formatting example data taken out from the storage 3, and the correction coefficient k. Details of the processing of the format processing unit 4 will be described later.", "The display controller 5 controls the display apparatus 300 to display on a screen thereof the semi-formatted data semi-formatted by the format processing unit 4.The display apparatus 300 is, for example, a liquid crystal display or an organic EL (Electro Luminescence) display and displays formatted input data under control of the display controller 5.It should be noted that the input controller 1, the recognition processing unit 2, the format processing unit 4, and the display controller 5 may be realized as functional blocks of a computer including a CPU (Central Processing Unit) and the storage 3 by the CPU executing information processing programs stored in the storage 3.Heretofore, the structure of the information processing apparatus 100 has been described.", "Modified Example 1 (Structure as Electronic Apparatus) In the descriptions above, the information processing apparatus 100 is an apparatus different from the input apparatus 200 and the display apparatus 300.However, the structure is not limited thereto, and an electronic apparatus 400 in which the information processing apparatus 100, the input apparatus 200, and the display apparatus 300 are integrated may also be used.", "In this case, a touch panel in which a transparent touchpad used as the input apparatus 200 is placed above the screen of the display apparatus 300 and integrated may be structured.", "An example of the electronic apparatus including a touch panel is a tablet PC (Personal Computer).", "Modified Example 2 (Structure as Server on Cloud) The block that assumes the semi-formatting processing of an embodiment of the present disclosure is the format processing unit 4.In this regard, as shown in FIG.", "2, for example, the information processing apparatus of the present disclosure may be a server 101 on a cloud that has the functional block of the format processing unit 4.In this case, essential structures of the server 101 are the format processing unit 4 and a transceiver unit 6.The server 101 is used with a terminal apparatus 500 in hand of the user, that includes the input apparatus 200 and the display apparatus 300.The recognition processing unit 2 may be in the terminal apparatus 500, another server 102 on the cloud, or the server 101.The storage 3 may also be in another server 103 on the cloud or the server 101.It should be noted that the cloud used herein refers to a server group that provides various services on the Internet and is also referred to as cloud computing environment.", "The server 101 receives input data handwritten-input by the user from the terminal apparatus 500.The server 101 also receives a pattern recognition result (e.g., character code) of the input data from the recognition processing unit 2 mounted on the terminal apparatus 500, another server on the cloud, or the same server 101.Then, the format processing unit 4 uses the correction coefficient k and the formatting example data taken out from the storage 3 to perform semi-formatting of the input data.", "The semi-formatted data semi-formatted by the format processing unit 4 is transmitted to the terminal apparatus 500 by the transceiver unit.", "[Basic Idea of Semi-Formatting Processing] Next, the basic idea of the semi-formatting processing will be described.", "Here, descriptions will be given assuming that a stroke as shown in FIG.", "3 has been input to the input apparatus 200 by a handwritten input of the user and a character recognition is carried out by the recognition processing unit 2.First, based on the input data input by the user, the recognition processing unit 2 performs a character recognition and selects a candidate that is highly likely a most appropriate recognition result from a plurality of recognition candidates.", "Here, the pattern recognition is performed assuming that the input data is an Arabic numeral “2”.", "It should be noted that a known method only needs to be used as the method for the recognition processing carried out by the recognition processing unit 2.The same holds true for recognitions of English cursive writing and Chinese characters.", "The semi-formatting processing of the present disclosure presupposes accurate recognition processing.", "Next, as preprocessing of the semi-formatting processing, the format processing unit 4 calculates characteristic parameter related to the stroke as the input data.", "The calculation of the characteristic parameter is carried out by, for example, extracting coordinate values of a plurality of representative points RPs from a stroke constituted of a consecutive dot sequence.", "FIG.", "4 is a diagram showing a state where the plurality of representative points RPs are extracted as the characteristic parameters from the input data.", "Here, the storage 3 stores in advance formatting example data that is to be an example for formatting input data.", "In the formatting example data, characteristic parameters are calculated in advance so that the processing in formatting can be carried out with ease.", "FIG.", "5 is a diagram showing an example of the formatting example data of the character “2”.", "Characters that are written by a calligraphy teacher and sold by a dealer may be purchased and used as the formatting example data, or formatting example data in which the user writes up and stores characters to use in advance may be used.", "Next, the format processing unit 4 compares the characteristic parameter of the input data and the characteristic parameter of the formatting example data, corrects the input data so that the stroke of the input data approaches that of the formatting example data, and generates semi-formatted data.", "FIG.", "6 is an example of the semi-formatted data generated by the correction.", "As shown in the figure, in the semi-formatted data, characters handwritten-input by the user are made to approach the formatting example data and corrected to an easy-to-read form while leaving a handwriting nuance.", "Here, as the characteristic parameters, a case of using normalized coordinate values of n (n is a positive number) representative points RPs on a stroke will be discussed.", "It should be noted that the normalization used herein refers to, for example, an affine transformation that is performed to make sizes of a bounding box surrounding the stroke of the input data (rectangle surrounding dot sequence of stroke) and a bounding box surrounding a stroke of the formatting example data match.", "At this time, when the characteristic parameter of the formatting example data is represented by Pi (0≤i<n), the characteristic parameter of the input data is represented by Qi, and the correction coefficient is represented by k (0<k<1), the characteristic parameter Ri of the semi-formatted data obtained after the correction can be obtained by the following expression.", "Ri=kPi+(1−k)Qi The correction coefficient k may be the same for all the representative points RPs, or the correction coefficient k may be varied based on a distance |Pi−Qi| between the characteristic parameter of the input data and the characteristic parameter of the formatting example data so that processing of intensifying the correction is carried out for the distant representative point RP.", "Finally, the format processing unit 4 causes the stroke of the semi-formatted data generated by the correction to be displayed on the screen of the display apparatus 300 via the display controller 5.Heretofore, the basic idea of the semi-formatting processing has been described.", "Modified Example 3 (Correction Method that does not Use Correction Coefficient k) In the descriptions above, the method of using the correction coefficient k in the correction in the semi-formatting has been described.", "However, other methods that do not use the correction coefficient k may be used to generate semi-formatted data.", "In this case, the following affine transformation Ri=AQi+b(A represents a line, and b represents a vector) may be performed on the characteristic parameter Qi of the input data such that the following expression expressing a sum of the distance between the characteristic parameter Pi of the formatting example data and the characteristic parameter Ri of the semi-formatted data becomes minimum.", "∑ i = 0 n - 1   Pi - Ri  [ Math .", " 2 ] Modified Example 4 (Update of Formatting Example Data) Although the prepared formatting example data is not updated automatically in the descriptions above, the structure is not limited thereto, and a structure in which the data is automatically updated may also be used.", "For example, a plurality of characteristic parameters of marks (characters and figures) handwritten-input by the users are recorded in advance, and the past characteristic parameters of the users are compared with the characteristic parameter of the formatting example data.", "Then, data whose distance from the characteristic parameter of the formatting example data is small may be newly used as the formatting example data.", "<Auxiliary Processing Carried Out During Consecutive Inputs> When a plurality of marks (characters and figures) are input consecutively, processing for a parallel movement, enlargement and contraction, and the like may be additionally performed for each mark before or after the semi-formatting processing described above for suppressing variations in the sizes of areas occupied by the marks, central coordinates, intervals, and the like.", "FIG.", "7 is a diagram showing a state of processing of performing parallel movement or enlargement and contraction processing on bounding boxes BB1 to BB4 of respective characters and adjusting sizes, central coordinates, and intervals of the bounding boxes BB1 to BB4.By this processing, the handwritten input characters are aligned as in bounding boxes BB1′ to BB4′ shown on the lower side of the figure.", "|Basic Processing Flow| Next, a basic processing flow will be described.", "FIG.", "8 is a flowchart showing the basic processing flow carried out by the information processing apparatus 100 of an embodiment.", "First, the input controller 1 accepts a stroke input to the input apparatus 200 by the user as input data.", "The accepted input data is supplied to the recognition processing unit 2 and the format processing unit 4 (Step ST1).", "Next, the recognition processing unit 2 acquires pattern recognition data from the storage 3 and carries out recognition processing of the input data supplied from the input controller 1 to convert it into mark identification information including a character code and figure data (Step ST2).", "Next, the format processing unit 4 invokes formatting example data corresponding to the mark identification information including a character code and figure data, that has been supplied from the recognition processing unit 2, from the storage 3 (Step ST3).", "Next, for normalizing the formatting example data according to the input data, the format processing unit 4 carries out preprocessing by an affine transformation (Step ST4).", "Subsequently, the format processing unit 4 sets the correction coefficient k (Step ST5).", "The correction coefficient k may be a value preset by the system, a value set by the user, or a value that is set statically or dynamically.", "Finally, the format processing unit 4 generates semi-formatted data by performing a correction by the semi-formatting processing of the input data (input stroke) based on the set correction coefficient k and the formatting example data subjected to the affine transformation.", "The generated semi-formatted data is displayed on a display screen of the display apparatus 5 via the display controller 5 (Step ST6).", "Heretofore, the basic processing flow carried out by the information processing apparatus 100 has been described.", "[Setting Method of Correction Coefficient k] Next, a setting method of the correction coefficient k described above will be described.", "There are largely two methods for the setting method of the correction coefficient k. One is a method in which a user manually sets the correction coefficient k using a GUI (Graphical User Interface).", "The other is a method of automatically setting the correction coefficient k using a context (environment condition) used when a user inputs a stroke.", "(Setting of Correction Coefficient k Using GUI) First, a setting method of the correction coefficient k using a GUI will be described.", "FIGS.", "9 and 10 are diagrams each showing an example of a GUI for setting the correction coefficient k. FIG.", "9 is a diagram showing an example of setting the correction coefficient k by a slider S, and a stroke R of the semi-formatted data approaches a stroke P of the formatting example data displayed on top of the stroke R as a position of a tab of the slider S moves toward the “strong” side.", "FIG.", "10 is a diagram showing an example of setting the correction coefficient k by the user directly dragging the stroke R of the semi-formatted data.", "The user holds a point RA on the stroke R of the semi-formatted data and drags it.", "Then, the format processing unit 4 calculates and sets the correction coefficient k from a distance between coordinates of the dragged point RA and coordinates of a point PA on the stroke P of the formatting example data corresponding to the point RA.", "(Entire Flow in Setting Correction Coefficient k Using GUI) FIG.", "11 is a flowchart for explaining an entire flow in a case where the correction coefficient k is set using a GUI.", "Since the processes from Step ST1 to Step ST6 are the same as those described above, descriptions thereof will be omitted.", "It should be noted that when Step ST5 is executed first, a value that is set in the information processing apparatus 100 as an initial value is used as the value of the correction coefficient k. First, after the stroke R semi-formatted in Step ST6 by the correction coefficient k as the initial value is displayed on the screen, the format processing unit 4 causes a GUI for adjusting the correction coefficient k to be displayed on the display screen of the display apparatus 300 via the display controller 5 (Step ST7).", "Next, the format processing unit 4 judges whether there has been an instruction from the user to adjust the correction coefficient k (Step ST8).", "When there has been no instruction from the user (No in Step ST8), the format processing unit 4 ends the processing.", "When there has been an instruction from the user (Yes in Step ST8), the format processing unit 4 returns to the processing of Step ST5, sets a value that is based on the instruction as the correction coefficient k, and continues the processing.", "It should be noted that the correction coefficient k may be adjusted every time a handwritten input is made, or the same correction coefficient k may continuously be used as long as the user does not explicitly instruct the adjustment once the adjustment is made.", "Heretofore, the entire flow in the case of setting the correction coefficient k using a GUI has been described.", "(Setting of Correction Coefficient k Using Context (Environment Condition)) Next, a setting method of the correction coefficient k using a context (environment condition) will be described.", "An example of the context is a login ID that the user uses to log in to the information processing apparatus 100.By using such a login ID, the semi-formatting processing can be carried out using the correction coefficient k differently set for each user.", "It should be noted that the user currently making a handwritten input may be identified by a face recognition instead of the login ID.", "Further, another example of the context is a type of application software to which the user inputs data by a handwritten input.", "For example, a correction may be weak in a hobby application and be strong in a business application.", "Moreover, a type of the apparatus that the user uses may be used as the context.", "In this case, the correction may be strong in a portable terminal apparatus and be weak in a stationary apparatus such as a desktop PC.", "Furthermore, whether to allow the input data to be viewed or not may also be used as the context.", "For example, the correction may be strong with respect to data to be viewed.", "Further, the strongness and weakness of the correction may be adjusted by a character type to which the input data belongs, for example, alphabets, Chinese characters, Hiragana, Katakana.", "Arabic alphabets, Cyrillic alphabets, and Hangeul.", "Moreover, an area for performing a handwritten input may be sectioned so that the value of the correction coefficient k can be adjusted independently for each of the sectioned areas.", "Heretofore, the examples of the context for setting the correction coefficient k have been described.", "(Entire Flow in Setting Correction Coefficient k Using Context (Environment Condition)) FIG.", "12 is a flowchart for explaining an entire flow in a case where the correction coefficient k is set using a context.", "Since the processes from Step ST1 to Step ST6 are the same as those described above, descriptions thereof will be omitted.", "First, after the recognition processing unit 2 carries out the recognition processing in Step ST2, the format processing unit 4 acquires context information (Step STA).", "Then, after the format processing unit 4 performs the affine transformation in Step ST4, the format processing unit 4 calculates the correction coefficient k (Step STB).", "It should be noted that in the descriptions above, Step STA is carried out after Step ST2, and Step STB is carried out after Step ST4.However, the order is not limited thereto, and Step STA and Step STB may be carried out at any time point as long as Step STA and Step STB are carried out in the stated order before the setting processing of the correction coefficient k of Step ST5.Heretofore, the entire flow in the case where the correction coefficient k is set using a context has been described.", "Modified Example 5 (Formatting of Recognition Candidate) The semi-formatting processing of the present disclosure is basically carried out after the pattern recognition of a mark (character and figure).", "However, when the user explicitly tries a re-recognition in the case where the recognition processing is not carried out appropriately, a plurality of marks are presented to the user as recognition candidates, for example.", "At this time, a stroke obtained by subjecting the candidate mark to be presented to the semi-formatting processing may be presented, or a corresponding stroke of the formatting example data may be presented.", "Which of the strokes is to be presented may be designated by the user.", "The present technology may be embodied as the following configurations.", "(1) An image processing apparatus including: an input device configured to receive a stroke input; and a display controller configured to control a displaying of a modified stroke, wherein the modified stroke is synthesized based on characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input.", "(2) The image processing apparatus of (1), wherein the synthesized modified stroke is an interpolation result generated based on the characteristic parameters of the received stroke input and the characteristic parameters of the reference stroke, and the synthesized modified stroke falls between the received stroke input and the reference stroke.", "(3) The image processing apparatus of (1) or (2), further includes: a recognition processing unit configured to match the reference stroke to the received stroke input.", "(4) The image processing apparatus of any of (1) through (3), wherein the modified stroke is provided to the display controller from a server.", "(5) An image processing method including: controlling a reception of a stroke input; controlling a determination of characteristic parameters of the received stroke input; controlling a synthesizing of a modified stroke based on the characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input; and controlling the modified stroke to be displayed.", "(6) The image processing method of (5), wherein the synthesized modified stroke is an interpolation result generated based on the characteristic parameters of the received stroke input and the characteristic parameters of the reference stroke, and the synthesized modified stroke falls between the received stroke input and the reference stroke.", "(7) The image processing method of (5) or (6), wherein the controlling the synthesizing of the modified stroke includes a comparison between the characteristic parameters of the received stroke input and the characteristic parameters of the matched reference stroke.", "(8) The image processing method of any of (5) through (7), wherein the matched reference stroke is a determination result of a character recognition process performed upon the received stroke input.", "(9) The image processing method of any of (5) through (8), wherein the matched reference stroke is a candidate, selected from a plurality of candidate strokes, that is most similar to the received stroke input.", "(10) The image processing method of any of (5) through (9), wherein the characteristic parameters of the received stroke input include coordinate values of representative points along the received stroke input, and the characteristic parameters of the reference stoke include respective coordinate values of representative points along the reference stroke.", "(11) The image processing method of any of (5) through (10), wherein the synthesized modified stroke represents a partial-correction of the received stroke input that is partially-corrected based on the matched reference stroke.", "(12) The image processing method of any of (5) through (11), wherein the modified stroke is synthesized to satisfy the relationship Ri=kPi+(1−k)Qi wherein characteristic parameters of the modified stroke is represented by Ri, the characteristic parameters of the reference stroke is represented by Pi, the characteristic parameters of the received stroke input is represented by Qi, and a correction coefficient is represented by k (0<k<1).", "(13) The image processing method of any of (5) through (12), wherein k is defined based on an environmental condition existing when the stroke input is received.", "(14) The image processing method of any of (5) through (13), wherein the characteristic parameters of the received stroke input and the characteristic parameters of the reference stroke are normalized by affine transformation.", "(15) The image processing method of any of (5) through (14), wherein the received stroke input is a handwritten input that is input upon an input device.", "(16) The image processing method of any of (5) through (15), wherein the received stroke input is a gesture input.", "(17) The image processing method of any of (5) through (16), wherein the modified stroke is synthesized to maintain a stylistic characteristic of the received stroke input.", "(18) A non-transitory computer-readable medium having embodied thereon a program, which when executed by a computer causes the computer to perform an image processing method, the method including: controlling a reception of a stroke input; controlling a determination of characteristic parameters of the received stroke input; controlling a synthesizing of a modified stroke based on the characteristic parameters of the received stroke input and characteristic parameters of a reference stroke that has been matched to the received stroke input; and controlling the modified stroke to be displayed.", "(19) An image processing system including: a terminal device configured to receive a stroke input and control a displaying of a modified stroke; and a server device configured to match a reference stroke to the received stroke input and synthesize the modified stroke based on characteristic parameters of the received stroke input and characteristic parameters of the matched reference stroke.", "(20) The image processing system of (19), wherein the synthesized modified stroke is an interpolation result generated based on the characteristic parameters of the received stroke input and the characteristic parameters of the matched reference stroke, and the synthesized modified stroke falls between the received stroke input and the matched reference stroke.", "(21) An information processing apparatus, comprising a processing unit configured to acquire input stroke data of a handwritten input mark and reference stroke data of the mark and synthesize the acquired input stroke data and the acquired reference stroke data.", "(22) The information processing apparatus according to (21), wherein the processing unit additionally acquires mark identification information obtained by a pattern recognition with respect to the input stroke data and acquires the reference stroke data based on the acquired mark identification information.", "(23) The information processing apparatus according to (22).", "wherein the processing unit performs the synthesis such that the following expression is satisfied when parameters expressing characteristics of the reference stroke data, the input stroke data, and stroke data as a result of the synthesis are represented by Pi, Qi, and Ri (0<i<n, n is a positive number) and a correction coefficient is represented by k (0<k<1).", "Ri=kPi+(1−k)Qi (24) The information processing apparatus according to (23).", "wherein the processing unit acquires an environment condition used when the user inputs the input stroke data and sets the correction coefficient based on the acquired environment condition.", "(25) The information processing apparatus according to (23), wherein the processing unit varies the correction coefficient based on a distance |Pi−Qi| between the parameter expressing the characteristics of the input stroke data and the parameter expressing the characteristics of the reference stroke data.", "(26) The information processing apparatus according to (22), wherein the processing unit performs an affine transformation Ri=AQi+b (A represents a line, and b represents a vector) on Qi such that the following expression expressing a sum of a distance between Pi and Ri becomes minimum when parameters expressing characteristics of the reference stroke data, the input stroke data, and stroke data as a result of the synthesis are represented by Pi, Qi, and Ri (0≤i<n, n is a positive number).", "∑ i = 0 n - 1   Pi - Ri  [ Math .", " 3 ] (27) An information processing method, comprising: acquiring, by a processing unit, input stroke data of a handwritten input mark and reference stroke data of the mark; and synthesizing, by the processing unit, the acquired input stroke data and the acquired reference stroke data.", "(28) An information processing program for causing a computer to function as a processing unit configured to acquire input stroke data of a handwritten input mark and reference stroke data of the mark and synthesize the acquired input stroke data and the acquired reference stroke data.", "Supplementary Note In addition, the present disclosure is not limited to the embodiments described above and can be variously modified without departing from the gist of the present disclosure.", "REFERENCE SIGNS LIST 1 input controller 2 recognition processing unit 3 storage 4 format processing unit 5 display controller 6 transceiver unit 100 information processing apparatus 101-103 server 200 input apparatus 300 display apparatus 400 electronic apparatus 500 terminal apparatus" ] ]
Patent_15875582
[ [ "SELECTIVE PER-CYCLE MASKING OF SCAN CHAINS FOR SYSTEM LEVEL TEST", "Built-in self-test techniques for integrated circuits that address the issue of unknown states.", "Some implementations use a specialized scan chain selector coupled to a time compactor.", "The presence of the specialized scan chain selector increases the efficiency in masking X states.", "Also disclosed are: (1) an architecture of a selector that works with multiple scan chains and time compactors, (2) a method for determining and encoding per cycle scan chain selection masks used subsequently to suppress X states, and (3) a method to handle an over-masking phenomenon." ], [ "1.A circuit, comprising: a memory; a ring generator, the ring generator being configured to generate and output ring generator output signals, the ring generator output signals being based at least in part on (a) one or more input values applied to the ring generator from the memory and (b) a previous state of the ring generator; a shadow register, the shadow register being configured to capture the ring generator output signals in response to a shadow register control signal and to generate shadow register output signals; a phase shifter, the phase shifter being configured to receive the shadow register output signals and to generate phase shifter output signals, the phase shifter output signals comprising phase shifted versions of the shadow register output signals; and one or more gates configured to receive one or more of the phase shifter output signals, the one or more gates being further configured to selectively mask test response values as they are loaded into a compactor based on the one or more of the phase shifter output signals.", "2.The circuit of claim 1, further comprising one or more logic gates operable to receive one or more of the input values applied to the ring generator and to produce a signal for selectively controlling when the shadow register control signal is applied to the shadow register.", "3.The circuit of claim 1, further comprising one or more logic gates operable to receive one or more of the ring generator output signals and to produce a control signal for selectively controlling when the shadow register control signal is applied to the shadow register.", "4.The circuit of claim 1, wherein the shadow register control signal is generated by a gate that selectively gates a clock signal.", "5.The circuit of claim 4, wherein the ring generator is clocked by the clock signal.", "6.The circuit of claim 1, wherein the phase shifter is configured to sustain one or more of the phase shifter output signals for more than one clock cycle.", "7.The circuit of claim 1, wherein the ring generator and the shadow register are configurable to be operated independently of each other.", "8.The circuit of claim 1, further comprising an XOR tree configured to control loading of the ring generator and the shadow register based on one or more of the ring generator input values.", "9.The circuit of claim 1, further comprising an XOR tree configured to control loading of the shadow register based on one or more of the ring generator output values.", "10.One or more computer-readable storage media storing design data describing the circuit of claim 1.11.A method, comprising: receiving circuit design information representative of a circuit-under-test; and generating selection logic for testing the circuit-under-test, the selection logic comprising the circuit of claim 1.12.The method of claim 11, further comprising manufacturing an integrated circuit for the circuit-under-test, the integrated circuit tested at least in part using the selection logic.", "13.The method of claim 11, further comprising testing the circuit-under-test using the generated selection logic.", "14.One or more computer-readable media storing computer-executable instructions for causing a computer to perform the method of claim 11.15.A method, comprising: generating mask data indicating patterns of unknown states for which to mask test responses received from scan cells in an integrated circuit; storing the mask data in a memory of the integrated circuit; providing a selector configured to mask test responses produced by the scan cells based on the stored mask data, thereby producing masked test responses; and providing a test response compactor configured to receive the masked test responses.", "16.The method of claim 15, wherein the generating mask data comprises mapping a pattern of the received test responses to one or more fault propagation sites designated among the scan cells.", "17.The method of claim 15, wherein the generating the mask data comprises selecting one or more of the scan cells based on the number of times an unknown state reaches the scan cells, the number of times faults are observed at the scan cells, or the number of times an unknown state reaches the scan cells and the number of times faults are observed at the scan cells, through a series of the received test responses.", "18.An integrated circuit, comprising: a circuit-under-test comprising one or more scan cells; a memory storing mask data, the mask data indicating one or more of the scan cells to mask in scan cell test responses by masking patterns of unknown states in the test responses; a selector configured to mask the received test responses responsive to the mask data, producing masked test responses; and a compactor operable to receive the masked test responses.", "19.The circuit of claim 18, wherein the stored mask data is generated based at least in part based on rankings of the scan cells, the rankings based at least in part on an X-histogram and a D-histogram, the X-histogram indicating the number of times an unknown state reaches a given location at one or more of the scan cells throughout a series of the test responses, the D-histogram based at least in part on the number of faults observed at one or more of the scan cells throughout a series of the test responses.", "20.The circuit of claim 18, further comprising a group of circular mask registers coupled between the memory and the selector." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Time compactors of test responses have always had problems dealing with unknown states.", "Even state of the art compactors do not tolerate unknown states (“X”s or “x-states”) to a high enough standard for today's needs.", "For example, in BIST applications, a pattern with thousands of scan cells may contain hundreds of scan cells with X states in them, and thus a time compactor may need to handle millions of unknowns." ], [ "<SOH> BRIEF SUMMARY OF THE INVENTION <EOH>Aspects of the invention relate to built-in self-test techniques for integrated circuit that address the issue of unknown states.", "For example, some of the disclosed embodiments use a specialized scan chain selector coupled to a time compactor.", "The presence of the specialized scan chain selector increases the efficiency in masking X states.", "Several experimental results confirm that embodiments of the disclosed X-masking scheme do not compromise test quality, but preserve all capabilities of conventional scan-based test and require a small amount of information to control the compactor.", "Furthermore, in some of the disclosed embodiments, the scan chain selection scheme has the ability to perform selective masking of scan chains and to handle a wide range of unknown state profiles (for example, the selective masking can be performed such that all such states can be eliminated in a per-cycle mode while preserving high observability of scan cells that capture errors).", "Also among the disclosed embodiments are: (1) an architecture of a selector that works with multiple scan chains and time compactors, (2) a method for determining and encoding per cycle scan chain selection masks used subsequently to suppress X states, and (3) a method to handle an over-masking phenomenon." ], [ "RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "15/188,786, entitled “Selective Per-Cycle Masking of Scan Chains for System Level Test,” filed Jun.", "21, 2016 (now U.S. Pat.", "No.", "9,874,606), which is a continuation of U.S. patent application Ser.", "No.", "14/257,918, entitled “Selective Per-Cycle Masking of Scan Chains for System Level Test,” filed Apr.", "21, 2014 (now U.S. Pat.", "No.", "9,377,508), which is a divisional of U.S. patent application Ser.", "No.", "13/453,929, entitled “Selective Per-Cycle Masking of Scan Chains for System Level Test,” filed Apr.", "23, 2012 (now U.S. Pat.", "No.", "8,726,113), which is a continuation of U.S. patent application Ser.", "No.", "12/341,996, entitled “Selective Per-Cycle Masking of Scan Chains for System Level Test,” filed Dec. 22, 2008 (now U.S. Pat.", "No.", "8,166,359), which claims the benefit of U.S.", "Provisional Patent Application No.", "61/015,663, entitled “Selective Per-Cycle Masking of Scan Chains for System Level Test,” filed on Dec. 20, 2007, and naming Janusz Rajski et al.", "as inventors, all of which are hereby incorporated by reference as if set forth in full in this application for all purposes.", "FIELD OF THE INVENTION The present invention is directed to the masking of unknown states during the built-in self-test of integrated circuits.", "Various embodiments of the invention may be particularly useful for the masking unknown states for built-in self-test techniques using compaction.", "BACKGROUND OF THE INVENTION Time compactors of test responses have always had problems dealing with unknown states.", "Even state of the art compactors do not tolerate unknown states (“X”s or “x-states”) to a high enough standard for today's needs.", "For example, in BIST applications, a pattern with thousands of scan cells may contain hundreds of scan cells with X states in them, and thus a time compactor may need to handle millions of unknowns.", "BRIEF SUMMARY OF THE INVENTION Aspects of the invention relate to built-in self-test techniques for integrated circuit that address the issue of unknown states.", "For example, some of the disclosed embodiments use a specialized scan chain selector coupled to a time compactor.", "The presence of the specialized scan chain selector increases the efficiency in masking X states.", "Several experimental results confirm that embodiments of the disclosed X-masking scheme do not compromise test quality, but preserve all capabilities of conventional scan-based test and require a small amount of information to control the compactor.", "Furthermore, in some of the disclosed embodiments, the scan chain selection scheme has the ability to perform selective masking of scan chains and to handle a wide range of unknown state profiles (for example, the selective masking can be performed such that all such states can be eliminated in a per-cycle mode while preserving high observability of scan cells that capture errors).", "Also among the disclosed embodiments are: (1) an architecture of a selector that works with multiple scan chains and time compactors, (2) a method for determining and encoding per cycle scan chain selection masks used subsequently to suppress X states, and (3) a method to handle an over-masking phenomenon.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates an example of an X-masking scheme that may be implemented according to various examples of the invention.", "FIG.", "2 illustrates another example of an X-masking scheme that may be implemented according to various examples of the invention.", "FIGS.", "3-5 illustrate examples of selection logic that may be implemented according to various examples of the invention.", "DETAILED DESCRIPTION OF THE INVENTION Overview Disclosed below are methods, apparatus, and systems that should not be construed as limiting in any way.", "Instead, the present disclosure is directed toward all novel and nonobvious features and aspects of the various disclosed methods, apparatus, systems, and equivalents thereof, alone and in various combinations and subcombinations with one another.", "The present disclosure is not limited to any specific aspect or feature, or combination thereof, nor do the disclosed methods, apparatus, and systems require that any one or more specific advantages be present or problems be solved.", "Although the operations of some of the disclosed methods, apparatus, and systems are described in a particular, sequential order for convenient presentation, it should be understood that this manner of description encompasses rearrangement, unless a particular ordering is required by specific language set forth below.", "For example, operations described sequentially may in some cases be rearranged or performed concurrently.", "Moreover, for the sake of simplicity, the figures may not show the various ways in which the disclosed methods, apparatus, and systems can be used in conjunction with other methods, apparatus, and systems.", "Additionally, the description sometimes uses terms like “evaluate” and “determine” to describe the disclosed methods.", "These terms are high-level abstractions of the actual operations that are performed.", "The actual operations that correspond to these terms may vary depending on the particular implementation and are readily discernible by one of ordinary skill in the art.", "The disclosed embodiments can be used to compress test responses during the testing of a wide variety of scan-based or partially-scan-based circuits (for example, application-specific integrated circuits (“ASICs”) (including mixed-signal ASICs), systems-on-a-chip (“SoCs”), or programmable logic devices (“PLDs”), such as field programmable gate arrays (“FPGAs”)).", "The disclosed compression hardware can also be implemented in any such circuits.", "Any of the disclosed techniques can be implemented in whole or in part by software comprising computer-executable instructions stored on computer-readable media (e.g., computer-readable media, such as one or more CDs, volatile memory components (such as DRAM or SRAM), or nonvolatile memory components (such as hard drives)).", "Such software can comprise, for example, electronic design automation (“EDA”) software tools (e.g., an automatic test pattern generation (“ATPG”) tool).", "The particular software tools described should not be construed as limiting in any way, however, as the principles disclosed herein are generally applicable to other software tools.", "Such software can be executed on a single computer or on a networked computer (e.g., via the Internet, a wide-area network, a local-area network, a client-server network, or other such network).", "For clarity, only certain selected aspects of the software-based implementations are described.", "Other details that are well known in the art are omitted.", "For example, it should be understood that the disclosed technology is not limited to any specific computer language, program, or computer.", "For example, the disclosed technology can be implemented using any commercially available computer executing a program written in any commercially available or otherwise suitable language.", "Any of the disclosed methods can alternatively be implemented (partially or completely) in hardware (e.g., an ASIC, PLD, or SoC).", "Further, any data produced from any of the disclosed methods (e.g., intermediate data or final data resulting from some of the disclosed embodiments) can be created, updated, or stored on computer-readable media (e.g., tangible computer-readable media, such as one or more CDs, volatile memory components (such as DRAM or SRAM), or nonvolatile memory components (such as hard drives)) using a variety of different data structures or formats.", "Such data can be created or updated at a local computer or over a network (e.g., by a server computer).", "Any of the disclosed methods can also be performed in a computer simulation or other EDA environment (e.g., in a simulation environment where test patterns are simulated as being applied to representations of circuits or test responses are simulated as being compressed).", "For example, the disclosed methods can be performed using circuit design information representative of a circuit-under-test and the associated compression hardware (for example, a netlist, HDL file (such as a Verilog or VHDL file), and the like) and stored on computer-readable media.", "For presentation purposes, however, the present disclosure sometimes refers to the circuit-under-test (including the various components of the circuit-under-test) and the associated compression hardware (including the various components of the hardware) by their physical counterparts (for example, scan chains, scan cells, ring generator, phase shifter, shadow register, and other such terms).", "It should be understood, however, that any such reference not only includes the physical components but also includes representations of such components as are used in simulation, automatic test pattern generation, or other such EDA environments.", "Any of the disclosed apparatus can be described or represented as design data or design information stored on one or more computer-readable media.", "For example, any of the disclosed compressors or associated selection hardware can be described or represented in an HDL file (such as a Verilog, VHDL, or register-transfer level file), a gate-level netlist, or other such EDA design file (e.g., a GDSII file or Oasis file).", "Introduction With the design paradigm shifting towards extensive use of embedded cores containing complex and diverse components, next generation test technology will likely experience increasing demands for high quality system level test.", "A system level test methodology desirably is capable of one or more of the following: delivering high quality test patterns going beyond pseudo-random vectors and test points, accommodating various types of test patterns, handling unknown (X) states (e.g., resulting from multi-cycle and false paths as well as uninitialized memories) in such a way that the X states (desirably, all X states) are suppressed, and working as a hybrid solution, so that it can use on-chip test features for both manufacturing and system test to achieve even order of magnitude higher compression ratios in the field.", "As designs grow in size, it becomes increasingly expensive to maintain a high level of test coverage.", "This is due in part to a prohibitively large volume of test data that must be stored, and very long test application times.", "Accordingly, test methods that reduce the amount of test data can be useful in maintaining the high efficiency of a testing scheme.", "Test response compaction, in conjunction with stimuli compression, for example, can be used to address the issue of test data volume growth.", "Unfortunately, in many scan-based designs, X states, once captured in scan cells, are subsequently injected into a compactor, where they can severely affect a signature.", "This issue applies primarily to time compactors, which allow X states to quickly multiply (due to a feedback fan-out) and sustain their presence until a read out operation.", "For example, if a test pattern with 100,000 scan cells features typically 100 scan cells with X states, i.e., an X fill rate is equal to 0.1%, and there are 100,000 of such patterns, then a time compactor would have to handle a large volume of roughly 107 unknown states.", "Consequently, some of the desirable features of a reliable system level test response compactor include preserving observability of any scan cell for a wide range of X state profiles while maintaining high compaction ratios, providing the ability to detect a variety of failures, and assuring design simplicity.", "Selector Architecture FIG.", "1 shows an exemplary X-masking scheme according to the disclosed technology.", "The exemplary X-masking scheme is placed in a system level BIST environment with multiple scan chains.", "Test stimuli are provided by a test pattern generator (TPG).", "In one embodiment, the test response compactor comprises a multiple input signature register (MISR) and a scan chain selector, which in turn comprises a mask memory and selection logic driven by mask bits kept, in a compressed form, in the memory.", "It should be understood that the other types of time compactors can also be used (e.g., other linear feedback shift register (LFSR) or linear finite state machine (LFSM) based compactors).", "In the illustrated embodiment, the selector outputs gating signals to AND gates (as shown in FIG.", "1) in a per-cycle mode such that unknown states originating at various scan cells do not reach a compactor (MISR).", "These signals either block the corresponding scan chains to suppress X's, or allow scan chains to feed the compactor if they carry errors.", "Scan chains whose content during a given time frame is not regarded critical may receive either 0 or 1 as their gating signals.", "This applies primarily to scan cells that do not capture any erroneous signals (but not X's—these states are desirably eliminated in their entirety as otherwise they can corrupt the signature produced by a compactor).", "Very often many test responses feature identical or similar patterns of X states (for further details see Section 3).", "This makes it possible to reduce the amount of on-chip X-masking data by having a small selector which applies the same mask data to multiple patterns.", "Accordingly, data stored in the mask memory can be re-used many times for various test responses.", "According to one embodiment, to operate the selection logic, the sequential part of the selection logic is reset after every unload.", "Another embodiment of X-masking circuitry is shown in FIG.", "2.The embodiment illustrated in FIG.", "2 includes a group of circular mask registers placed between the mask storage and selection logic.", "This architecture can be used if the mask memory organization is not directly suitable for driving selection logic (e.g., it cannot operate as a group of single-bit-wide memories).", "In such a case, test data can be reformatted into appropriate streams of bits through the use of an extra device, such as a parallel-to-serial converter.", "After that, these bits move further to the mask registers, which, in turn, are capable of re-circulating the same data as many times as needed.", "One component of both of the exemplary embodiments introduced above is the selection logic that yields the gating signals.", "Before presenting the architectural details of the selection logic, a discussion is provided in which the typical patterns that produce X states and that the selector has to handle are discussed.", "Many test responses that will be passed to the compactor may have identical or similar patterns of X states.", "Moreover, effects caused by various faults often propagate to the same scan cells.", "These phenomena can be used to help reduce the amount of data required to govern the X-masking process, and thus to control the scan chain selector.", "In this section, exemplary methods of grouping several test responses into a single item are introduced.", "The actual compressed gating signals, shared then by the same test responses, can be obtained using the grouped version of the test responses.", "As a result, the number of masking patterns can be much smaller than the number of original test responses.", "One embodiment of the grouping method proceeds as follows: The test responses (e.g., all test responses) are superposed to produce an X-histogram.", "The entries of the X-histogram can correspond to scan cells and indicate how many times an X reaches a given scan location through the entire test.", "Typically X states propagate to certain areas of scan only.", "Such information can be used to rank scan cells, and to guide their selection as observation points, as shown in the remaining of this section.", "In certain embodiments, the method of selecting scan cells as observation points uses an additional D-histogram whose entries, like the X-histogram data, correspond to successive scan cells.", "For example, the entry Dc can keep track of the number of faults as they are added to those observed at a scan cell c. With these histograms, and in one particular implementation, ranking scan cells is a straightforward task—the lower the number of X states and the higher the number of errors captured, the higher rank of the cell.", "Such an approach forces the selection method to prefer scan cells already chosen as propagation sites for other faults, and having low X-state counts.", "It is also worth noting that choosing, as an observation point, a scan cell that captures lots of X states at other occasions precludes grouping such a response with others that feature X states on the same position, and thus should be avoided.", "In one exemplary implementation, the following grouping technique is used for one or more of the faults (e.g., for each fault): selecting, among the fault's propagation sites, the highest-rank scan cell, say C, since it suffices to observe a given fault once; updating the D-histogram accordingly by decreasing entries corresponding to all scan cells where faults observed at scan cell C are visible as well; assigning the selected scan cell C to the first test response where the fault is observed; and removing faults observed at scan cell C from the list.", "As a result, each original test response is mapped to a pattern that, in addition to X states, contains a small number of designated fault propagation sites which capture relatively large number of errors.", "This embodiment uses a single scan through the fault list because it is faster and more compact (but yields virtually the same results as) a method which handles every scan cell individually with tens of thousands of faults propagating to it.", "Other embodiments of the disclosed technology, however, use different approaches that do not involve a single scan through the fault list.", "Once the error-capturing scan cells are determined, and according to one exemplary embodiment, test response cubes are created in which bits corresponding to scan cells hosting X states and those designated as observation points receive the masking values of 0 and 1, respectively.", "The remaining bits (e.g., all remaining bits) assume a “don't care” status.", "The test response cubes now become the subject of merging.", "Merging enables the reduction of patterns used to observe designated scan cells and to mask all X states (in other words, merging helps to reduce the amount of X-masking data).", "In one embodiment, the test response cubes are processed as follows.", "In principle, this exemplary embodiment tries to merge compatible cubes to form a single pattern.", "Cubes are compatible if in every position where one of the cubes has a value of 0 or 1, the other cubes either feature the same value or a “don't care” value.", "The exemplary merging method maintains a finite length queue of cubes to limit the number of cubes that can be merged at the same time.", "The queue-maintenance operations involve comparisons among items on the queue, and thus the queue's size determines the complexity of the cube merging.", "Controlling the queue size allows one to trade off the number of final patterns and processing time.", "Once the cubes in the queue have been examined (and possibly merged), the cubes already combined together can be discarded, and (if possible) new cubes added to those that still reside on the queue.", "One consideration during the merging process is the selection of the cube to add next to those merged earlier.", "A simple “greedy” algorithm can be used to find a sequence of cubes susceptible for effective merging.", "For instance, in one exemplary implementation, the process uses the degree of compatibility between two cubes.", "Given a pair of compatible cubes x and y, we can determine the number Cx,y of common positions where both cubes feature 0s or 1s.", "Let also Sx and Sy be the total number of specified locations in cubes x and y, respectively.", "The degree of their compatibility can be given by the ratio: Wx,y=Cx,y/min{Sx, Sy}, Wx,y∈0, 1.Given test response cubes gathered in the queue, one exemplary embodiment begins by assigning its first element to the current result r of merging; then in each iteration, while there are cubes not examined yet, the final masking pattern is created one cube at a time, selecting next the cube c that gives the largest value of Wr,c.", "In particular, if cube r contains all specified bits of x, then Wr,x=1.0, and cube x is the best candidate for merging.", "As can be seen, the closer a given cube is to the current result in terms of compatibility between their specified patterns, the more beneficial it is to merge them as the number of specified bits in the resultant cube increases at a reasonable pace.", "Consequently, such a cube is more likely to be encoded.", "Cube merging can be followed by an encoding step.", "Successful compression of patterns produced by the above exemplary merging procedure makes it possible to finally accept such groupings.", "A detailed description of this phase is presented in the following sections.", "Selection Logic As shown in the previous section, certain embodiments of the disclosed technology exploit the fact that test responses feature a large number of clustered unknown states and that it suffices to observe a relatively small number of positions that capture the significant portion of actual errors.", "Having a large number of X states occurring in contiguous and adjacent areas of scan chains makes it possible to deliver the identical mask data to gating circuitry for a number of shift cycles.", "In order to implement this delivery, however, a mechanism to sustain the outputs of the selector for more than a single clock cycle is desired.", "The mechanism also desirably allows the selector to change its internal state to ensure successful encoding of the next desired mask bits.", "In one exemplary embodiment, the selection logic is a sequential circuit that comprises a ring generator (or another linear finite state machine), a shadow register, and a phase shifter.", "An example of such selection logic is shown in FIG.", "3.Examples of ring generators are described in U.S. Pat.", "No.", "6,353,842 and G. Mrugalski et al, “Ring generators—new devices for embedded test applications,” IEEE Trans.", "CAD, vol.", "23, pp.", "1306-1320, Sep. 2004, which are hereby incorporated herein by reference.", "In the illustrated embodiment, compressed mask data can be delivered through c inputs in a continuous manner, i.e., a new c-bit word is injected into the ring generator every scan shift cycle, effectively moving the selector from one of its states to another.", "As can be seen, the same mask data can be provided to the AND gates for a number of shift cycles through a shadow register, which captures and saves, for a number of cycles, a desired state of the ring generator while the generator itself keeps advancing to the next state needed to encode another group of bits (representing both Xs and Ds).", "As a result, independent operations of the ring generator and its shadow register allow virtually any state which causes no conflicts with targeted bits to mask all X states while ensuring high observability of errors.", "In principle, an extra input C can facilitate the operation of the shadow register.", "This is illustrated in FIG.", "3.Every shift cycle (or, in some embodiments, for every fixed number of cycles), a control bit can be delivered to the selector in order to indicate whether the shadow register should be reloaded with the current content of the ring generator.", "If a given control bit is set at 1, then the shadow register updates its state before the ring generator reaches its next state.", "In other embodiments, instead of using an extra input, the control information can be merged with the mask variables by reusing data stored in the mask memory.", "An example of circuitry configured to implement this approach is shown in FIG.", "4.Although they still feed the ring generator, mask bits go through the small buffers and then drive an XOR tree, which computes a parity signal for the corresponding input variables.", "If the parity of these input variables is odd, then, in this example, the shadow register is reloaded before new variables enter the ring generator.", "Otherwise, the content of the register remains unchanged.", "It is worth noting that this technique allows one to use even a single input if encoding capabilities of the selector permit.", "Driving the shadow register and the selector with the same test data requires a special encoding process, an example of which is shown in the following section.", "Yet another embodiment of the selection logic is shown in FIG.", "5.In this particular embodiment, an XOR tree, used to reload the shadow register, is driven directly from the outputs of the ring generator.", "This technique can be contrasted with the scheme of FIG.", "4, which allows one to control the shadow register by reusing virtually all test data injected in earlier cycles into the generator.", "In the absence of X states, it can be highly beneficial to observe all scan chains.", "This feature can be implemented in various ways.", "One of the most straightforward rests on the observation that phase shifters are typically designed in such a way that all their outputs are obtained by XOR-ing an odd number of inputs.", "Hence, if all ring generator bits (or alternatively the corresponding bits of the shadow register) are set to 1, then all gating signals equal 1 as well, and consequently all scan chains can be observed.", "Selector Operation In order to suppress X states (e.g., all X states) and maintain high observability of other scan cells, the selector is desirably operated with mask data generated from a mask data compression procedure.", "In one exemplary mask data compression procedure, gating signals (e.g., all gating signals) are represented by linear functions of Boolean mask variables injected into the selector.", "In principle, the procedure partitions a given test response into several blocks comprising a certain number of consecutive slices such that there are no scan chains that capture both X's and D's at the same time inside the blocks.", "This feature allows one to repeat a given selector state many times in succession by using the shadow register storing a state that the ring generator entered at the beginning of a block.", "As a result, one can successfully encode lots of identical data, such as clustered X states or multiple errors.", "By contrast, traditional test cube compression schemes typically require one equation for every specified bit.", "The same observation applies equally to reseeding-based X-masking schemes, such as those described in M. Naruse, I. Pomeranz, S. M. Reddy, and S. Kundu, “On-chip compression of output responses with unknown values using LFSR reseeding,” Proc.", "ITC, pp.", "1060-1068, 2003; E. H. Volkerink and S. Mitra, “Response compaction with any number of unknowns using a new LFSR architecture,” Proc.", "DAC, pp.", "117-122, 2005.In embodiments of the disclosed technology, the actual block size is also determined by the ability of a given selector to encode data captured within boundaries of the block.", "In certain embodiments of the technology, the encoding process begins with a block and the corresponding state of a ring generator which should be applied first, and gradually moves towards the end of a test response.", "As long as the mask data can be encoded, the encoding process works by repeatedly increasing the size of the block, and by creating a new set of equations.", "At some point, a solution may not exist anymore.", "This particular time frame can then be assigned a new block, and the procedure continued.", "As a result, a set of blocks that covers the entire test response can be generated.", "As an example, consider a 2-input, 12-bit selector using the primitive polynomial x12+x10+x5+x4+1 and gating 16 scan chains through a phase shifter having the following outputs: s 0 ⊕ s 5 ⊕ s 6 , s 4 ⊕ s 7 ⊕ s 10 , s 1 ⊕ s 9 ⊕ s 11 , s 0 ⊕ s 9 ⊕ s 11 , s 1 ⊕ s 3 ⊕ s 5 , s 4 ⊕ s 6 ⊕ s 7 , s 3 ⊕ s 8 ⊕ s 10 , s 1 ⊕ s 9 ⊕ s 10 , s 4 ⊕ s 6 ⊕ s 11 , s 0 ⊕ s 3 ⊕ s 5 , s 0 ⊕ s 1 ⊕ s 8 , s 6 ⊕ s 10 ⊕ s 11 , s 2 ⊕ s 3 ⊕ s 5 , s 2 ⊕ s 7 ⊕ s 9 , s 2 ⊕ s 4 ⊕ s 8 , s 3 ⊕ s 4 ⊕ s 5 .", "Consider a shadow register that is controlled by a 4-input XOR gate whose inputs always comprise the last two variables injected through each input of the ring generator (see, e.g., FIG.", "4).", "Suppose the selector is to generate a mask pattern for a test response shown in Table 1 (“-” denotes here the “don't care” positions; bits that should be considered first are located on the right).", "The mask variables a0, b0, a1, b1.. .", ", are provided in pairs.", "Hence, continuous operation of the selector yields the following linear expressions corresponding to locations in the rightmost column (it is assumed that the selector's initial period takes four clock cycles; recall also that X's and D's are represented by the gating values of 0 and 1, respectively): a2, b2, a4=1 a2, a3, a4, b2, b3, b5=0 a1, b1, b5=0 a6, b6, a7, b7=1 The first three equations correspond directly to one D and two X states of the rightmost slice, while the last one represents a request to store the content of the ring generator in the shadow register before variables a6, b6, a7, b7 will change the state of the generator during the seventh cycle.", "As can be verified, the above set of equations has a solution that may determine the remaining gating signals, as well.", "It is now desirable to use the same signals to cover as many following slices as possible.", "Such a technique gives the ring generator enough time to compensate for fading encoding effectiveness by collecting new mask variables which, in turn, facilitate successful compression during next steps once the shadow register has to be reloaded.", "In this example, the next slice does not pose any encoding problems as it features two X states and one D state, each with locations identical to that of the previous slice.", "Consequently, the only new equation that has to be added to the former set takes care of the shadow register.", "Since there is no need to reload it, it assumes the form a7, b7+a8, b8=0.The next slice of interest features two X states and one error.", "Note that only the D state (represented by the gating signal that must be set to 1) needs an equation.", "The unknown states are located in the same chains as four earlier unknown states.", "This particular equation is desirably expressed in terms of variables injected until the sixth cycle, only.", "This is because a ring generator state which is to cover the indicated symbols should be completely determined before it is moved to the shadow register during the seventh cycle, i.e., when the selector starts gating the scan chains.", "Hence, by moving conceptually this particular symbol to the beginning of the block, the equation having the following form can be generated: a6, a9, b6, b9=1.This equation is further accompanied by the control equation a9, a10, b9, b10=0, which indicates no need to reload the shadow register.", "After four slices in which equations are generated in this same fashion, the eighth time frame has a conflict with the previous slices and therefore begins a new cluster with the shadow register reloaded.", "The same scenario applies whenever it is impossible to encode a given slice.", "Note that the remaining slices (all remaining slices) of the previous cluster receive the control equations similar to the one shown above.", "The exemplary process described above yields the sequence of the following mask bits: 00000010010110100000000000000101011000 00001101101001011111111100000100010000 which, when provided to the selector, will produce successive gating signals.", "The corresponding pattern of such signals for the test cube presented earlier in Table 1 is shown in Table 2.As can be seen, all X states are masked (such 0s are printed in bold in Table 2).", "The same rule applies to all observation points (bold 1s in Table 2).", "1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 Multi-Masking The final X-masking patterns produced by embodiments of the cube merging procedure described above inherently feature high fill rates.", "This is because the scan chain selector not only suppresses X states, but is supposed to help achieve the highest possible observability of the remaining scan cells as well.", "Although the exemplary encoding technique presented above addresses specifically this type of pattern, it may fail when trying to encode some of the most demanding cases.", "When the encoding technique fails, the original X-masking pattern can be relaxed by splitting it into two or more patterns having lower fill rates with respect to scan cells that capture errors (locations corresponding to the X states must be kept in all patterns).", "Such relaxed patterns are then used to mask the X states multiple times while repeatedly observing different sets of scan cells of the same test response.", "In order to generate the relaxed masking patterns, an exemplary embodiment of the encoding procedure proceeds as follows: The masking pattern is initialized with X states, and in one particular implementation is initialized with all X states (in certain embodiments, this corresponds to putting 0s in all relevant locations).", "Next, as long as this pattern can be compressed, the procedure iteratively attempts to pack more specified bits representing fault propagation sites (that is 1s) into that pattern in a manner that allows for successful encoding.", "Selection of these specified bits is flexible and can be done in a variety of ways, but should desirably be performed so that a given scan cell can be observed.", "By contrast, with conventional test data compression schemes, specified bits can only be added in accordance with successive test cubes generated by ATPG.", "Let D be a set that initially contains all specified bits representing fault propagation sites in the original response that the encoding procedure initially failed to encode.", "Let also d=|D|.", "According to one exemplary implementation, the relaxation process is as follows.", "d/2 specified bits are selected (e.g., randomly or according to some non-random pattern) from D (in other embodiments, other fractional amounts of D are selected.)", "An attempt is made to encode the selected bits.", "If the attempt succeeds, these items are removed from D. The variable d assumes now the value of d/2 (or other appropriate fractional value), and the procedure continues to select (e.g., randomly) another group of d specified bits still in D, which it subsequently tries to encode.", "Note that the procedure proceeds further even in the case of encoding failure, as the procedure will try to add another (but twice smaller) group of bits that could be encoded.", "The entire procedure stops when d<1.If the original content of D has not been changed at all, then this condition makes the procedure declare an actual encoding failure.", "Once the resultant masking pattern is obtained, the selection logic can be simulated as being driven by that vector to determine points observed as by-products.", "The use of linear selection logic typically implies visibility of half of the scan cells.", "Thus, one may expect that some additional fault propagation sites, not targeted by a given masking pattern, can be observed as well.", "These fortuitously determined locations are desirably not to be taken into account when creating another masking pattern for the same response, and thus they are removed from D. The whole relaxation process finishes once the set D becomes empty.", "It is worth noting that the number of masking patterns derived from a single test response cube (after merging) is very small since, in the worst case, each masking pattern reduces approximately the number of observation points that remain to be observed by half in a logarithmic fashion.", "Experimental Results An embodiment of the scan chain selection logic introduced above was tested on several industrial designs.", "Their characteristics are presented in Table I.", "For each circuit, the table gives the following information: the number of gates and a scan architecture, the total number of faults targeted by ATPG, the total number of X states captured by scan cells when applying successive test patterns, the number of test responses, the number of inputs driving selection logic, the size of a ring generator.", "TABLE 3 X rate D rate Observe Design Gates Faults X's Responses Merge Scan Inputs Ring Split [%] [%] [%] D1 220K 179,026 124,949 672 2 100 × 2 32 5 2.63 18.28 53.26 126 200 × 2 32 9 2.59 10.15 45.97 63 D2 545K 795,160 26,198 1,833 11 100 × 2 32 34 0.05 11.79 62.14 452 200 × 4 32 37 0.05 10.84 59.60 226 D3 1,095K 1,263,548 15,299 5,364 14 200 × 2 32 40 0.13 7.69 57.58 351 400 × 2 32 55 0.12 5.59 52.90 176 D4 1,147K 1,973,294 503,547 1,002 45 100 × 4 32 104 3.49 5.46 54.72 865 200 × 4 48 146 3.68 3.89 51.26 433 D5 427K 417,688 2,037,681 1,062 49 40 × 2 48 181 17.18 3.17 46.00 713 50 × 4 48 115 17.27 4.99 52.27 570 In all experiments, a shadow register associated with the ring generator was controlled by XOR logic, as shown in FIG.", "4.The other columns report the results obtained by using the exemplary method described above.", "The column Merge provides the number of test response patterns obtained after completing the response cube merging, as described above.", "Some merged responses have to be subsequently split (as shown above as well) in order to assure their successful encoding.", "Consequently, the column Split gives the actual (and final) number of masking patterns which block all X's and guarantees observability of all errors.", "The columns X rate and D rate summarize the X fill rate and the error fill rate, respectively, as recorded in the masking patterns obtained due to the proposed scheme.", "The last column Observe provides an average observability of scan cells which do not capture X states.", "This figure of merit is indicative of likelihood that a fault can be detected even if it propagates to scan cells which are not targeted by the masking scheme.", "These numbers further confirm the rationale used in the relaxation procedure—many fault propagation sites can be observed as by-products of the masking procedure.", "As can be seen, a dramatic reduction of data is achieved in all examined cases despite the high fill rates.", "Note that the fill rates reported in Table I are the average values; for many response patterns the actual rates can be much higher.", "It is also worth noting that the amount of data necessary to control selection logic includes information used to assign successive test patterns (responses) to the corresponding masking data.", "In one solution, successive test patterns can be grouped so that vectors belonging to a given group are served by the same set of masking patterns.", "As a result, a test controller desirably stores one or more of the following items of information: the number of groups, the number of test patterns (responses) in each group, for each group, the number of masking patterns (obtained after relaxation) and the location of the first masking pattern in the X-masking data memory; note that the number of masking patterns is deployed by the test controller to keep track how many times a given test pattern has to be applied in order to observe all designated fault propagation sites.", "As an example, consider design D1 from Table 3 with a scan architecture 100×126.Its 672 test responses can be divided into 2 groups as far as the scan masking is concerned.", "It appears that the original 2 masking patterns cannot be encoded, and therefore they have been further split into 2 and 3 new patterns, respectively, resulting eventually in 5 different masking patterns.", "Consequently, the control data amounts in this case to the following numbers: 1 bit to encode the number of groups, 9+9=18 bits to represent quantities of vectors in each group, 2+2=4 bits encoding the number of masking patterns for each group, and finally a certain number of bits that suffice to address memory location of the first masking pattern in each group.", "Having illustrated and described the principles of the disclosed technology, it will be apparent to those skilled in the art that the disclosed embodiments can be modified in arrangement and detail without departing from such principles.", "In view of the many possible embodiments, it will be recognized that the illustrated embodiments include only examples and should not be taken as a limitation on the scope of the disclosed technology.", "Rather, the disclosed technology includes all novel and nonobvious features and aspects of the various disclosed apparatus, methods, systems, and equivalents thereof, alone and in various combinations and subcombinations with one another.", "Conclusion While the invention has been described with respect to specific examples including presently preferred modes of carrying out the invention, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques that fall within the spirit and scope of the invention as set forth in the appended claims.", "For example, while specific terminology has been employed above to refer to electronic design automation processes, it should be appreciated that various examples of the invention may be implemented using any desired combination of electronic design automation processes." ] ]
Patent_15875589
[ [ "NEURAL MODEL-BASED CONTROLLER", "Described is a system for memory improvement intervention.", "Based on both real-time EEG data and a neural model, the system simulates replay of a person's specific memory during a sleep state.", "Using the neural model, a prediction of behavioral performance of the replay of the specific memory is generated.", "If the prediction is below a first threshold, then using a memory enhancement intervention system, the system applies an intervention during the sleep state to improve consolidation of the specific memory.", "If the prediction is below a second threshold, the system reduces the intervention performed using the memory enhancement intervention system." ], [ "1.A system for memory improvement intervention, the system comprising: one or more processors and a non-transitory computer-readable medium having executable instructions encoded thereon such that when executed, the one or more processors perform operations of: based on both real-time EEG data and a neural model, simulating replay of a person's specific memory during a sleep state; using the neural model, generating a prediction of behavioral performance of the replay of the specific memory; if the prediction is below a first threshold, then using a memory enhancement intervention system, applying an intervention during the sleep state to improve consolidation of the specific memory; and if the prediction is below a second threshold, reducing the intervention performed using the memory enhancement intervention system.", "2.The system as set forth in claim 1, the system further comprising: a plurality of brain sensors to provide EEG signals; and the memory enhancement intervention system, wherein the neural model is part of a closed-loop control system.", "3.The system as set forth in claim 1, wherein a recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "4.The system as set forth in claim 3, wherein the prediction is applied to the recall metric, and the first threshold and second threshold are values of the recall metric.", "5.The system as set forth in claim 1, wherein the system controls intervention that applies to the specific memory such that consolidation of other memories is also allowed to occur.", "6.The system as set forth in claim 1, wherein the neural model comprises a short-term memory store and a long-term memory store, wherein each memory store comprises a plurality of items, each item having an activation level that evolves dynamically over time, wherein while an item is active, it forms links with other items that are active at the same time, wherein the links are directional to represent an order in which the linked items are experienced.", "7.The system as set forth in claim 6, wherein the links are represented as weight values, and wherein weight values are updated based on the activation levels of the linked items.", "8.The system as set forth in claim 6, wherein recall is a function of the activation level of each item, wherein an item is considered recalled if its activation level rises above the other activations going on at the same time.", "9.A computer implemented method for memory improvement intervention, the method comprising an act of: causing one or more processors to execute instructions encoded on a non-transitory computer-readable medium, such that upon execution, the one or more processors perform operations of: based on both real-time EEG data and a neural model, simulating replay of a person's specific memory during a sleep state; using the neural model, generating a prediction of behavioral performance of the replay of the specific memory; if the prediction is below a first threshold, then using a memory enhancement intervention system, applying an intervention during the sleep state to improve consolidation of the specific memory; and if the prediction is below a second threshold, reducing the intervention performed using the memory enhancement intervention system.", "10.The method as set forth in claim 9, wherein a recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "11.The method as set forth in claim 10, wherein the prediction is applied to the recall metric, and the first threshold and second threshold are values of the recall metric.", "12.The method as set forth in claim 9, wherein the system controls intervention that applies to the specific memory such that consolidation of other memories is also allowed to occur.", "13.The method as set forth in claim 9, wherein the neural model comprises a short-term memory store and a long-term memory store, wherein each memory store comprises a plurality of items, each item having an activation level that evolves dynamically over time, wherein while an item is active, it forms links with other items that are active at the same time, wherein the links are directional to represent an order in which the linked items are experienced.", "14.The method as set forth in claim 13, wherein the links are represented as weight values, and wherein weight values are updated based on the activation levels of the linked items.", "15.The method as set forth in claim 13, wherein recall is a function of the activation level of each item, wherein an item is considered recalled if its activation level rises above the other activations going on at the same time.", "16.A computer program product for memory improvement intervention, the computer program product comprising: computer-readable instructions stored on a non-transitory computer-readable medium that are executable by a computer having one or more processors for causing the processor to perform operations of: based on both real-time EEG data and a neural model, simulating replay of a person's specific memory during a sleep state; using the neural model, generating a prediction of behavioral performance of the replay of the specific memory; if the prediction is below a first threshold, then using a memory enhancement intervention system, applying an intervention during the sleep state to improve consolidation of the specific memory; and if the prediction is below a second threshold, reducing the intervention performed using the memory enhancement intervention system.", "17.The computer program product as set forth in claim 16, wherein a recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "18.The computer program product as set forth in claim 17, wherein the prediction is applied to the recall metric, and the first threshold and second threshold are values of the recall metric.", "19.The computer program product as set forth in claim 16, wherein the system controls intervention that applies to the specific memory such that consolidation of other memories is also allowed to occur.", "20.The computer program product as set forth in claim 16, wherein the neural model comprises a short-term memory store and a long-term memory store, wherein each memory store comprises a plurality of items, each item having an activation level that evolves dynamically over time, wherein while an item is active, it forms links with other items that are active at the same time, wherein the links are directional to represent an order in which the linked items are experienced.", "21.The computer program product as set forth in claim 20, wherein the links are represented as weight values, and wherein weight values are updated based on the activation levels of the linked items.", "22.The computer program product as set forth in claim 20, wherein recall is a function of the activation level of each item, wherein an item is considered recalled if its activation level rises above the other activations going on at the same time." ], [ "<SOH> BACKGROUND OF INVENTION <EOH>" ], [ "<SOH> SUMMARY OF INVENTION <EOH>The present invention relates to a system for memory improvement intervention and, more particularly, to a system for memory improvement intervention using a realistic brain model.", "The system comprises one or more processors and a non-transitory computer-readable medium having executable instructions encoded thereon such that when executed, the one or more processors perform multiple operations.", "Based on both real-time EEG data and a neural model, the system simulates replay of a person's specific memory during a sleep state.", "Using the neural model, a prediction of behavioral performance of the replay of the specific memory is generated.", "If the prediction is below a first threshold, then using a memory enhancement intervention system, an intervention is applied during the sleep state to improve consolidation of the specific memory.", "If the prediction is below a second threshold, the intervention performed is reduced using the memory enhancement intervention system.", "In another aspect, the system further comprises a plurality of brain sensors to provide EEG signals and the memory enhancement intervention system, wherein the neural model is part of a closed-loop control system.", "In another aspect, a recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "In another aspect, the prediction is applied to the recall metric, and the first threshold and second threshold are values of the recall metric.", "In another aspect, the system controls intervention that applies to the specific memory such that consolidation of other memories is also allowed to occur.", "In another aspect, the neural model comprises a short-term memory store and a long-term memory store, wherein each memory store comprises a plurality of items, each item having an activation level that evolves dynamically over time, wherein while an item is active, it forms links with other items that are active at the same time, wherein the links are directional to represent an order in which the linked items are experienced.", "In another aspect, the links are represented as weight values, and wherein weight values are updated based on the activation levels of the linked items.", "In another aspect, recall is a function of the activation level of each item, wherein an item is considered recalled if its activation level rises above the other activations going on at the same time.", "Finally, the present invention also includes a computer program product and a computer implemented method.", "The computer program product includes computer-readable instructions stored on a non-transitory computer-readable medium that are executable by a computer having one or more processors, such that upon execution of the instructions, the one or more processors perform the operations listed herein.", "Alternatively, the computer implemented method includes an act of causing a computer to execute such instructions and perform the resulting operations." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This is a Continuation-in-Part patent application of U.S. application Ser.", "No.", "15/332,787, filed in the United States on Oct. 24, 2016, entitled, “Method and System to Accelerate Consolidation of Specific Memories Using Transcranial Stimulation,” which is a Non-Provisional patent application of 62/245,730, filed in the United States on Oct. 23, 2015, entitled, “Method and System to Accelerate Consolidation of Specific Memories Using Transcranial Stimulation,” the entirety of which are hereby incorporated by reference.", "This is ALSO a Continuation-in-Part patent application of U.S. application Ser.", "No.", "15/682,065, filed in the United States on Aug. 21, 2017, entitled, “A Closed-Loop Model-Based Controller For Accelerating Memory And Skill Acquisition, which is a Non-Provisional patent application of U.S.", "Provisional Application No.", "62/410,533, filed in the United States on Oct. 20, 2016, entitled, “A Closed-Loop Model-Based Controller for Accelerating Memory and Skill Acquisition,” the entirety of which are hereby incorporated by reference.", "This is ALSO a Non-Provisional patent application of U.S.", "Provisional Application No.", "62/570,663, filed in the United States on Oct. 11, 2017, entitled, “System and Method for Predicting Performance,” the entirety of which is hereby incorporated by reference.", "This is ALSO a Non-Provisional patent application of U.S.", "Provisional Application No.", "62/478,020, filed in the United States on Mar.", "28, 2017, entitled, “A Neural Model-Based Controller,” the entirety of which is hereby incorporated by reference.", "GOVERNMENT LICENSE RIGHTS This invention was made with government support under U.S. Government Contract Number W911NF-16-0018.The government may have certain rights in the invention.", "BACKGROUND OF INVENTION (1) Field of Invention The present invention relates to a system for memory improvement intervention and, more particularly, to a system for memory improvement intervention using a realistic brain model.", "(2) Description of Related Art In state-of-the-art laboratory experiments, auditory or olfactory cues are associated with memory tasks during task performance, and these cues are then used during sleep to trigger replays of that task performance memory.", "For instance, Rudoy (see Literature Reference No.", "1 of the List of Incorporated Literature References) reported memory retention rates on object location experiments with audio cues of 97% after 1.5 hours (hrs), which can be extrapolated to 4% after 48 hrs.", "Additionally, Diekelman (see Literature Reference No.", "2) reported 84% retention on object location experiments with odor cues after 1.67 hrs, which can be extrapolated to 5% after 10 hrs.", "Further, Marshall (see Literature Reference No.", "3) reported 90% retention after 8.5 hrs on paired associates tasks after 10 hrs using transcranial direct current stimulation (tDCS) cues, but Marshall's technique improved every memory; it did not target specific memories.", "The prior art memory intervention techniques described above were only tested in a laboratory, under supervised sleep conditions.", "They were never intended for real-world use; only for research on memory consolidation.", "ACT-R (described in Literature Reference No.", "5) is a notional model of long-term memory that makes predictions about probability and speed of recall and even localization of activated neural regions in functional magnetic resonance imaging (fMRI).", "Thus, a continuing need exists for a model-based intervention system for modeling long-term memory as well as short-term, with explicit modeling of the effect of sleep replays on the consolidation of short-term memories into long-term for simulating the behavior improvement possible based on the treatment given so far, online, allowing a decision of whether the intervention should be continued or should be stopped.", "SUMMARY OF INVENTION The present invention relates to a system for memory improvement intervention and, more particularly, to a system for memory improvement intervention using a realistic brain model.", "The system comprises one or more processors and a non-transitory computer-readable medium having executable instructions encoded thereon such that when executed, the one or more processors perform multiple operations.", "Based on both real-time EEG data and a neural model, the system simulates replay of a person's specific memory during a sleep state.", "Using the neural model, a prediction of behavioral performance of the replay of the specific memory is generated.", "If the prediction is below a first threshold, then using a memory enhancement intervention system, an intervention is applied during the sleep state to improve consolidation of the specific memory.", "If the prediction is below a second threshold, the intervention performed is reduced using the memory enhancement intervention system.", "In another aspect, the system further comprises a plurality of brain sensors to provide EEG signals and the memory enhancement intervention system, wherein the neural model is part of a closed-loop control system.", "In another aspect, a recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "In another aspect, the prediction is applied to the recall metric, and the first threshold and second threshold are values of the recall metric.", "In another aspect, the system controls intervention that applies to the specific memory such that consolidation of other memories is also allowed to occur.", "In another aspect, the neural model comprises a short-term memory store and a long-term memory store, wherein each memory store comprises a plurality of items, each item having an activation level that evolves dynamically over time, wherein while an item is active, it forms links with other items that are active at the same time, wherein the links are directional to represent an order in which the linked items are experienced.", "In another aspect, the links are represented as weight values, and wherein weight values are updated based on the activation levels of the linked items.", "In another aspect, recall is a function of the activation level of each item, wherein an item is considered recalled if its activation level rises above the other activations going on at the same time.", "Finally, the present invention also includes a computer program product and a computer implemented method.", "The computer program product includes computer-readable instructions stored on a non-transitory computer-readable medium that are executable by a computer having one or more processors, such that upon execution of the instructions, the one or more processors perform the operations listed herein.", "Alternatively, the computer implemented method includes an act of causing a computer to execute such instructions and perform the resulting operations.", "BRIEF DESCRIPTION OF THE DRAWINGS The objects, features and advantages of the present invention will be apparent from the following detailed descriptions of the various aspects of the invention in conjunction with reference to the following drawings, where: FIG.", "1 is a block diagram depicting the components of a system for memory improvement intervention according to some embodiments of the present disclosure; FIG.", "2 is an illustration of a computer program product according to some embodiments of the present disclosure; FIG.", "3A is an illustration of data being captured for model updating during waking according to some embodiments of the present disclosure; FIG.", "3B is an illustration of the model simulating memory consolidation during sleep or quiet waking according to some embodiments of the present disclosure; FIG.", "4 is an illustration of the neural memory model simulating encoding, decay, consolidation, and recall of novel multi-modal experiences and knowledge in real-world environments according to some embodiments of the present disclosure; FIG.", "5 is an illustration of a cued recall cascade according to some embodiments of the present disclosure; FIG.", "6 is a table illustrating parameters for a neural model according to some embodiments of the present disclosure; FIG.", "7 is a plot illustrating raw biometric values for fatigue, stress, and attention extracted from an electroencephalogram subject according to some embodiments of the present disclosure; FIG.", "8 is an illustration of determining modulation parameters for biometric influence on model predictions according to some embodiments of the present disclosure; FIG.", "9 is an illustration of representative replays of two separate sequences trained over 4 days and 4 nights according to some embodiments of the present disclosure; FIG.", "10A is a plot illustrating contrast on recalled items according to some embodiments of the present disclosure; FIG.", "10B is a plot illustrating recall time on recalled items according to some embodiments of the present disclosure; FIG.", "11A is a plot of a recall time metric for a more practice condition according to some embodiments of the present disclosure; FIG.", "11B is a plot of a recall time metric for a complementary condition according to some embodiments of the present disclosure; FIG.", "11C is a plot of a recall time metric for a contradictory condition according to some embodiments of the present disclosure; FIG.", "11D is a plot of a recall time metric for a part complementary, part contradictory condition according to some embodiments of the present disclosure; FIG.", "12A is a plot of a recall accuracy metric for a more practice condition according to some embodiments of the present disclosure; FIG.", "12B is a plot of a recall accuracy metric for a complementary condition according to some embodiments of the present disclosure; FIG.", "12C is a plot of a recall accuracy metric for a contradictory condition according to some embodiments of the present disclosure; FIG.", "12D is a plot of a recall accuracy metric for a part complementary, part contradictory condition according to some embodiments of the present disclosure; FIG.", "13A is a plot of connection strength in the cortex without interference according to some embodiments of the present disclosure; FIG.", "13B is a plot of connection strength in the hippocampus without interference according to some embodiments of the present disclosure; FIG.", "13C is a plot of connection strength in the cortex with interference according to some embodiments of the present disclosure; and FIG.", "13D is a plot of connection strength in the hippocampus with interference according to some embodiments of the present disclosure.", "DETAILED DESCRIPTION The present invention relates to a system for memory improvement intervention and, more particularly, to a system for memory improvement intervention using a realistic brain model.", "The following description is presented to enable one of ordinary skill in the art to make and use the invention and to incorporate it in the context of particular applications.", "Various modifications, as well as a variety of uses in different applications will be readily apparent to those skilled in the art, and the general principles defined herein may be applied to a wide range of aspects.", "Thus, the present invention is not intended to be limited to the aspects presented, but is to be accorded the widest scope consistent with the principles and novel features disclosed herein.", "In the following detailed description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention.", "However, it will be apparent to one skilled in the art that the present invention may be practiced without necessarily being limited to these specific details.", "In other instances, well-known structures and devices are shown in block diagram form, rather than in detail, in order to avoid obscuring the present invention.", "The reader's attention is directed to all papers and documents which are filed concurrently with this specification and which are open to public inspection with this specification, and the contents of all such papers and documents are incorporated herein by reference.", "All the features disclosed in this specification, (including any accompanying claims, abstract, and drawings) may be replaced by alternative features serving the same, equivalent or similar purpose, unless expressly stated otherwise.", "Thus, unless expressly stated otherwise, each feature disclosed is one example only of a generic series of equivalent or similar features.", "Furthermore, any element in a claim that does not explicitly state “means for” performing a specified function, or “step for” performing a specific function, is not to be interpreted as a “means” or “step” clause as specified in 35 U.S.C.", "Section 112, Paragraph 6.In particular, the use of “step of” or “act of” in the claims herein is not intended to invoke the provisions of 35 U.S.C.", "112, Paragraph 6.Before describing the invention in detail, first a list of cited references is provided.", "Next, a description of the various principal aspects of the present invention is provided.", "Finally, specific details of various embodiment of the present invention are provided to give an understanding of the specific aspects.", "(1) List of Incorporated Literature References The following references are cited and incorporated throughout this application.", "For clarity and convenience, the references are listed herein as a central resource for the reader.", "The following references are hereby incorporated by reference as though fully set forth herein.", "The references are cited in the application by referring to the corresponding literature reference number as follows: 1.Rudoy J D, Voss J L, Westerberg C E, Paller K A.", "Strengthening Individual Memories by Reactivating Them During Sleep.", "Science.", "2009; 326:1079-1079.2.Diekelmann S, Biggel S, Rasch B, Born J. Offline consolidation of memory varies with time in slow wave sleep and can be accelerated by curing memory reactivations.", "Neurobiol.", "Learn.", "Mem.", "2012; 98:103-111.3.Marshall L, Helgadóttir H, Mölle M, Born J.", "Boosting slow oscillations during sleep potentiates memory.", "Nature.", "2006; 444: 610-613.4.Jaar O, Pilon M, Carrier J, Montplaisir J, Zadra A.", "Analysis of Slow-Wave Activity and Slow-Wave Oscillations Prior to Somnambulism.", "Sleep.", "2010; 33:1511-1516.5.Anderson, J. R., Bothell, D., Byrne, M. D., Douglass, S., Lebiere, C., & Qin, Y.", "An integrated theory of the mind.", "Psychological Review.", "2004; 111, 4: 1036-1060.6.Hassabis D., Chu C., Rees G., Weiskopf N., Molyneux P. D., Maguire E. A. Decoding Neuronal Ensembles in the Human Hippocampus.", "Current Biology.", "2009; 19(7-3):546-554.", "(2) Principal Aspects Various embodiments of the invention include three “principal” aspects.", "The first is a system for memory improvement intervention.", "The system is typically in the form of a computer system operating software or in the form of a “hard-coded” instruction set.", "This system may be incorporated into a wide variety of devices that provide different functionalities.", "The second principal aspect is a method, typically in the form of software, operated using a data processing system (computer).", "The third principal aspect is a computer program product.", "The computer program product generally represents computer-readable instructions stored on a non-transitory computer-readable medium such as an optical storage device, e.g., a compact disc (CD) or digital versatile disc (DVD), or a magnetic storage device such as a floppy disk or magnetic tape.", "Other, non-limiting examples of computer-readable media include hard disks, read-only memory (ROM), and flash-type memories.", "These aspects will be described in more detail below.", "A block diagram depicting an example of a system (i.e., computer system 100) of the present invention is provided in FIG.", "1.The computer system 100 is configured to perform calculations, processes, operations, and/or functions associated with a program or algorithm.", "In one aspect, certain processes and steps discussed herein are realized as a series of instructions (e.g., software program) that reside within computer readable memory units and are executed by one or more processors of the computer system 100.When executed, the instructions cause the computer system 100 to perform specific actions and exhibit specific behavior, such as described herein.", "The computer system 100 may include an address/data bus 102 that is configured to communicate information.", "Additionally, one or more data processing units, such as a processor 104 (or processors), are coupled with the address/data bus 102.The processor 104 is configured to process information and instructions.", "In an aspect, the processor 104 is a microprocessor.", "Alternatively, the processor 104 may be a different type of processor such as a parallel processor, application-specific integrated circuit (ASIC), programmable logic array (PLA), complex programmable logic device (CPLD), or a field programmable gate array (FPGA).", "The computer system 100 is configured to utilize one or more data storage units.", "The computer system 100 may include a volatile memory unit 106 (e.g., random access memory (“RAM”), static RAM, dynamic RAM, etc.)", "coupled with the address/data bus 102, wherein a volatile memory unit 106 is configured to store information and instructions for the processor 104.The computer system 100 further may include a non-volatile memory unit 108 (e.g., read-only memory (“ROM”), programmable ROM (“PROM”), erasable programmable ROM (“EPROM”), electrically erasable programmable ROM “EEPROM”), flash memory, etc.)", "coupled with the address/data bus 102, wherein the non-volatile memory unit 108 is configured to store static information and instructions for the processor 104.Alternatively, the computer system 100 may execute instructions retrieved from an online data storage unit such as in “Cloud” computing.", "In an aspect, the computer system 100 also may include one or more interfaces, such as an interface 110, coupled with the address/data bus 102.The one or more interfaces are configured to enable the computer system 100 to interface with other electronic devices and computer systems.", "The communication interfaces implemented by the one or more interfaces may include wireline (e.g., serial cables, modems, network adaptors, etc.)", "and/or wireless (e.g., wireless modems, wireless network adaptors, etc.)", "communication technology.", "In one aspect, the computer system 100 may include an input device 112 coupled with the address/data bus 102, wherein the input device 112 is configured to communicate information and command selections to the processor 100.In accordance with one aspect, the input device 112 is an alphanumeric input device, such as a keyboard, that may include alphanumeric and/or function keys.", "Alternatively, the input device 112 may be an input device other than an alphanumeric input device.", "In an aspect, the computer system 100 may include a cursor control device 114 coupled with the address/data bus 102, wherein the cursor control device 114 is configured to communicate user input information and/or command selections to the processor 100.In an aspect, the cursor control device 114 is implemented using a device such as a mouse, a track-ball, a track-pad, an optical tracking device, or a touch screen.", "The foregoing notwithstanding, in an aspect, the cursor control device 114 is directed and/or activated via input from the input device 112, such as in response to the use of special keys and key sequence commands associated with the input device 112.In an alternative aspect, the cursor control device 114 is configured to be directed or guided by voice commands.", "In an aspect, the computer system 100 further may include one or more optional computer usable data storage devices, such as a storage device 116, coupled with the address/data bus 102.The storage device 116 is configured to store information and/or computer executable instructions.", "In one aspect, the storage device 116 is a storage device such as a magnetic or optical disk drive (e.g., hard disk drive (“HDD”), floppy diskette, compact disk read only memory (“CD-ROM”), digital versatile disk (“DVD”)).", "Pursuant to one aspect, a display device 118 is coupled with the address/data bus 102, wherein the display device 118 is configured to display video and/or graphics.", "In an aspect, the display device 118 may include a cathode ray tube (“CRT”), liquid crystal display (“LCD”), field emission display (“FED”), plasma display, or any other display device suitable for displaying video and/or graphic images and alphanumeric characters recognizable to a user.", "The computer system 100 presented herein is an example computing environment in accordance with an aspect.", "However, the non-limiting example of the computer system 100 is not strictly limited to being a computer system.", "For example, an aspect provides that the computer system 100 represents a type of data processing analysis that may be used in accordance with various aspects described herein.", "Moreover, other computing systems may also be implemented.", "Indeed, the spirit and scope of the present technology is not limited to any single data processing environment.", "Thus, in an aspect, one or more operations of various aspects of the present technology are controlled or implemented using computer-executable instructions, such as program modules, being executed by a computer.", "In one implementation, such program modules include routines, programs, objects, components and/or data structures that are configured to perform particular tasks or implement particular abstract data types.", "In addition, an aspect provides that one or more aspects of the present technology are implemented by utilizing one or more distributed computing environments, such as where tasks are performed by remote processing devices that are linked through a communications network, or such as where various program modules are located in both local and remote computer-storage media including memory-storage devices.", "An illustrative diagram of a computer program product (i.e., storage device) embodying the present invention is depicted in FIG.", "2.The computer program product is depicted as floppy disk 200 or an optical disk 202 such as a CD or DVD.", "However, as mentioned previously, the computer program product generally represents computer-readable instructions stored on any compatible non-transitory computer-readable medium.", "The term “instructions” as used with respect to this invention generally indicates a set of operations to be performed on a computer, and may represent pieces of a whole program or individual, separable, software modules.", "Non-limiting examples of “instruction” include computer program code (source or object code) and “hard-coded” electronics (i.e.", "computer operations coded into a computer chip).", "The “instruction” is stored on any non-transitory computer-readable medium, such as in the memory of a computer or on a floppy disk, a CD-ROM, and a flash drive.", "In either event, the instructions are encoded on a non-transitory computer-readable medium.", "(3) Specific Details of Various Embodiments Described is a detailed model of the way that humans learn new sequences of actions and skills.", "Specifically, the model is in terms of how the representations in the brain are initially encoded into connections between ensembles in volatile short-term memory in the hippocampus, and then gradually get consolidated into more stable and persistent connections in the cortex.", "This model expands upon one disclosed as part of a closed-loop model-based control system in U.S. Non-Provisional application Ser.", "No.", "15/682,065 (hereinafter referred to as the '065 application), which is hereby incorporated by reference as though fully set forth herein.", "The model described herein is critical for coordinating with natural consolidation processes to avoid unnecessary interventions by prioritizing skills predicted to perform below desired levels (e.g., memories of specific things that must be learned quickly and remembered clearly and easily).", "The model described herein simulates, at a detailed neural ensemble level, the encoding and consolidation of memories, and makes predictions of the resulting behavioral performance (i.e., the subsequent ability to recall and use memories of interest).", "Used in a control loop with brain sensors and the intervention system, this model turns on the intervention when the behavioral predictions are below a desired level (e.g., a first threshold), and turns it off when behavioral predictions surpass a threshold of performance (e.g., a second threshold).", "The first threshold and second threshold may be the same or different.", "Since there are many memories that need to be consolidated during the night, an intervention to improve one specific memory must not prevent consolidation of other memories; this is one benefit of the model-based controller according to embodiments of the present disclosure.", "Importantly, the model updates its representations and makes new predictions very quickly and efficiently.", "Such a control system for a memory improvement intervention, using a realistic brain model to decide when interventions are needed during sleep, has never been conceived.", "The model-based controller (elements 302 and 322) is the software memory simulation that runs on a processor and predicts the behavioral performance level related to a specific memory.", "Note that the intervention module (element 310) associates a cue with a memory during waking.", "However, then during sleep, it must apply that cue to promote replays of the memory during slow-wave-sleep.", "Without the invention described herein, the intervention is applied blindly; there is no way to know whether the memory is sufficiently consolidated to get the desired level of performance.", "When the intervention is operating, other memories (e.g., people the user has met, things the user has learned) can't be consolidated.", "Therefore, the system according to embodiments of the present disclosure predicts when the user has sufficiently consolidated the memory of interest, and then stops the intervention so the user can consolidate other memories.", "In operational tasks (as in many business and educational scenarios), it can be critically important to quickly integrate new information (based on limited exposure) and accurately recall it.", "The purpose of the invention described herein is to control interventions that enhance memory consolidation to make this possible.", "It is widely accepted that memories are consolidated during sleep, and a few prior art laboratory experiments have implemented some targeted interventions.", "However, the system according to embodiments of the present disclosure is the first to implement a control loop around an intervention in order to control exactly when an intervention should be applied in order to achieve the desired level of performance.", "The invention will automatically determine if and when certain interventions should be applied during sleep and quiet waking periods.", "The system does this by predicting behavioral performance outcomes resulting from memory replay activity during quiet waking or slow wave sleep (within the 0.5-1.2 Hertz (Hz) frequency band of slow wave oscillations), thereby allowing selection of the best replay intervention options to achieve a desired performance.", "When the predicted performance reaches a desired level, the model turns off the interventions, allowing other memories to be consolidated.", "The model is shaped by the sequence and content of all experienced stimuli in a situation paradigm, as well as the characteristics of prior replay events.", "Thus, it can predict the impact that further intervention will have on behavior.", "Without the control system described herein, the interventions during sleep to improve consolidation of a specific memory or memories are uninformed, because there is no way to get feedback on behavioral performance until the subject wakes up and is tested.", "If the interventions are applied more than necessary, it prevents other memories from being consolidated and can even cause deterioration of the memory the intervention is attempting to reinforce.", "If the interventions are applied less than necessary, the desired behavioral performance will not be achieved.", "There are many uses for such a detailed personalized model of human learning and retention of learning.", "The model can be used to inform any training system as to how well an individual subject is learning.", "Additionally, the model can be utilized to predict behavioral performance gains resulting from further exposure to training and determine how well a particular skill or memory is consolidated during sleep.", "Further, the model could be used to estimate how current skills and memories decay as a result of encountering interfering skills or memories, which can be used as a proactive tool to interfere with undesirable memories or bad habits.", "In addition, it can be utilized to simulate the effects of lack of sleep in an individual.", "The concept behind the invention described herein is based on the widely accepted and well-supported idea that new memories are first encoded as connections in short-term memory in the human brain's hippocampal region.", "Then, gradually over a period of days, weeks, or months, they are consolidated into a slower-learning, more stable, brain region known as cortex in which long term memories are stored.", "Once information is consolidated into long-term memory, it becomes more resistant to decay.", "This consolidation process involves events called “replays” that occur during a deep stage of sleep called slow-wave sleep during non-rapid-eye-movement sleep (NREM).", "The theory holds that the more often a memory is replayed, the better a person performs when tested on a behavior dependent on recall of that memory.", "Although any memory in the short-term store has a chance of being replayed during sleep, there is a higher probability that a specific memory will be replayed if it was related to some emotional content or high immediate reward.", "Unfortunately, many things humans need to learn are boring or tedious, and the reward for learning them may be a long way off.", "This is the motivation behind memory interventions.", "Accordingly, the system according to embodiments of the present disclosure is an automation technique—an intervention control system that will improve the effectiveness and efficiency of any of these interventions that produce replay of specific memories.", "The model described herein models the way the human brain encodes and consolidates memories of events and skills during waking experience and sleep.", "The model is personalized to simulate a particular individual subject based on biometric data from the subject.", "There are surely many uses for such a model, but one implementation is to use it to predict how well a particular person can recall a specific sequence of inputs.", "When the prediction is above the threshold for the desired level of performance, the model sends a signal to control an intervention to improve the memory or skill.", "That is, the intervention is actively applied until the performance predictions exceed the desired level, and then the intervention is turned off.", "The current invention describes a design for a neural model that can make accurate behavioral predictions.", "The model according to embodiments of the present disclosure quantitatively simulates the impact of sleep on long-term memory function and teases apart equally important contributions from waking encoding in short-term memory and sleep consolidation in long-term memory.", "Speed and efficiency can be critically important for interventions, such as the implementation described above, that decide how to intervene on every positive phase of the slow-wave sleep oscillation (SWO) during the deepest stage of sleep (NREM sleep stages 3 and 4).", "There are a limited number of these oscillations during a night of sleeping (SWOs are at a frequency of 0.5-1.2 Hz for often much less than 90 minutes of a night's sleep), and the EEG analysis of the dynamically changing SWO frequency and recognition of the identity of a replay takes time before the model can simulate the results.", "Therefore, it is desirable for the model to make behavioral predictions well within 100 milliseconds (ms) after a reported memory replay during the average 500 ms time between the positive phases of SWO to control memory interventions during the next positive phase of SWO.", "The model's subject-specific predictive power in the context of task performance comes from simulating non-invasively assessed markers of attention during encoding as well as the duration and quality of consolidation periods.", "(3.1) Basic Architectural Diagram FIGS.", "3A and 3B illustrate the basic architecture of the entire system described herein.", "During waking (e.g., a higher activity state) (FIG.", "3A), data is captured (via a camera and/or microphone 300) for model 302 updating, and during sleep or quiet waking (e.g., a lower activity state) (FIG.", "3B), the model 302 simulates memory consolidation.", "The model 302 simulates behavioral performance and controls when to apply the intervention.", "Operations/modules in dashed lines (identification of objects encoded 304; physiological measurements 306; EEG analyzer 308; intervention 310) are prior art.", "During a waking experience (FIG.", "3A), when a user 312 is about to experience an event that must be remembered accurately, data recording is initiated either by some automated decision system or by the user 312, such as via a button 314 that initiates recording.", "Prior art systems identify the percepts that are most salient to the subject at that time (i.e., identification of objects encoded 304).", "For visual items, an eye tracker can be used to decide what the user 312 is looking at (e.g., an image chip is formed around visual fixations averaged over a short (1 second) time window).", "Alternatively, the user 312 can actually take a static picture of the item of interest.", "These images can be identified using an open-source system, such as ImageNet/GoogleNet, to provide a semantic symbol that identifies the object.", "For speech recognition, there are many known systems that are can recognize speech.", "Physiological measurements 306 are made by analyzing electroencephalograms (EEG), electromyograms (EMG), and electrocardiograms (ECG) based on biometric sensor data from the user 312.Mental fatigue significantly modulates the amplitude of certain event-related potentials (ERPs), and stress can be inferred from ECG read-outs of heart rate variability.", "A small amount of stress can improve encoding strength, but higher levels of stress interfere with encoding.", "Attention, or vigilance, can be estimated from EEG and EMG (element 316).", "In FIG.", "3B, the intervention module (element 310) is a prior art system (described in U.S. application Ser.", "No.", "15/332,787, hereinafter referred to as the '787 application, which is hereby incorporated by reference as though fully set forth herein).", "The system described in the '787 application associates a cue like an odor (see, for example, Literature Reference No.", "2), a sound (see, for example, Literature Reference No.", "1), or electrical stimulation with the memory of interest during waking, and reapplies it during sleep or quiet waking as a cue to trigger a recall of the specific cued memory.", "The intervention module (element 310) is also referred to as a memory enhancement system.", "Later, the cue can be replayed to cue the memory during sleep, consolidating the memory from short term to long term memory.", "The EEG analyzer module (element 308) is a prior art module that can detect the sleep stage 318, including detection of slow wave oscillations (SWOs).", "SWOs occur mostly during the deepest stages of sleep (NREM stage 3 and 4), although they can occur during times of deep restfulness in a quiet waking state as well.", "Sleep stages are detectable by commercial sleep monitors.", "The phase of SWO can be ascertained currently by analysis of the EEG signal 320.An automated analysis system is not commercially available, but the methods for EEG signal analysis are known to those skilled to the art (see Literature Reference No.", "4).", "The model 302 simulates the replay of memories during sleep and predicts the behavioral results of such replay.", "Based on the model 302's prediction, the intervention may be controlled 322 on or off.", "The model 302, which is the subject of this disclosure, is described in detail below.", "The model-based controller can turn the intervention on and off (element 322) all night long as the subject sleeps.", "If a memory is fully consolidated and the intervention is turned off, the memory may decay as other, possibly conflicting memories, are replayed during sleep.", "In this situation, the system would turn the intervention on again.", "(3.2) Neural Memory Computational Model The neural model 302 according to embodiments of this disclosure is depicted in FIG.", "4.The neural memory model 302 described in this disclosure simulates encoding, decay, consolidation, and recall of novel multi-modal experiences and knowledge in real-world environments.", "The main modules of the model are a short-term memory store 400 and a long-term memory store 402.During waking (FIG.", "3A), a sensory event is identified with a unique ID, as well as a start and end time 324, and is given as a training input (T) 404 to identify each relevant experience (both task related and distractions or interfering experiences).", "Both the event ID, start/end times 324, and attention, fatigue, and stress measurements 316 are recorded and stored during encoding 326, as shown in FIG.", "3A.", "As depicted in FIG.", "4, biometrics 406 are reported by the physiological measurements module 306 of FIG.", "3A, in terms of levels of attention (a), mental fatigue (m), and stress (s) during the training period.", "During sleep, EEG signals 320 are analyzed.", "During the slow-wave sleep stage, the start and end time of each positive phase is reported to the model 302, along with a probability distribution across specific memory events that may have been replayed during that time period.", "The model 302 is not specific to the type of skill being learned and can be easily adapted to a number of tasks.", "In the following, the term “skill” is used to describe a memory, possibly associated with actions, such as how to assemble a complex piece of equipment, or what happened during a mission for later debrief.", "The model 302 represents the user's ability to recall that skill quickly and easily in terms of the strength of integration with other memories in both short term (STM) memory 400 and long term (LTM) memory 402.The idea that memories are represented by the level of spiking activity of an ensemble of neurons in the brain is widely accepted (see Literature Reference No.", "6).", "The activation level of an item in short term memory is ephemeral and dies down soon after the item is experienced or recalled.", "However, while an item is active, it forms links with other items that are active at the same time.", "These links are directional to represent the order in which the linked events are experienced.", "In STM 400, both the item activations and their links to other items change on the order of minutes to hours, whereas in LTM 402, they change slowly, on the order of days to years.", "This is the reason why memories encoded quickly in STM 400 must be transferred to LTM 402.This transfer is called consolidation, and the invention described herein models this process more thoroughly than any model to date.", "There are several ways of modeling of the interaction between memories in the dual-store system (elements 400 and 402) described.", "The invention includes an adaptive cortico-hippocampal architecture that accounts for emergent sleep replays underlying the transfer of long-term memory/skill representation from hippocampus to neocortex.", "The following set of equations is one implementation, but there are many roughly equivalent ways to express the sort of dynamical relationships between memories.", "The user's interactions with each skill are called either training or testing.", "The difference is that training, which could be a formal pedagogical training session with an instructor, provides feedback.", "Testing, or simply experiences in the environment, provides no feedback.", "The neural model 302 according to embodiments of the present disclosure is defined by three equations: a pair of differential equations (1) and (2) that govern the item activation updates (elements 408 and 410 in FIG.", "4), and a weight update (elements 412 and 414 in FIG.", "4) equation (3).", "Item activations (aX represents the activation of item X) evolve dynamically over time as follows: σ   c a  da X dt = - a X τ a - a X  [ β  ∑ Y ≠ X  a Y + Θ   x s ex x s ex + t xs ex ] + ( 1 - a X )  [ μ · FF + γ  ∑ Y ≠ X  w YX  a Y + α   a X ex a X ex + t a ex + ζ  [ H X - λ ] + ] ( 1 ) The table in FIG.", "6 lists the meaning of each variable and some default values.", "The xs variable is an “inactivation current” modeled after ion channel dynamics.", "Xs evolves at a slower rate than aX, and increases inhibition on the item activation when it gets large.", "σ s  c xs  dx s dt = - x s τ xs + ( 1 - x s )  [ κ   f  ( x ) ] , c xs > c ( 2 ) In the model 302 described herein, these relational links are represented as weight values.", "Weight updates (elements 412 and 414) are based on the activation (elements 408 and 410) of the pre-synaptic item and the derivative of the activation of the post-synaptic item, which learn casual connections for the spreading activation by means of equation (3).", "dw XY dt = η   a X  ( 1 - w XY )  [ [ da Y dt ] + - 1 2  [ - da Y dt ] + ]  f  ( biometricFactors )  f  ( distractionFactor ) ( 3 ) Cell and inactivation current dynamics are scaled by a factor ƒ.", "Other variables are shown in the table in FIG.", "6.Equation (1) updates the activation value of each item x by subtracting a decay term and an inhibitory term, and adding an excitatory term.", "The inhibitory current is a function aX [β EY≠X aY+Θf(xs)].", "β is an inhibition parameter, and the sum of aY provides competition from other items.", "xs is a slow variable undated in equation (2) with a dynamics speed variable σs<<σ, where Θ is a parameter and f(xs) is sigmoid x s ex x s ex + t xs ex to smoothly bound the values.", "Txs is a threshold for the xs value at the point, where the sigmoid value is half of its maximum value, and ex is an exponent controlling the steepness of the sigmoid rise.", "The excitatory current is a function of feed-forward excitation FF (element 416) and a weighted sum of inputs from activated items linked to item x.", "For STM 402, FF (element 416) is the activation of items in the input buffer 418 exciting their representations in the STM 402.For LTM 400, FF (element 416) is the activation of the corresponding item in STM 402, and the factor ζ([HX− λ]+ (element 420) is a feedback excitation from STM to LTM, subject to a threshold parameter A.", "For the hippocampus, ζ=0.1.", "(1−aX) is reversal potential, which is a homeostasis term that means that if ax overshoots the maximum value of 1, the spreading activation from other items becomes a negative term, thereby reducing the value of ax.", "γ ΣY≠X WYX aY spreads activation to ax (elements 408 and 410) as a function of the weighted sum of activations of items Y that are directionally linked to item X by weight Wyx (elements 412 and 414).", "Activation is spread within items in each memory region.", "γ is a tuning parameter that defaults to 0.4.α   a X ex a X ex + t xs ex is a self-excitation term to accelerate the increase in activation, but it is a sigmoid form that does not increase the activation of ax beyond the value of the multiplier α, which defaults to 0.5.Learning occurs through the change of weights between active items as described in equation (3).", "All weights are initialized at 0 and can never be negative.", "The learning rate, η is a constant that scales how quickly the model learns in all situations.", "Higher learning rates allow more rapid integration of information but also increase the likelihood that random events will be learned along with the more meaningful stable patterns.", "The change in weight of the connection from item x to item y includes a factor of (1− wXY) which will cause the rate of weight change to reduce as the weight approaches 1 and sets 1 as the maximum obtainable weight.", "The weight of the connection between x and y changes whenever the activity of x (aX) is not 0, and the activity of item y (ay) is not constant.", "Weight change is directly proportional to the activity of x (ax) and proportional to the rate of change in the activity of y (daY).", "If (daY) is negative, the weight change is reduced by half.", "This is necessary for the learning of connections between simultaneous events.", "The dependency of the weight upon the activity of the presynaptic ensemble (item x) and the rate of change in the activity of the post synaptic ensemble (item y) creates a type of Hebbian plasticity.", "In Hebbian theory, as in the model described herein, if activation of item x reliably increases the activity in item y, the connection from x to y increases in strength.", "If the activity in item y declines despite strong input from item x, indicating that the ensemble associated with x is ineffective at activating the ensemble associated with y, the connection is reduced in strength.", "When items are activated in succession, the first item will still be active when the second item's activity begins to rise; this results in an increase in the strength of their connection.", "If the first item has already reached the peak of its activation by the time the next item begins activating, the resulting changes in weight in the reverse direction (from y to x) will be negative.", "The model 302 described herein incorporates the effects (physical or psychological factors) that can be observed in the subject's biometric data (element 406).", "Lack of attention, stress level, or fatigue can all have an impact on learning.", "Introduction of task irrelevant distractors can also play a part.", "This is represented by the factor ƒ(biometrics) in equation (3), as described below in equations (4) and (5).", "(3.3) Personalization: Biometric and Distraction Factors The neural memory model 302 described above is personalized by incorporating biometrics 406 measured by prior art techniques, including measurements of the subject's fatigue, stress, and attention during waking.", "These inputs are used to modulate the initial activation level of the memories when they are learned or trained (i.e., the time of memory encoding).", "At times other than task-relevant training and testing, biometric parameters identify memory-relevant physiological states and replay parameters that change the model's mode of operation during periods of waking, quiet waking, and the stages of sleep.", "In one embodiment, three biometrics 406 are extracted from EEG using prior art techniques, including mental fatigue, stress, and attention.", "Raw biometric values for fatigue, stress, and attention are shown in FIG.", "7 as extracted from EEG from subject 3798 in a pilot task.", "The values range from 0 to 2.Baseline is an acclimation period trials 0-60.Task training was trials 61-240, and the immediate test was right after training.", "Biometrics were fairly flat through the first day training and testing (to trial 355), but in the morning tests (trials 356-475 after sleeping) the fatigue metric (bold line 700) is significantly lower.", "The plot in FIG.", "7 shows that mental fatigue (bold line 700) is significantly reduced after sleep.", "The solid, unbolded line 702 represents attention, and the dashed line 704 represents stress.", "FIG.", "8 depicts a method of determining modulation parameters for biometric influence on model predictions (i.e., behavioral predictions 422 in FIG.", "4) according to embodiments of the present disclosure.", "Only biometrics significantly correlated with performance are used in the model 302.The effect of biometrics on the model simulation is modulated by the amount of correlation 800 between each biometric value and the subject's performance.", "An online update method according to embodiments of the present disclosure is based on degree of correlation 800 with performance (using linear fit parameters for significant correlations (i.e., apply linear fit 802)).", "The biometric influence is updated every m trials (currently m=1).", "A rolling mean of each biometric (mean a (attention), s (stress), f (fatigue) 804) and subject behavioral performance metric (mean perf 806) is computed in a temporal window (e.g., 100 seconds).", "Each biometric (e.g., attention 808, stress 810, mental fatigue 812) is correlated (element 800) with each performance metric (element 814) and only incorporated into the cognitive model for periods when the correlation 800 is significant (e.g., where the p-value is <0.05).", "Equation (4) below shows the biometric factors shown in equation (3) for the cognitive model's update to the short-term memory level Ex.", "biometric_factors=(caA+ya)(cmM+ym)(csS+ys) (4) Here A, M, and S represent attention factor, mental fatigue factor, and stress factor (each adjusted to −1 to 1 range by subtracting 1), respectively.", "{right arrow over (C*)} is a vector of parameters that modulate the impact of the respective biometric.", "If the correlation 800 for a biometric is not significant, the {right arrow over (C*)} parameter is set to 0 for that biometric, and the corresponding {right arrow over (Y*)} is set to 1 (element 816).", "However, if the correlation 800 is significant for a certain time period, a first-order linear fit 802 is used to find a slope and intercept of a regression line that relates the biometric to the performance.", "For example, a MATLAB implementation of such a linear fit 802 uses the function polyfit as follows: [c,y]=polyfit(biometric_vector, matching_performance vector, 1).", "Equation (4) incorporates the correlation between attention (a metric extracted from EEG by a prior an method) and performance.", "However, there are also externally observable indications of distraction, such as the gaze moving to task irrelevant areas of the field-of-view, or physical body orientation (e.g., orientation of head and/or arms) in a task irrelevant manner.", "These indications can be incorporated into equation (3) if it makes sense for the task being modeled (element 818).", "A non-limiting example is a surveillance task in which the subject has to take pictures of people that appear in the windows of a building.", "If a distraction occurs in one of the windows, such as a dog running across the room, and the subject takes a picture of it by mistake, then the subject's action (taking the picture) is an external indication that the subject was distracted by the dog.", "In Equation (5) below, D is a binary signal that is 1 when any distractor is present, and 0 when no distractor is present.", "Yd is a modulation parameter for the strength of the distraction factor (e.g., yd=0.00005), and d is a modulation parameter for the interaction (e.g., d=1).", "The interaction variable is a binary value that goes to 1 if there is interaction with the distractor and is otherwise 0.The prefixed (Tx (x)>0) factor provides that the distraction factor is only used for a skill x that is currently active, which is when training happens and Tx>0.distraction_factor=(Tx(x)>0)*(yd*(D>0)+d*interaction) (5) (3.4) Simulation of Memory/Skill Consolidation During Sleep In the brain, the fast-learning, fast-decaying hippocampus (simulated by the short-term memory 400 described herein) must train the slow-learning cortex (simulated by the long-term memory 402 described herein) (shown in FIG.", "4).", "During slow-wave sleep, salient memories can become randomly reactivated in short-term memory 400, driving replays in the long-term memory 402 and strengthening the long-term connections.", "These reactivated memories are called emergent replays.", "After a sufficient number of replays, hippocampal connections are no longer needed to drive the spread of activation along learned links when an item is activated.", "Replays are modeled as a brief increase in activation, called a ‘cue’, of a single item randomly selected from a weighted distribution of recently active items taking place during the positive phase of the slow wave oscillation (the “UP state”).", "Due to the excitation caused by the connections between items, this results in sequential activations of other items that have been learned to be associated with the first.", "As a result, sequences that were presented multiple times during waking will be replayed whenever the first item of the sequence is cued.", "If a later item is cued, a partial sequence often replays starting from the cued item.", "As all replay activity must occur within the approximately 500 ms of the UP state, the temporal coefficient is increased during sleep, causing all rates of change to be increased.", "FIG.", "9 depicts representative replays of two separate sequences 900 and 902 trained over 4 days and 4 nights.", "Each curve in each plot is the temporal activation level of one item in the sequence.", "For example, on night 1 in 900, the 3 curves are the level of item A as it becomes active and then dies out, and its activation is spread to item B neurons which become active (dotted curve) and then die down, and then to item C neurons that become active (bold line) and die down.", "In 902 night 1, the sequence 12345 is trained and the curves show item 1 then 2 then 3 in turn.", "The activations do cross over (it is hard to see in the drawing).", "One sequence (ABCDE) 900 is trained far more extensively than the other sequence 902 (4 nights training vs. 1) and as a result has significantly faster replays.", "This can be seen as the curves peak more closely together in time from night to night because the links between items are becoming stronger, thus activation spreads faster.", "Also, with stronger links the activation level spreads farther so during night 1 both sequences have their first 3 items activated, but on night 2 900 gets 4 items activated, and by night 3 all 5 items are activated.", "Sequence 900, which does not get as much training, never gets more than 4 items activated, and there is not as much speedup.", "The item to be cued is selected from a weighted distribution, where the weight for each item is a factor called ‘salience’.", "The salience factor of an item increases whenever a given item is active and decays over time.", "In each UP state, an item is selected to be cued, with selection probability proportional to the salience weight (i.e., how often it has been active in the recent past in comparison with other items).", "A “none” cue is represented in the distribution as well, meaning the probability that no replay will be cued.", "A fixed weight is assigned to the “none” cue.", "This results in a no cue probability that increases when no items have been active recently.", "Because learning is still enabled during sleep, reactivated connections tend to increase their connection strengths.", "Additionally, because of the faster time scale of activation and the dependence of learning upon the rate of change of activation of the post synaptic cell, the rate of weight changes is considerably higher during replays.", "This is most important in the slower learning cortex.", "Sleep replays allow the connections in the cortex to become much stronger in a short period of time with no additional training.", "(3.5) Waking Recall Metric In sequence learning experiments, the model is trained on repeated exposures to sequences of events that are represented by letters or numbers.", "Performance improvement with each presentation of a training stimulus may be tracked by measuring the ability to recall.", "Below is a description of how the metric data collection and computation works (see equations (6) and (7)).", "The recall metric described below is a function of the activation levels of each item constituting the memory, and its ability to rise above the other activations going on at the same time (refer to the contrast and P in equations (6) and (7) below).", "In this way, the recall metric is used to predict behavioral performance based on strengths of memories in the neural model.", "(3.5.1) Algorithm for the Recall Metric a.", "Get activation history for the current recall, which is an array of current activations for each item (i.e., the activation of the item at each time point (dt) from the time when the recall is cued until all item activations return to zero, assuming no other events are perceived during this time period).", "b.", "For each item, identify the time period that begins when the item's activation level becomes higher than the rest of the items, and ends when its level is surpassed by that of another item.", "c. Compute the contrast of each item at each timestep with respect to the mean activations of every other item using equation (6).", "d. Compute the mean value of contrast for each item i (ci) during the times when its contrast is at a maximum.", "e. Compute P using equation (7), which sums up the respective contrasts, with a factor added for permutation of the sequence (|pi|, which is an edit distance of the recalled list from the trained list).", " C i  ( t ) = a i - mean  ( a j ≠ i ) a i + mean  ( a j ≠ i ) ( 6 )  P = ∑ i  1 N  ( 1  p i  + 1 )  c _ i    N = length   ( #    items )   of   sequence    i = index   of   an    item   in   sequence    ct i = activation    level    of   item   i   c _ i = mean    contrast    of    item   i   during   1 st   200   ms   of   period   when   c i > c k ,   k ≠ i   p = transposition   error  :   difference   between   position   of   item   in   practiced    sequence   vs .", " position   during   recallc i  ( t ) = contrast   of   item   i    at   time   t   ( amount   act i  ( t ) )   compared   to   mean   ( act - i  ( t ) ) ) ( 7 ) The recall metric described herein considers an item as being recalled if its contrast rises above that of all the other items.", "FIGS.", "10A and 10B show an example in short-term memory (hippocampus) of simulation of recall of a sequence of items A, B, C, D, after the sequence was trained and subsequently A is presented as a cue.", "Recall time is computed only on the subsequently recalled items in the sequence A, B, C, D. FIG.", "10A plots the contrast ci(t) compared to the actual activation levels (shown in FIG.", "10B) of each item as they are recalled.", "Contrast is computed over multiple 200 ms time windows.", "This technique is used to make behavioral predictions based on the training and sleep consolidation.", "The recall metric is based on item activations, but a simple averaging of weights between items could offer a reasonable prediction of recall ability as well.", "(3.6) Experimental Studies The neural model (FIG.", "4, 302) exhibits key properties of memory, based on the literature.", "The properties include: practice increases speed of memory access and strength of recall; hippocampus (short-term memory 400) learns faster than cortex (long-term memory 402); contradictory inputs degrade the ability to recall the conflicting practiced items; complementary inputs don't degrade performance; and memory traces decay over time, faster in short-term memory than in long-term memory.", "Using simulated inputs, the neural model simulates sequence learning (see FIGS.", "9, 10A, and 10B).", "A paired associates task is another common experiment used in psychology and neuroscience in which two items are presented together, and when subsequently presented with one, the subject must recall the other.", "It can also be simulated in the neural model described herein, since it has the same properties as a two item sequence.", "In effect, a pair is a simultaneous sequence.", "In the experiment described below, the neural model's simulation of recall accuracy assessed by the metric described above is compared.", "The first ten exposures of the main sequence A-B-C-D-E is followed by another training session often exposures on one of four second sequences, including the same (A-B-C-D-E), contradictory (E-D-C-B-A), complementary (B-C-D), and part contradictory and part complementary (A-B-C-P-Q).", "After each training session, the performance was tested by presenting “A” as a cue.", "The metric compared the recall cascade of item activations with the first practice sequence (A-B-C-D-E).", "This was only waking practice and testing; there was no sleep consolidation.", "FIGS.", "11A-11D and 12A-12D illustrate the recall metric plotted for each of the four conditions described above: the same sequence, a complementary sequence, a contradictory sequence, and a mixed sequence.", "FIGS.", "11A-11D depict the recall time metric plotted for each of four conditions.", "FIG.", "11A is a plot of continued practice of the same sequence, FIG.", "11B is a plot of a complementary sequence, FIG.", "11C is a plot of a contradictory sequence, and FIG.", "11D is a plot of a mixed sequence.", "In each of the plots, the unbolded line represents the hippocampus, and the bold line represents the cortex.", "Continued practice (FIG.", "11A) lead to more improvement than other sequences.", "Contradictory sequences (FIG.", "11C) actively reduced the model's performance.", "Partially complementary presentations (FIG.", "11D) resulted in some performance improvement.", "In summary, practice increases speed of memory access, and contradictory items slow access.", "FIGS.", "12A-12D are plots of the recall accuracy metric plotted for the same sequence (FIG.", "12A), a complementary sequence (FIG.", "12B), a contradictory sequence (FIG.", "12C), and a mixed sequence (FIG.", "12D).", "In each of the plots, the unbolded line represents the hippocampus, and the bold line represents the cortex.", "Accuracy improves for continued training and complementary sequences, but degrades for contradictory sequences.", "In summary, practice increases the strength of recall.", "Contradictory information degrades the ability to recall practiced information.", "This could explain the decay of memory over longer time periods.", "FIGS.", "13A and 13B show how the weights between items in the short-term memory (cortex, FIG.", "13A) and long-term memory (hippocampus, FIG.", "13B) vary over 4 days after being initially trained without interference.", "Each night, the memories are reactivated in slow-wave-sleep, strengthening the weights in cortex (which decay over very long time scales).", "During the day, the short-term memory weights decay.", "Hippocampal feedback is maintained.", "Replays continue for all 4 days, and significant strength is developed in cortical connections.", "FIGS.", "14A and 14B show the same plots for the case where contradictory interfering items are learned.", "FIG.", "14A depicts short-term memory, and FIG.", "14B depicts long-term memory.", "As shown, the decay is steeper, and the short term weights are learned more slowly.", "Hippocampal feedback is maintained.", "Interference reduces, then eliminates, replays.", "The plots show strong growth of cortical connections early on but very little in the second night and beyond.", "The invention described herein makes possible, for the first time, a targeted personalized closed-loop system for enhancing memory in both normal subjects and those with learning difficulties related to memory consolidation.", "There are multiple applications for the invention of this disclosure.", "For instance, vehicle manufacturers could use the system for training, or as a commercial product.", "Since there is recent widespread interest into brain enhancement technologies, and there are several commercial systems on the market today, the control technique system according to embodiments of the present disclosure can be incorporated into a product and reach the market in the near future.", "For example, the closed-loop model-based control of interventions can be incorporated into the products of companies that stimulate and treat the brain.", "This invention makes behavioral predictions by computing a recall metric based on the weights of the memories.", "This recall metric can be mapped to actual performance metrics of particular subjects by adding a readout model, as described in U.S.", "Provisional Application No.", "62/570,663, which is hereby incorporated by reference as though fully set forth herein.", "The prior art memory intervention techniques described in Literature Reference Nos.", "1, 2, and 3 were only tested in a laboratory, under supervised sleep conditions.", "They were never intended for real-world use; only for research on memory consolidation.", "Whether in the laboratory or in real-world settings, there exists no method to control which particular memories need to be enhanced, or to stop the intervention for a particular memory when it has been enhanced sufficiently, to allow other memories to consolidate.", "To provide such control over specific memory enhancement interventions in the laboratory, and to make this into a commercial product that could be used by individuals apart from a supervised laboratory setting, the intervention delivery system must be automated.", "That is because, while the subject is in slow-wave sleep or any other cognitive state when memory replays occur, EEG must be analyzed in real time (within the slow-wave oscillation half cycle) to decide which memory intervention should be applied in the next cycle, if at all.", "No human supervisor can make these determinations as fast as an automated system, and without waking up the subject for performance testing.", "The purpose of the present invention is to add such automation, making these approaches efficient and effective by assessing the subject's brain state and predicting in real time when to apply the intervention.", "Products resulting from this disclosure will enable people to reinforce episodic memories and acquire skills faster, while they sleep.", "The system, when appropriately paired with a memory consolidation technique, could be an enormous commercial success, since it automates some of the supervision required to use the technique.", "Thus, the invention is part of the transition to move these techniques out of clinical settings and into home use.", "Additionally, the model described herein will also prove exceedingly useful in a pedagogical automated system, such as teaching and training software.", "Having a more accurate assessment of information retained by the user will allow such software to focus on the areas of learning where additional training will be the most useful.", "Furthermore, missions such as surveillance and after-mission debriefs require detailed memories that can be enhanced and clarified by the system according to embodiments of this disclosure, which can accelerate mission rehearsal time.", "Finally, while this invention has been described in terms of several embodiments, one of ordinary skill in the art will readily recognize that the invention may have other applications in other environments.", "It should be noted that many embodiments and implementations are possible.", "Further, the following claims are in no way intended to limit the scope of the present invention to the specific embodiments described above.", "In addition, any recitation of “means for” is intended to evoke a means-plus-function reading of an element and a claim, whereas, any elements that do not specifically use the recitation “means for”, are not intended to be read as means-plus-function elements, even if the claim otherwise includes the word “means”.", "Further, while particular method steps have been recited in a particular order, the method steps may occur in any desired order and fall within the scope of the present invention." ] ]
Patent_15875591
[ [ "PIGMENT IDENTIFICATION OF COMPLEX COATING MIXTURES WITH SPARKLE COLOR", "Using an image capturing device, multiple images can be obtained at different angles with respect to a surface of a target coating.", "In one computer-implemented embodiment, a method involves using a filtering technique to perform an image analysis on the obtained images to determine the presence of sparkle points within the images.", "A color attribute analysis can then be performed to determine various color attributes associated with the determined sparkle point.", "A sparkle color distribution can then be calculated in response to the color attribute analysis.", "A coating formulation can then be generated, in association with the calculated sparkle color distribution, which is the same or substantially similar to the target coating." ], [ "1.A computer implemented method, comprising: obtaining, using an image capturing device, multiple images each obtained at a different angle with respect to a surface of a target coating; performing, using an electronic computer processor in operative association with at least one filtering technique, an image analysis on the obtained images to determine at least one sparkle point within the images; performing, using the processor, a color attribute analysis to determine at least one color attribute associated with the determined sparkle point; calculating, using the processor, a sparkle color distribution in response to performing the color attribute analysis; and generating, using the processor and in association with the calculated sparkle color distribution, a coating formulation that is the same or substantially similar in appearance to the target coating.", "2.The method of claim 1, wherein at least one color attribute comprises an intensity of a color.", "3.The method of claim 1, wherein at least one color attribute comprises at least one of color value, hue, chroma, lightness, brightness, texture, or a reasonable combination thereof.", "4.The method of claim 1, further comprising mapping at least one difference between multiple selected images at different angles in response to a change in at least one color attribute associated with at least one sparkle point.", "5.The method of claim 1, further comprising deriving a correlation for at least one toner wherein the correlation indicates a contribution of the toner to the sparkle color distribution.", "6.The method of claim 5, further comprising using the derived correlation at multiple angles to match at least one toner to the sparkle color distribution.", "7.The method of claim 1, wherein generating the coating formulation comprises generating a list of toners.", "8.The method of claim 1, wherein generating the coating formulation comprises comparing at least one aspect of the sparkle color distribution to a plurality of known coating formulations.", "9.A system comprising: a database; and a processor programmed for communication with the database, the processor programmed for: obtaining multiple images each at a different angle with respect to a surface of a target coating, performing, in operative association with at least one filtering technique, an image analysis on the obtained images to determine at least one sparkle point within the images, performing, using the processor, a color attribute analysis to determine at least one color attribute associated with the determined sparkle point; calculating, using the processor, a sparkle color distribution in response to performing the color attribute analysis; and generating, using the processor and in association with the calculated sparkle color distribution, a coating formulation that is the same or substantially similar in appearance to the target coating.", "10.The system of claim 9, wherein at least one color attribute comprises an intensity of a color.", "11.The system of claim 9, wherein at least one color attribute comprises at least one of color value, hue, chroma, lightness, brightness, texture, or a reasonable combination thereof 12.The system of claim 9, further comprising the processor programmed for mapping at least one difference between multiple selected images at different angles in response to a change in at least one color attribute.", "13.The system of claim 9, further comprising the processor programmed for deriving a correlation for at least one toner wherein the correlation indicates a contribution of the toner to the sparkle color distribution.", "14.The system of claim 13, further comprising the processor programmed for using the derived correlation at multiple angles to match at least one toner to at least a portion of the sparkle color distribution.", "15.The system of claim 9, wherein generating the coating formulation comprises generating a list of toners.", "16.The system of claim 9, wherein generating the coating formulation comprises comparing at least a portion of the sparkle color distribution to a plurality of known coating formulations.", "17.A non-transitory computer readable medium comprising software for causing a processor to: obtain multiple images each at a different angle with respect to a surface of a target coating; perform, in operative association with at least one filtering technique, an image analysis on the obtained images to determine at least one sparkle point within the images; perform a color attribute analysis to determine at least one color attribute associated with the determined sparkle point; calculate a sparkle color distribution in response to performing the color attribute analysis; and generate, in association with the calculated sparkle color distribution, a coating formulation that is the same or substantially similar in appearance to the target coating." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Due to the nature of complex mixtures within coatings, it is sometimes difficult to formulate, identify, and/or search for acceptable matching formulations and/or pigmentations.", "In an ideal setting, an individual could view a complex coating mixture and determine the appropriate pigments within the coating mixture.", "However, in reality the pigments in a coating mixture may not be readily available in a set of toners of a paint system that is to be utilized to make a matching coating.", "Thus, a skilled color matcher has to make a determination as to whether the paint system contains appropriate offsets and, if so, must determine additional changes which need to be made to accommodate the offsets given that they are not identical matches to the original pigmentation.", "A hypothetical solution to determining the composition of an unknown pigmentation is to read the unknown with a device that can search a database for the best matching coating formula within the database (or a device that can immediately create a new coating formula).", "However, such a solution is only hypothetical because systems are able to determine color or bulk effect pigment type, but generally cannot assist in determination of, for example, the specific pearl necessary for a coating formulation match.", "Traditional techniques to evaluate the properties of complex coating mixtures include a variety of in-plane viewing conditions (e.g., the J361 Recommended Practice promulgated by SAE International) combined with microscopic evaluation of a sample.", "Such approaches are generally not appropriately defined to address new effect pigmentations in complex mixtures and are largely focused on textiles and only “obscurely” identified “out-of-plane” viewing angles with at least two light sources so that the effect pigmentations may be viewed properly.", "Other techniques involve using a spectrophotometer (e.g., in-plane multi-angle devices for effect samples and spherical devices for straight shade samples).", "However, new pigments are not able to be adequately characterized using such techniques due to the unique properties of Colorstream® pearls, colored aluminums, etc.", "For example, it may be challenging to view, for example, Colorstream® pigments and it may be nearly impossible to see coarseness of colored aluminums and, thus, a microscope may be required to adequately determine special effect pigments, which is a time consuming process and may not satisfactorily address application issues which modify the characteristics of the sample and the effect of the special pigments.", "Other strategies have been developed using painted or virtual samples representing various textures, and then comparing those to unknown samples.", "Such techniques often require substantial user intervention and are subjective, which produces inconsistent results depending on the skill of the user.", "Thus, a need exists for systems and methods that are suitable for analyzing complex coating mixtures having sparkle color." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>In a first aspect, embodiments of the invention provide a method that includes obtaining, using a processor, image data from a target coating.", "The method also includes performing, using the processor, an image analysis to determine at least one sparkle point from the image data, and performing, using the processor, a hue analysis to determine a sparkle color from the sparkle point.", "The method further includes calculating, using the processor, a sparkle color distribution, and generating, using the processor, a coating formulation that is the same or substantially similar in appearance to the target coating.", "In another aspect, embodiments of the invention are directed to a system that includes a database and a processor in communication with the database.", "The processor is programmed to: obtain image data from a target coating; perform an image analysis to determine at least one sparkle point from the image data; perform a hue analysis to determine a sparkle color from the sparkle point; calculate a sparkle color distribution; and generate a coating formulation that is the same or substantially similar in appearance to the target coating.", "In another aspect, embodiments of the invention provide an apparatus.", "The apparatus includes means for obtaining image data from a target coating and means for performing an image analysis to determine at least one sparkle point from the image data.", "The apparatus also includes means for performing a hue analysis to determine a sparkle color from the sparkle point and means for calculating a sparkle color distribution.", "The apparatus further includes means for generating a coating formulation that is the same or substantially similar in appearance to the target coating.", "In a further aspect, embodiments of the invention provide a non-transitory computer readable medium including software for causing a processor to: obtain image data from a target coating; perform an image analysis to determine at least one sparkle point from the image data; perform a hue analysis to determine a sparkle color from the sparkle point; calculate a sparkle color distribution; and generate a coating formulation that is the same or substantially similar in appearance to the target coating." ], [ "CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation of U.S. patent application Ser.", "No.", "15/470,483, filed Mar.", "27, 2017, which is a continuation of U.S. patent application Ser.", "No.", "14/121,869, filed Oct. 28, 2014, which issued as U.S. Pat.", "No.", "9,607,403 on Mar.", "28, 2017; and all of the foregoing applications are incorporated herein by reference.", "FIELD OF THE INVENTION In various embodiments, the present invention generally relates to a method and apparatus for identifying physical property attributes of cured complex coating (e.g., paint) mixtures.", "BACKGROUND OF THE INVENTION Due to the nature of complex mixtures within coatings, it is sometimes difficult to formulate, identify, and/or search for acceptable matching formulations and/or pigmentations.", "In an ideal setting, an individual could view a complex coating mixture and determine the appropriate pigments within the coating mixture.", "However, in reality the pigments in a coating mixture may not be readily available in a set of toners of a paint system that is to be utilized to make a matching coating.", "Thus, a skilled color matcher has to make a determination as to whether the paint system contains appropriate offsets and, if so, must determine additional changes which need to be made to accommodate the offsets given that they are not identical matches to the original pigmentation.", "A hypothetical solution to determining the composition of an unknown pigmentation is to read the unknown with a device that can search a database for the best matching coating formula within the database (or a device that can immediately create a new coating formula).", "However, such a solution is only hypothetical because systems are able to determine color or bulk effect pigment type, but generally cannot assist in determination of, for example, the specific pearl necessary for a coating formulation match.", "Traditional techniques to evaluate the properties of complex coating mixtures include a variety of in-plane viewing conditions (e.g., the J361 Recommended Practice promulgated by SAE International) combined with microscopic evaluation of a sample.", "Such approaches are generally not appropriately defined to address new effect pigmentations in complex mixtures and are largely focused on textiles and only “obscurely” identified “out-of-plane” viewing angles with at least two light sources so that the effect pigmentations may be viewed properly.", "Other techniques involve using a spectrophotometer (e.g., in-plane multi-angle devices for effect samples and spherical devices for straight shade samples).", "However, new pigments are not able to be adequately characterized using such techniques due to the unique properties of Colorstream® pearls, colored aluminums, etc.", "For example, it may be challenging to view, for example, Colorstream® pigments and it may be nearly impossible to see coarseness of colored aluminums and, thus, a microscope may be required to adequately determine special effect pigments, which is a time consuming process and may not satisfactorily address application issues which modify the characteristics of the sample and the effect of the special pigments.", "Other strategies have been developed using painted or virtual samples representing various textures, and then comparing those to unknown samples.", "Such techniques often require substantial user intervention and are subjective, which produces inconsistent results depending on the skill of the user.", "Thus, a need exists for systems and methods that are suitable for analyzing complex coating mixtures having sparkle color.", "SUMMARY OF THE INVENTION In a first aspect, embodiments of the invention provide a method that includes obtaining, using a processor, image data from a target coating.", "The method also includes performing, using the processor, an image analysis to determine at least one sparkle point from the image data, and performing, using the processor, a hue analysis to determine a sparkle color from the sparkle point.", "The method further includes calculating, using the processor, a sparkle color distribution, and generating, using the processor, a coating formulation that is the same or substantially similar in appearance to the target coating.", "In another aspect, embodiments of the invention are directed to a system that includes a database and a processor in communication with the database.", "The processor is programmed to: obtain image data from a target coating; perform an image analysis to determine at least one sparkle point from the image data; perform a hue analysis to determine a sparkle color from the sparkle point; calculate a sparkle color distribution; and generate a coating formulation that is the same or substantially similar in appearance to the target coating.", "In another aspect, embodiments of the invention provide an apparatus.", "The apparatus includes means for obtaining image data from a target coating and means for performing an image analysis to determine at least one sparkle point from the image data.", "The apparatus also includes means for performing a hue analysis to determine a sparkle color from the sparkle point and means for calculating a sparkle color distribution.", "The apparatus further includes means for generating a coating formulation that is the same or substantially similar in appearance to the target coating.", "In a further aspect, embodiments of the invention provide a non-transitory computer readable medium including software for causing a processor to: obtain image data from a target coating; perform an image analysis to determine at least one sparkle point from the image data; perform a hue analysis to determine a sparkle color from the sparkle point; calculate a sparkle color distribution; and generate a coating formulation that is the same or substantially similar in appearance to the target coating.", "BRIEF DESCRIPTION OF THE DRAWINGS The patent of application file contains at least one drawing executed in color.", "Copies of the patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee.", "FIG.", "1 illustrates angles labeled according to standard mathematical terminology.", "FIG.", "2 illustrates the angles of FIG.", "1 labeled according to standard multi-angle spectrophotometer terminology.", "FIG.", "3 illustrates angles with respect to a painted sample and light interaction with an effect flake.", "FIG.", "4 is an image of a special effect coated panel where a sample set of sparkle colors have been indicated with appropriately colored circles.", "FIG.", "5 is an image of the special effect coated panel of FIG.", "4 at a different angle of observation.", "FIG.", "6 illustrates an embodiment of a process that calculates a formula for a target complex coating.", "FIG.", "7 illustrates an embodiment of a system in which the processes of embodiments of the present invention may be used.", "DETAILED DESCRIPTION OF THE INVENTION While the description herein generally refers to automotive and automotive refinish paint, it should be understood that the devices, systems and methods apply to other types of coatings, including stain and industrial coatings.", "The described embodiments of the invention should not be considered as limiting.", "A method consistent with the present invention may be practiced in a variety of fields such as the matching and/or coordination of apparel and fashion products.", "Embodiments of the invention may be used with or incorporated in a computer system that may be a standalone unit or include one or more remote terminals or devices in communication with a central computer via a network such as, for example, the Internet or an intranet.", "As such, the computer or “processor” and related components described herein may be a portion of a local computer system or a remote computer or an on-line system or combinations thereof.", "The database and software described herein may be stored in computer internal memory or in a non-transitory computer readable medium.", "Embodiments of the invention are directed generally to systems and methods that use an image capturing device, such as a limited multi-angle color camera (optionally in combination with a spectrophotometer) that can produce improved and simplified results for pigment characterization and sample properties.", "Embodiments provide for an efficient method to determine the pigmentation (or an acceptable offset) that reduces the number of database “hits” needed to match samples in a laboratory or field application.", "Further, embodiments include methods to improve searching a database with the possibility to adjust to a better match such that a user is provided with the best match possible and experiences reduced time and cost.", "Embodiments provide a solution that can quickly identify special effect pigments and generalize the ratios of those pigments, thus enabling faster and better color matching while providing a color match that may be of higher quality.", "Traditional spectrophotometers and visual viewing conditions consider the angles and light sources represented in FIGS.", "1 and 2.Both figures contain the exact same angles, but FIG.", "2 uses industry accepted terminology to describe the angles in relation to the specular angle.", "The traditional mathematical standard is used herein.", "In various embodiments, traditional light sources that use diffuse or collimated color corrected light may be used and an image capturing device (e.g., a color camera with appropriate resolution) may be used to collect images at one, some, or all of the identified or similar angles.", "In various embodiments, the distribution of colored sparkles may be determined within a coating at a multitude of angles.", "Because micas and xirallics change colors uniquely over various viewing angles and conditions, the appropriate pearl may be selected for a search or formulation algorithm, and a relative ratio as to the amount of each required to match the target coating may be estimated.", "Also, the sparkle color may be used to assist in selection of, for example, appropriate aluminums and other special effect pigments such as glass flake because the color of such materials does not shift over various angles.", "Thus, embodiments may be used in determining, for example, ratios of aluminums to pearls in effect coatings.", "In various embodiments, a high pass filter may be applied to the target image to determine the brightest spots amongst the various pixels in the image.", "The resultant data/image may include information on only the bright locations.", "The high pass filter may convolve a matrix of values with a high value center point and low value edge points with the matrix of intensity information of the image.", "This isolates high intensity pixels.", "To further refine the sparkle points, an edge detection method of filtering may be applied in conjunction with the intensity filtering.", "In various embodiments individual sparkle points may be labeled and counted, thus isolating/labeling them based upon hue range.", "FIG.", "4 illustrates a simplified selection, limited to five different points for illustrative purposes, of hue based sparkle points and how the points may be identified.", "For illustrative purposes only, a small selection of sparkle points have been identified by hue, but it can be seen that there are visibly at least one blue, one violet, one green, one red, and one orange sparkle colors that can be labeled.", "Regional labeling may include a counter for each label segment.", "Embodiments of the regional labeling methods described herein may include moving from pixel to pixel from left to right, top to bottom of the image, finding pixels that have not yet been labeled that match a specific quality, and labeling nearby pixels that have that met the same criteria up to a certain number of movements from the first labeled pixel.", "A second pass of regional labeling may be used to connect regions adjoining regions that meet the same criteria.", "Such two-fold regional labeling may be implemented through multiple passes, one each for the assigned hue ranges, or as one pass with checks for specific hue range values.", "The techniques described herein may result in a count of labeled sparkle points, each meeting criteria based upon the individual hue criteria, which can then be formatted and output as desired.", "Embodiments may include the use of a series of hue-based band pass filters that identify individual hue regions independent of lightness and/or brightness.", "Regional labeling and analysis of chroma and lightness (and/or brightness) of the regions may be used to isolate individual sparkle points within each hue band.", "Such a technique may determine sparkle points while estimating the percentage of the image that falls within each hue to enable a relatively quick analysis of color change in sparkle color over multiple images to supplement any further identification.", "In various embodiments, a band stop filter may be used in place of or in combination with a band pass filter.", "In various embodiments, the image data is used to create a matrix of the same size with two to three indices in its third dimension.", "The pixel image data may only contain RGB values.", "In such a case, it may be necessary to determine information on intensity (for ease of manipulation), hue, and labeling in the matrix.", "Also, in various embodiments utilization of images at multiple angles may be convolved with a filter and the differences may be mapped between two (and labeled/counted) to identify aluminum, micas, and special effects as the intensities and/or colors change between the angles.", "Similar sparkle points themselves, based on hue with or without chromaticity, may each be averaged into a single color point; thus effectively providing a distribution of sparkle colors for the measured area (i.e., five blue sparkles, five red sparkles, resulting in 50% blue sparkles and 50% red sparkles of all of the sparkles counted for the given area).", "The distribution may be used to determine the relative amount of a given toner within the complex coating (i.e., a fractional amount correlated to the overall effect contribution).", "The sparkle color may further be compared back to a database of sparkle color for, for example, masstones and/or binary mixtures of paint system toners/pigments.", "The comparison may be used to select the most similar toners available in a given paint system to be used for effect matching.", "Also, the toner selection pool and relative amount of each toner may be used to feed a formulation or search engine.", "In various embodiments, the information may be placed into decision points for a Bayesian system to produce particle identification, formulation, match searching, and/or adjusting.", "It can be understood that embodiments of the invention may be used in conjunction with other texture parameters (e.g., intensity) and/or reflectance data.", "In various embodiments, in order to properly identify the type of toners, or an offset thereof, used in an unknown or target sample, it is desirable to observe the correct angles and compare back to existing known toners in a database that has been previously created.", "Binary mixtures of toners may be generated to evaluate the impact of various concentrations of the toners on their sparkle color attribute.", "Each hue within the distribution of the sparkle color to the database of toners may be compared at each selected angle.", "For example, all toners having a specific red hue at a given angle may be compared to the unknown sample.", "Evaluation of the sparkle color over the range of the angles selected may be compared between the unknown and the toners.", "The toners within the database displaying the most similar sparkle color over all evaluated angles are those which are best utilized to match the unknown sample.", "An example of the differences which may be identified via using various embodiments is shown in comparison of FIGS.", "4 and 5.The circled points in the two images reveal that the sparkle spots change in both hue and intensity at different angles.", "In various embodiments, this situation becomes more complex with a combination of pearl and aluminum toners only to the extent that the ratio of sparkles that it may be desirable to manage change color over the range of angles.", "For example, an unknown sample may have 50% silver sparkles and 50% green sparkles at a given angle and 100% silver sparkles and 0% green sparkles at another angle.", "This is indicative of aluminum and green pearl toners present within a coating, where the pearl contribution to the sparkle color was minimized at one angle due to the unique properties of the pearl.", "This information may provide a target ratio of the mixture (i.e., a 50/50 blend of the total sparkle contribution at one angle).", "FIG.", "6 illustrates an embodiment of a process that calculates a formula for a target complex coating.", "Embodiments of the present invention may be used to search a database where the sparkle color attribute of each sample within the database is known.", "As illustrated in FIG.", "6, after measuring the unknown with, for example, a measurement device such as a color camera enhanced spectrophotometer at step 10, image analysis, as described hereinabove, may be used to determine the sparkle points of a selected angular image at step 12.Once the sparkle points have been determined, a hue analysis may be used at step 14 to determine sparkle color which, in turn, may be used to average similar sparkle points, as described hereinabove, to produce a sparkle color distribution at step 16.A search against a database of complex mixtures may be performed at step 20 to result in the determination of the best special effect match within the database.", "This can be accomplished by comparing the sparkle color distribution at each angle evaluated between the unknown and the database at step 22.The database match having the closest alignment to the unknown at all evaluated angles is the best match within the database at step 24.In various embodiments, the importance of an angle or set of angles may be weighted to skew the results returned from the search to adjust for market preference.", "Once the best match is found within a database, an adjustment to the match may be made if desired as indicated at step 26.In various embodiments, an adjustment may be made with addition of identified toners (steps 28 and 30) or an adjustment based solely using the toners within the selected match (step 32).", "An adjustment based solely using the toners within the selected match in various embodiments requires the additional step of having identified toners within the unknown sample and comparing them to the existing list of toners within the best identified match.", "The best identified matching toners can be determined at step 34 in a similar fashion to the previously described searching of the database for a best match, but the comparison may differ in requiring the inspection of a toner database rather than a database of preformulated matches at step 36.Some or all of the toners which are not already included in the best match may be considered for addition to the formulation during an adjustment at step 38.Whether or not step 38 is performed, the ratios of the toners may be adjusted to most closely align with the actual sparkle color attribute at each angle at steps 40 and 42.In various embodiments, the adjustment may be accomplished by understanding the color sparkle values of each toner at various reduction levels based upon masstones and mixtures within the database.", "A correlation can be derived (e.g., linear, polynomial, etc.)", "for each toner within the database which indicates the contribution to sparkle color distribution based on concentration.", "The correlation may then be used to adjust the amount of individual toners to best match the sparkle color distribution within the unknown at all considered angles.", "Once either the match or toner search is completed the best matching options 44, 46 may be returned to the user performing the search.", "In various embodiments specific sparkles may be “mapped” at various angles so that the sparkle color of a given sparkle may be traced throughout each angle considered.", "In such an embodiment a more specific identification of a toner may be made because the exact changes (or lack thereof) at each angle may be identified and compared to a database of known toners.", "Such embodiments may eliminate the potential for a misinterpretation of the characteristics of each sparkle at a given angle compared to a “bulk” distribution assessment method where an individual sparkle may be assumed to change color from green to violet, when in fact the individual sparkle does not make this change between angles.", "However, the end result of the search, adjustment, and/or formulation will not change significantly by mapping individual sparkle colors because if the overall distribution of the sparkle color is met at each angle the match to the unknown will be acceptable.", "Embodiments may include the simplification of the problem to match an unknown first by identification of the bulk toners, such as “mica,” “aluminum,” or “xirallic.” This may be beneficial to simplify an identification and/or search by limiting it to a smaller selection from the database for comparison.", "In various embodiments it is not necessary to identify the exact toner used in the coating, but rather a suitable selection of toners is satisfactory to address the color and texture issues.", "Once the toner type has been generally determined, the toners may be more specifically characterized and compared to a database for selection from a plurality of toners.", "FIG.", "7 illustrates an embodiment of a system 90 which may be used to identify physical property attributes of a coating mixture of a target sample.", "A user 92 may utilize a user interface 94, such as a graphical user interface, to operate a spectrophotometer and/or a camera 96 to measure the properties of a target sample 98.The data from the spectrophotometer and/or a camera 96 may be transferred to a computer 100, such as a personal computer, a mobile device, or any type of processor.", "The computer 100 may be in communication, via a network 102, with a server 104.The network 102 may be any type of network, such as the Internet, a local area network, an intranet, or a wireless network.", "The server 104 is in communication with a database 106 that may store the data and information that is used by the methods of embodiments of the present invention for comparison purposes.", "In various embodiments the database 106 may be utilized in, for example, a client server environment or in, for example, a web based environment such as a cloud computing environment.", "Various steps of the methods of embodiments of the present invention may be performed by the computer 100 and/or the server 106.In another aspect, the invention may be implemented as a non-transitory computer readable medium containing software for causing a computer or computer system to perform the method described above.", "The software can include various modules that are used to enable a processor and a user interface to perform the methods described herein.", "It will be readily appreciated by those skilled in the art that modifications may be made to the invention without departing from the concepts disclosed in the forgoing description.", "Accordingly, the particular embodiments described in detail herein are illustrative only and are not limiting to the scope of the invention." ] ]
Patent_15875593
[ [ "DAMASCENE OXYGEN BARRIER AND HYDROGEN BARRIER FOR FERROELECTRIC RANDOM-ACCESS MEMORY", "Disclosed herein is an apparatus that includes a ferrocapacitor disposed on a damascene barrier film.", "The damascene barrier film includes a hydrogen barrier region and an oxygen barrier region, with each being in contact with a bottom surface of the ferrocapacitor." ], [ "1-12.", "(canceled) 13.A method comprising: forming a damascene barrier film disposed above a gate level layer, the damascene barrier film comprising a hydrogen barrier region and a first oxygen barrier region formed adjacent to one another, and a planarized top surface; and forming a ferrocapacitor on the damascene barrier film, wherein a bottom surface of the ferrocapacitor contacts the first oxygen barrier region.", "14.The method of claim 13, further comprising: forming a hydrogen barrier film above the ferrocapacitor and the damascene barrier film, wherein the ferrocapacitor is encapsulated between the hydrogen barrier film and the damascene barrier film.", "15.The method of claim 13, wherein the damascene barrier film further comprises a second oxygen barrier region, wherein the second oxygen barrier region electrically couples an upper metal contact disposed above the damascene barrier film to a lower metal contact disposed within the gate level layer.", "16.The method of claim 13, wherein the damascene barrier film is formed in an oxide layer of the gate level layer, and wherein the hydrogen barrier region comprises the oxide layer.", "17.The method of claim 13, wherein the hydrogen barrier region of the damascene barrier film comprises two or more hydrogen barrier layers.", "18.The method of claim 13, wherein a height of the ferrocapacitor ranges from 0.2 micrometers to 0.5 micrometers.", "19.The method of claim 13, wherein: the damascene barrier film comprises at least one additional oxygen barrier region, the damascene barrier film defines a local interconnect layer that comprises at least one local interconnect, and the at least one local interconnect includes the at least one additional oxygen barrier region.", "20.", "(canceled) 21.A method of fabricating a memory device, comprising: forming a gate level layer overlying a substrate; forming a first lower contact inside the gate level layer; forming a first hydrogen barrier film overlying top surfaces of the gate level layer and the first lower contact; patterning the first hydrogen barrier film to form a first trench, wherein the first trench reaches the top surface of the first lower contact; forming an oxygen barrier film overlying the first hydrogen barrier film, and filling at least the first trench; and performing planarization on the oxygen barrier film until a top surface of the first hydrogen barrier film is exposed and an oxygen barrier structure is formed at least partially within the first trench.", "22.The method of claim 21, wherein forming the first lower contact includes: forming a first opening through the gate level layer; filling the first opening with a first conductive material; and performing planarization on the first conductive material such that the gate level layer and the first lower contact have a common planarized top surface.", "23.The method of claim 21, wherein performing planarization on the oxygen barrier film forms a damascene barrier film including a remaining portion of the hydrogen barrier film and the oxygen barrier structure.", "24.The method of claim 23, wherein the damascene barrier includes a planarized top surface.", "25.The method of claim 24, wherein the planarized top surface of the damascene barrier includes planarized top surfaces of the remaining portion of the hydrogen barrier film and the oxygen barrier structure.", "26.The method of claim 21, further comprising: forming a ferroelectric capacitor over the oxygen barrier structure, wherein a bottom electrode of the ferroelectric capacitor is in direct contact with the oxygen barrier structure.", "27.The method of claim 26, wherein forming the ferroelectric capacitor comprises: forming a bottom electrode layer over and in direct contact with the planarized top surface of the damascene barrier; forming sequentially a ferroelectric layer, a top electrode layer, and a hard mask layer overlying the bottom electrode layer; patterning the hard mask, top electrode, ferroelectric, and bottom electrode layers.", "28.The method of claim 21, wherein the first hydrogen barrier film includes a multi-layer structure, the multi-layer structure including at least one of aluminum oxide and silicon nitride.", "29.The method of claim 21, wherein the oxygen barrier film includes a multi-layer structure, the multi-layer structure including titanium aluminum nitride.", "30.A method of fabricating a memory device, comprising: forming a damascene barrier film overlying a gate level layer, further including, forming a first hydrogen barrier film overlying a planarized top surface of the gate level layer, forming first and second trenches by removing portions of the first hydrogen barrier film, unremoved portions of the first hydrogen barrier film forming at least one hydrogen barrier structure, forming an oxygen barrier film overlying the at least one hydrogen barrier structure and filling at least the first and second trenches, performing planarization on the oxygen barrier film until a top surface of the at least one hydrogen barrier structure is exposed, and to form first and second oxygen barrier structures at least partially within the first and second trenches, respectively; forming a ferroelectric capacitor overlying the first oxygen barrier structure; and forming an upper contact overlying the second oxygen barrier structure.", "31.The method of claim 30, wherein forming the ferroelectric capacitor comprises: forming a bottom electrode layer over and in direct contact with a planarized top surface of the damascene barrier film; forming sequentially a ferroelectric layer, a top electrode layer, and a hard mask layer overlying the bottom electrode layer; patterning the hard mask, top electrode, ferroelectric, and bottom electrode layers such that the patterned bottom electrode layer is in direct contact with a planarized top surface of the first oxygen barrier structure.", "32.The method of claim 30, wherein performing planarization on the oxygen barrier film forms a planarized top surface of the damascene barrier film, and wherein the damascene barrier film includes the at least one hydrogen barrier structure disposed adjacent to at least one of the first or second oxygen barrier structures.", "33.The method of claim 30, further comprising: forming a second hydrogen barrier film overlying the damascene barrier film and the ferroelectric capacitor." ], [ "<SOH> BACKGROUND <EOH>Ferroelectric random-access memories (FRAM) typically include a grid or an array of storage elements or cells, each including at least one ferroelectric capacitor and one or more associated transistors to select the cell and control reading or writing thereto.", "When an external electric field is applied across a ferroelectric material of a ferroelectric capacitor in the cell, dipoles in the material align with the field direction.", "After the electric field is removed, the dipoles retain their polarization state.", "Data is stored in the cells as one of two possible electric polarizations in each data storage cell.", "For example, in a one transistor-one capacitor (1T1C) cell, a “1” may be encoded using a negative remnant polarization, and a “0” may be encoded using a positive remnant polarization.", "The ferroelectric capacitor (“ferrocapacitor”) in an FRAM cell typically includes a ferroelectric material, such as lead zirconate titanate (PZT) between an upper electrode and a lower electrode.", "The transistors in the cells are typically metal-oxide-semiconductor (MOS) transistors fabricated using a standard or baseline complementary-metal-oxide-semiconductor (CMOS) process flows, involving the formation and patterning of conducting, semiconducting, and dielectric materials.", "The composition of these materials, as well as the composition and concentration of processing reagents, and temperature used in such a CMOS process flow are stringently controlled for each operation to ensure that the resultant MOS transistors function properly.", "Materials and processes typically used to fabricate the ferroelectric capacitor differ significantly from those of the baseline CMOS process flow, and can detrimentally impact the MOS transistors.", "Moreover, stringent design rules may be utilized when fabricating interconnect layers to interface the ferroelectric components with CMOS layers, as the potential for defects and errors in the manufacturing process (e.g., misalignments, incomplete etching steps, etc.)", "increases with the number of subsequent processing steps." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>The present invention will be understood more fully from the detailed description that follows and from the accompanying drawings and the appended claims provided below, where: FIG.", "1 is a flow diagram illustrating a method for fabricating FRAM cells according to one embodiment of the present invention; FIG.", "2A illustrates a cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2B illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2C illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2D illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2E illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2F illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2G illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2H illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2I illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2J illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2K illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "3 illustrates a local interconnect layer formed according to one embodiment of the present invention; FIG.", "4 illustrates a multi-layered damascene barrier film formed according to one embodiment of the present invention; FIG.", "5 illustrates a damascene barrier film formed within an oxide film according to one embodiment of the present invention; FIG.", "6A illustrates a cross sectional view of a portion of an FRAM cell prior to the formation of a defect; FIG.", "6B illustrates a cross sectional view of a portion of an FRAM cell after formation of a defect; FIG.", "6C illustrates prevention of a processing defect in an FRAM cell formed according to one embodiment of the present invention; and FIG.", "6D illustrates a ferrocapacitor formed without a defect according to one embodiment of the present invention.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS REFERENCE TO RELATED APPLICATION This application is a Continuation of U.S. patent application Ser.", "No.", "14/494,097, filed Sep. 23, 2014, which claims the benefit of U.S.", "Provisional Patent Application No.", "61/929,226, filed Jan. 20, 2014, all of which are hereby incorporated by reference herein in their entirety.", "TECHNICAL FIELD Embodiments described herein relate to semiconductor devices, and more particularly to the fabrication of ferroelectric random-access memory devices.", "BACKGROUND Ferroelectric random-access memories (FRAM) typically include a grid or an array of storage elements or cells, each including at least one ferroelectric capacitor and one or more associated transistors to select the cell and control reading or writing thereto.", "When an external electric field is applied across a ferroelectric material of a ferroelectric capacitor in the cell, dipoles in the material align with the field direction.", "After the electric field is removed, the dipoles retain their polarization state.", "Data is stored in the cells as one of two possible electric polarizations in each data storage cell.", "For example, in a one transistor-one capacitor (1T1C) cell, a “1” may be encoded using a negative remnant polarization, and a “0” may be encoded using a positive remnant polarization.", "The ferroelectric capacitor (“ferrocapacitor”) in an FRAM cell typically includes a ferroelectric material, such as lead zirconate titanate (PZT) between an upper electrode and a lower electrode.", "The transistors in the cells are typically metal-oxide-semiconductor (MOS) transistors fabricated using a standard or baseline complementary-metal-oxide-semiconductor (CMOS) process flows, involving the formation and patterning of conducting, semiconducting, and dielectric materials.", "The composition of these materials, as well as the composition and concentration of processing reagents, and temperature used in such a CMOS process flow are stringently controlled for each operation to ensure that the resultant MOS transistors function properly.", "Materials and processes typically used to fabricate the ferroelectric capacitor differ significantly from those of the baseline CMOS process flow, and can detrimentally impact the MOS transistors.", "Moreover, stringent design rules may be utilized when fabricating interconnect layers to interface the ferroelectric components with CMOS layers, as the potential for defects and errors in the manufacturing process (e.g., misalignments, incomplete etching steps, etc.)", "increases with the number of subsequent processing steps.", "BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be understood more fully from the detailed description that follows and from the accompanying drawings and the appended claims provided below, where: FIG.", "1 is a flow diagram illustrating a method for fabricating FRAM cells according to one embodiment of the present invention; FIG.", "2A illustrates a cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2B illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2C illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2D illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2E illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2F illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2G illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2H illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2I illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2J illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "2K illustrates another cross sectional view of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention; FIG.", "3 illustrates a local interconnect layer formed according to one embodiment of the present invention; FIG.", "4 illustrates a multi-layered damascene barrier film formed according to one embodiment of the present invention; FIG.", "5 illustrates a damascene barrier film formed within an oxide film according to one embodiment of the present invention; FIG.", "6A illustrates a cross sectional view of a portion of an FRAM cell prior to the formation of a defect; FIG.", "6B illustrates a cross sectional view of a portion of an FRAM cell after formation of a defect; FIG.", "6C illustrates prevention of a processing defect in an FRAM cell formed according to one embodiment of the present invention; and FIG.", "6D illustrates a ferrocapacitor formed without a defect according to one embodiment of the present invention.", "DETAILED DESCRIPTION Non-volatile memory cells including CMOS transistors and embedded ferroelectric capacitors formed according to methods of the present disclosure include damascene hydrogen and oxygen barrier films (or damascene barrier films) into the devices during fabrication reduce defects introduced by misalignment of metal contacts as well as reduce vertical dimensions of the ferroelectric capacitors.", "In one embodiment, an apparatus includes a damascene barrier film having a first upper surface and a first lower surface.", "The damascene barrier film includes a hydrogen barrier region and a first oxygen barrier region.", "A ferrocapacitor, having a top surface, a bottom surface, and at least one sidewall, is disposed on the first upper surface of the damascene barrier film such that the lower surface of the ferrocapacitor is in contact with the first oxygen barrier region.", "A hydrogen barrier film is disposed along the at least one sidewall of the ferrocapacitor and at least a first portion of the upper surface of the ferrocapacitor.", "In some embodiments, the lower surface of the ferrocapacitor is in further contact with the hydrogen barrier region.", "In other embodiments, the lower surface of the ferrocapacitor fully contacts the first oxygen barrier region without contacting the hydrogen barrier region.", "In another embodiment, a method includes forming a damascene barrier film disposed above a gate level layer, the damascene barrier film including a hydrogen barrier region and a first oxygen barrier region.", "The method further includes forming a ferrocapacitor on the damascene barrier film such that a lower surface of the ferrocapacitor contacts the first oxygen barrier region.", "Embodiments of an FRAM cell including a damascene barrier film are described herein with reference to figures.", "Specifically, the damascene barrier film may be disposed below one or more ferrocapacitors within a dielectric layer.", "By incorporating an oxygen barrier region within the damascene barrier film, an individual oxygen barrier layer may be eliminated during the deposition of a ferrocapacitor stack when forming the ferrocapacitor.", "Moreover, pre-forming the oxygen barrier layer in this way allows for a decrease in height of the ferrocapacitor.", "This in turn reduces a total etch time when forming the ferrocapacitor, thus reducing the amount of etching experienced by the topmost layer of the ferrocapacitor while also eliminating defects that may arise due to incomplete etching (e.g., such as conductive oxygen barrier residues that can potentially create electrical shorting).", "Moreover, the damascene barrier film may also serve to eliminate defects that arise from misaligned metal contacts, by providing a large area interconnect region between metal contacts from different layers.", "It is noted that particular embodiments may be practiced without one or more of these specific details, or in combination with other known methods, materials, and apparatuses.", "As used herein, the term “damascene barrier film” refers to a barrier film formed using a damascene process, resulting in a barrier film having a first barrier material that fills gaps or trenches previously formed in a second barrier material.", "An embodiment of an apparatus including FRAM cells having a damascene barrier film, and a method of fabricating and integrating such ferroelectric capacitors into a standard or baseline CMOS process flow will now be described in detail with reference to FIG.", "1 and FIGS.", "2A-2K.", "FIG.", "1 is a flow diagram illustrating a method for fabricating FRAM cells according to one embodiment of the present invention.", "FIGS.", "2A-2K illustrate cross sectional views of portions of an FRAM cell during the fabrication thereof according to one embodiment of the present invention.", "Referring to FIG.", "1 and FIGS.", "2A-2C, the process begins at block 102 in which openings 228a and 228b are formed in an inter-metal dielectric or first dielectric layer 204 after formation of an underlying gate level layer 206 on a surface 208 of a substrate 202.Additional openings may also be formed.", "As illustrated in FIG.", "2A prior to forming the openings 228a and 228b, the gate level includes gate stacks of one or more metal-oxide-semiconductor (MOS) transistors 210, 212, 214 separated by, or located above, one or more isolation structures 216.The first dielectric layer 204 overlays the MOS transistors 210, 212, 214.In addition to a source and a drain, diffusion regions 220 can also include a channel region.", "Generally, the substrate 202 and, hence, diffusion regions 220, may be composed of any material suitable for semiconductor device fabrication.", "In one embodiment, the substrate 202 is a bulk substrate composed of a single crystal of a material which may include one or more of, but is not limited to, silicon, germanium, silicon-germanium, or an III-V compound semiconductor material.", "In another embodiment, the substrate 202 includes a bulk layer with a top epitaxial layer.", "In another embodiment, a bulk layer is composed of a single crystal of a material which may include one or more of, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material, or quartz, while a top epitaxial layer is composed of a single crystal layer which may include one or more of, but is not limited to, silicon, germanium, silicon-germanium, or a III-V compound semiconductor material.", "The top epitaxial layer may be composed of a single crystal layer which may include one or more of, but is not limited to, silicon (i.e., to form a silicon-on-insulator (SOI) semiconductor substrate), germanium, silicon-germanium, or an III-V compound semiconductor material.", "An insulator layer may be composed of a material which may include one or more of, but is not limited to, silicon dioxide, silicon nitride, or silicon oxy-nitride.", "A lower portion of the bulk layer may be composed of a single crystal which may include one or more of, but is not limited to, silicon, germanium, silicon-germanium, an III-V compound semiconductor material, or quartz.", "Alternatively, the substrate 202, bulk layer, top epitaxial layer and the insulator material may be composed of other materials.", "The substrate 202 and, hence, the channel region, may include dopant impurity atoms.", "In one embodiment, the channel region is doped P-type silicon and, and in another embodiment, the channel region is doped N-type silicon.", "Source and drain diffusion regions 220 in the substrate 202 have opposite conductivity to the channel region.", "For example, in one embodiment, the substrate 202 and, hence, the channel region, is composed of boron-doped single-crystal silicon having a boron concentration in the range of 1×1015 atoms/cm3 to 1×1019 atoms/cm3.Source and drain diffusion regions 220 may be composed of phosphorous- or arsenic-doped regions having a concentration of N-type dopants in the range of 5×1016 atoms/cm3 to 5×1019 atoms/cm3.Generally, source and drain diffusion regions 220 have a depth in the substrate 202 in the range of 80 nanometers to 200 nanometers.", "In accordance with an alternative embodiment of the present disclosure, source and drain diffusion regions 220 are P-type doped regions while the substrate 202 and channel region is an N-type doped region.", "The MOS transistor 214 may include a gate oxide 222 formed on the surface 208 of the substrate 202, a gate layer 224 formed on the gate oxide 222, and one or more sidewall spacers 226 isolating the gate layer 224 from the first dielectric layer 204.Additionally, it is to be understood by those skilled in the art that the gate layer 224 is generally electrically coupled to an overlying local interconnect, which is described in more detail below.", "The first dielectric layer 204 can include a single layer of dielectric material or multiple layers of dielectric material.", "For example, in one embodiment the first dielectric layer 204 includes a lower or bottom first dielectric layer 204a, which may include phosphosilicate glass (PSG) formed or deposited by a chemical vapor deposition (CVD) process, such as plasma or low pressure or atmospheric CVD.", "The first dielectric layer 204 may also include an upper or top first dielectric layer 204b, which may include a silicon oxide deposited by low pressure CVD (LPCVD) using tetraethyl-orthosilicate (TEOS) based process gas or precursors.", "Alternatively, other deposition chemistries may be used.", "Referring back to FIG.", "1 and to FIG.", "2B, the openings 228a and 228b may be formed by performing a contact etch to etch the first dielectric layer 204 and expose the underlying diffusion regions 220.The contact etch can be accomplished using standard photolithographic techniques and any suitable wet or dry etching chemistry for etching a silicon oxide and/or PSG.", "Suitable contact etch chemistries can include, for example, wet etching using hydrofluoric acid (HF), or gas phase etching (GPE) using a reactive ion etch (RIE) process gas.", "Alternatively, other contact etch chemistries may be used.", "Referring back to FIG.", "1 and to FIG.", "2C, the openings 228a and 228b formed in the first dielectric layer 204 are filled with a metal (typically a refractory metal) to form lower metal contacts 230a and 230b.", "The term “refractory metal” refers to a metal of elements of the groups 4, 5 and 6 of the periodic table, including titanium (Ti), tantalum (Ta), tungsten (W), and nitrides or alloys thereof, which are resistant to high temperatures.", "The metal can be deposited, for example, by physical vapor deposition (PVD), such as sputtering or evaporation, or by CVD and electroless plating.", "After metal deposition, the lower metal contacts 230a and 230b and the upper surface 218 of the first dielectric layer 204 are planarized, for example, using a chemical mechanical polishing (CMP) process, resulting in planarized surfaces 231a and 231b of the lower metal contacts 230a and 230b, respectively, and a planarized upper surface 218 of the first dielectric layer 204.Referring to FIG.", "1 and FIG.", "2D, at block 104, one, two, or more layers of a hydrogen (H2) barrier film 232 is deposited onto the upper surface 218 and the surfaces 231a and 231b of the lower metal contacts 230a and 230b, respectively.", "The hydrogen barrier film 232 can include a single material layer, or multiple material layers, and can have an overall thickness ranging from, for example, 50 nanometers to 200 nanometers.", "In one embodiment, the hydrogen barrier film 232 may include one or more layers of aluminum oxide (A12O3), which may be deposited by ALD or PVD.", "In one embodiment, the hydrogen barrier film 232 may include one or more layers of silicon nitride (SiN), which may be deposited by CVD or ALD.", "Alternatively, other techniques may be used to deposit the one or more layers of the hydrogen barrier film 232.Referring to FIG.", "1 and FIG.", "2E, trenches 234a and 234b are etched through the hydrogen barrier film 232, revealing planarized surfaces 231a and 231b of the lower metal contacts 230a and 230b, respectively.", "In one embodiment, the trenches 234a and 234b are etched using standard photolithographic and contact etching techniques (e.g., using an inverse mask), followed by treatment with an etch chemistry composed of carbon-monoxide (CO), argon (Ar), octafluorocyclobutane (C4F8) or Freon® 318, and, optionally, nitrogen (N2).", "In some embodiments, other etch chemistries may be used.", "Referring to FIG.", "1 and FIG.", "2F, at block 106, one or more layers of an oxygen barrier film are deposited, filling the trenches 234a and 234b of the hydrogen barrier film 232.In one embodiment, the oxygen barrier film can include one or more a layers of titanium aluminum nitride (TiAlN) and/or one or more layers of a different material.", "The oxygen barrier film may be deposited or formed using any suitable deposition method, such as CVD, atomic layer deposition (ALD), or PVD.", "After the oxygen barrier film has been deposited, the oxygen barrier film is planarized using, for example, a CMP process.", "The planarization may be performed until the oxygen barrier film disposed above the hydrogen barrier film 232 is removed, leaving behind oxygen barrier regions 236a and 236b within the trenches 234a and 234b, respectively.", "In one embodiment, the planarization may be performed until at least an upper portion of the hydrogen barrier film 232 is removed.", "The result of the planarization may be referred to as a damascene barrier film 237, which includes oxygen barrier regions 236a and 236b and a hydrogen barrier region defined by a remaining portion of the hydrogen barrier film 232, which collectively define an upper surface 237a and a lower surface 237b of the damascene barrier film 237.In one embodiment, the oxygen barrier regions 236a and 236b each have widths 270a and 270b, respectively, ranging from 0.1 to 1 micrometer.", "In one embodiment, the oxygen barrier regions 236a and 236b may be sized to match respective widths of the top portions of the lower metal contacts 230a and 230b.", "In another embodiment, the oxygen barrier regions 236a and 236b may be sized to be wider than the top portions of the lower metal contacts 230a and 230b.", "In one embodiment, a thickness of the damascene barrier film 237 is at least partially controlled by the planarization process.", "Referring to FIG.", "1 and FIG.", "2G, at block 108, layers of a ferro stack, from which one or more ferroelectric capacitors will be formed, are deposited or formed over the planarized damascene barrier film 237.Generally, the ferro stack layers include a layer of a ferroelectric material, such as a lead zirconate titanate (PZT) ferroelectric layer 240, between a top electrode 242 and bottom electrode 238 in electrical contact with or electrically coupled to one of the underlying lower metal contacts 230a and 230b via the oxygen barrier regions 236a and 236b, respectively.", "In some embodiments, the oxygen barrier regions 236a and 236b may be sized such that each is substantially the same width as the other.", "In other embodiments, the oxygen barrier regions 236a and 236b may be sized such that the oxygen barrier region 236a is wider than the oxygen barrier region 236b.", "In one embodiment, the top electrode 242 is a multi-layer top electrode including, for example, a lower layer 242a of iridium oxide (IrO2) in contact with the PZT ferroelectric layer 240 and an upper layer 242b of iridium (Ir) overlying the lower layer 242a of the top electrode 242.The PZT ferroelectric layer 240 is deposited on the bottom electrode 238 to a thickness ranging from, for example, 0.04 micrometers to 0.30 micrometers using MOCVD, ALD, or PVD.", "A hard mask 244 may be formed over the ferro stack layers.", "The hard mask 244 can include, for example, a layer of titanium aluminum nitride (TiAlN) having a thickness ranging from 0.1 micrometers to 0.4 micrometers, and can be deposited or formed using PVD.", "In certain embodiments, the hard mask 244 can include multiple layers and the material of the hard mask may be selected to form a conductive hydrogen (H2) barrier.", "Alternatively, other materials and methods may be used to fabricate the ferro stack layers.", "Referring to FIG.", "1 and FIG.", "2H, at block 110, the ferro stack layers may be patterned by first patterning the hard mask 244 and then using standard etching technologies, such as standard metal etch chemistries, to define a ferrocapacitor 246.The ferrocapacitor 246 includes a bottom electrode 238 (which is electrically coupled to the lower metal contact 230a), a PZT ferroelectric layer 240, a top electrode 242, and a hard mask 244, each of which correspond to their counterpart ferro stack layers described with respect to FIG.", "2G.", "A top surface 247 of the ferrocapacitor 246 corresponds to an upper surface of the hard mask 244, and a bottom surface 249 of the ferrocapacitor 246 contacts the upper surface 237a of the damascene barrier film 237 such that the bottom surface 249 contacts the oxygen barrier region 236a, as illustrated in FIG.", "2H.", "In such embodiments, when the oxygen barrier region 236a is narrower than the ferrocapacitor 246 (e.g., such that the bottom surface 249 of the ferrocapacitor 246 contacts the oxygen barrier region 236a and the hydrogen barrier film 232), this allows for design rules that may impose a minimum spatial separation between oxygen barrier regions 236a, 236b to be relaxed, thus allowing for a greater density of ferrocapacitors per FRAM cell.", "In other embodiments, the oxygen barrier region 236a is sized to be wider than the ferrocapacitor 246 such that the bottom surface 249 of the ferrocapacitor 246 fully contacts the oxygen barrier region 236a without contacting the hydrogen barrier film 232, or such that the bottom surface 249 of the ferrocapacitor 246 at least partially contacts the oxygen barrier region 236a and the hydrogen barrier film 232 (e.g., if the ferrocapacitor 246 is misaligned with respect to the oxygen barrier region 236a).", "In other embodiments, the oxygen barrier region 236a is the same width as the bottom surface 249 of the ferrocapacitor 246.The ferrocapacitor 246 also includes one or more sidewalls 248 that run from the top surface 247 to the bottom surface 249.In one embodiment, the one or more sidewalls 248 are slanted with respect to the upper surface 237a of the damascene barrier film 237.In one embodiment, the ferrocapacitor 246 may be a round structure (e.g., a cylinder, a tapered cylinder, etc.)", "in which the sidewall 248 defines a circumference of the ferrocapacitor 246.In one embodiment, the ferrocapacitor 246 may be multi-walled of various shape (e.g., a cube, a trapezoid, an elongated cube, an elongated trapezoid, etc.)", "having multiple sidewalls 240a that define a perimeter.", "Alternatively, the ferrocapacitor 246 may have different shapes.", "It is noted that the ferrocapacitor 246 is illustrative, and that any suitable number of ferrocapacitors may be fabricated in accordance with the present embodiments.", "Moreover, each may be fabricated to have any suitable dimensions and/or shapes.", "In one embodiment, a height 275 of the ferrocapacitor 246 (e.g., as measured from the upper surface 237a of the damascene barrier film 237 to the top surface 247 of the ferrocapacitor 246) ranges from 0.2 micrometers to 0.5 micrometers.", "Referring to FIG.", "1 and FIG.", "2I, at block 112, one, two, or more layers of a hydrogen (H2) barrier film 250 are deposited, the hydrogen barrier film 250 having an outer surface 251a and an inner surface 251b, such that the inner surface 251b of the hydrogen barrier film 250 contacts the top surface 247 and one or more sidewalls 248 of the ferrocapacitor 246 and the upper surface 237a of the damascene barrier film 237, encapsulating the ferrocapacitor between the hydrogen barrier film 250 and the damascene barrier film 237.Encapsulating the ferrocapacitor 246 in this way can prevent degradation that can occur when hydrogen is introduced during subsequent processing.", "The hydrogen barrier film 250 can include a single material layer, or multiple material layers, and can have an overall thickness 280 ranging from, for example, 10 nanometers to 130 nanometers.", "In one embodiment, the hydrogen barrier film 250 can include a lower or first hydrogen encapsulation layer 250a of aluminum oxide (A12O3) having a thickness ranging from, for example, 5 nanometers to 30 nanometers, and may be deposited by ALD or PVD, and an upper or second hydrogen encapsulation layer 250b of silicon nitride (SiN) having a thickness ranging from, for example, 5 nanometers to 100 nanometers, and may be deposited by CVD or ALD.", "Alternatively, other techniques may be used to deposit the hydrogen barrier film 250.After deposing the hydrogen barrier film 250, a second dielectric layer, referred to as an inter-level dielectric (ILD) layer 252, is deposited or formed over the hydrogen barrier film 250.The ILD layer 252 can include one or more layers of an undoped oxide, such as silicon-dioxide (SiO2), a nitride, such as silicon nitride (SixNy), a silicon-oxynitride (SixOyNz) or, as with the first dielectric layer 204 described above, an oxide, such as phosphosilicate glass (PSG).", "In one embodiment, the ILD layer 252 may include a lower layer 252a, which may be deposited by, for example, LPCVD using TEOS.", "Once the lower layer 252a is formed, an upper surface of the lower layer 252a may be planarized using, for example, a CMP process, resulting in a thickness of the lower layer 252a that ranges from 0.5 micrometers to 0.9 micrometers.", "In one embodiment, the ILD layer 252 may include an upper layer 252b, which may be deposited onto the lower layer 252a by, for example, LPCVD using TEOS.", "A thickness of the upper layer 252b may range from 0.1 micrometers to 0.4 micrometers.", "Referring to FIG.", "1 and FIG.", "2J, at block 114, openings for upper metal contacts 254a and 254b are etched through the ILD layer 252 and hydrogen barrier film 250 using standard photolithographic and contact etching techniques.", "For example, for an SiO2 ILD layer, a suitable contact etching technique can include forming a patterned photoresist layer on the upper surface of the ILD layer and etching the ILD layer with an etch chemistry comprising carbon-monoxide (CO), argon (Ar), octafluorocyclobutane (C4F8) or Freon® 318, and, optionally, nitrogen (N2).", "The opening for the upper metal contact 254a reveals the hard mask 244 and allow for electrical coupling of the top electrode 242 of the ferrocapacitor 246 to upper metal contact 254a.", "The opening for the upper metal contact 254b passes through the hydrogen barrier film 250 to reveal the second oxygen barrier region 236b of the damascene barrier film 237.The second oxygen barrier region 236b provides protection against oxygen diffusion during processing steps, and also serves as a “landing pad” for the upper metal contact 254 to provide electrical coupling to the lower metal contact 202b should the metal contacts be misaligned resulting from a manufacturing defect.", "As with the lower metal contacts 230a and 230b described above, the upper metal contacts 254a and 254b may be formed in the ILD layer 252 by filling the openings with a refractory metal, such as titanium (Ti), tantalum (Ta), tungsten (W), and nitrides or alloys thereof, by physical vapor deposition, such as sputtering, evaporation, or CVD.", "After forming the upper metal contacts 254a and 254b, upper surfaces of the upper metal contacts 254a and 254b may be planarized using, for example, a CMP process.", "The upper metal contacts 254a and 254b electrically couple any additional upper layers added downstream in the processing (e.g., by performing subsequent processing steps) and the gate level layer 206 below.", "The upper metal contact 254a directly contacts the hard mask 244 of the ferrocapacitor 246.The upper metal contact 254b connects directly to the lower metal contact 230b of the gate level layer 206 via the oxygen barrier region 236b of the damascene barrier film 237.The upper metal contacts 254a and 254b may be wider at their upper portions than at their lower portions, which may be an artifact of the ILD etching process.", "Widths 275a and 275b of the upper portions of the upper metal contacts 254a and 254b, respectively, are defined by a lithographic process used to etch the openings in the ILD layer 252.The widths 275a and 275b may each range from 65 nanometers to 200 nanometers.", "Referring to FIG.", "1 and FIG.", "2K, at block 116, additional processing steps may be performed.", "For example, metal contacts 256a, 256b, 256c, 256d, and 258 may be formed to provide additional connectivity within the FRAM cell.", "FIG.", "3 illustrates a local interconnect layer formed according to one embodiment of the present invention.", "The structure depicted in FIG.", "3 may have been fabricated in a similar fashion as that of FIG.", "2, except that additional oxygen barrier regions 360a and 360b have been included in order to define local interconnects.", "For example, blocks 104 and 106 may be modified to further allow for etching of additional trenches for which oxygen barrier regions 360a and 360b may be deposited.", "Accordingly, FIG.", "3 depicts a structure having a ferrocapacitor 346 with a hydrogen barrier film 350 disposed thereon.", "A damascene barrier film 337 includes oxygen barrier regions 336a and 336b, as well as the oxygen barrier regions 360a and 360b that define the local interconnects.", "Each of these components may be the same or similar to their identically named counterparts described with respect to FIGS.", "1 and 2.It is noted that the inclusion of local interconnects, as defined by the oxygen barrier regions 336a and 336b, is compatible with all of the embodiments described herein.", "FIG.", "4 illustrates a multi-layered damascene barrier film formed according to one embodiment of the present invention.", "The structure depicted in FIG.", "4 may have been fabricated in a similar fashion as that of FIGS.", "2 and 3, except that a damascene barrier film 437 includes a multi-layered hydrogen barrier film having a lower hydrogen barrier layer 437a and an upper hydrogen barrier layer 437b.", "Accordingly, FIG.", "4 depicts a structure having a ferrocapacitor 446 with a hydrogen barrier film 450 disposed thereon.", "The damascene barrier film 437 includes the lower hydrogen barrier layer 437a, the upper hydrogen barrier layer 437b, oxygen barrier regions 436a and 436b, and oxygen barrier regions 460a and 460b that define local interconnects.", "Each of these components may be the same or similar to their identically named counterparts described with respect to FIGS.", "1-3.It is noted that the inclusion of one, two, or more hydrogen barrier layers within a hydrogen barrier film is compatible with all of the embodiments described herein.", "FIG.", "5 illustrates a damascene barrier film formed within an oxide film according to one embodiment of the present invention.", "The structure depicted in FIG.", "5 may have been fabricated in a similar fashion as that of FIGS.", "2-4, except that an upper oxide layer 504b has been modified to serve as a damascene barrier film.", "For example, blocks 102 and 106 may be modified to further allow for etching of trenches within the upper oxide layer 504b in which oxygen barrier regions 360a and 360b may be deposited, with blocks 104 being omitted to eliminate the hydrogen barrier film.", "Accordingly, FIG.", "5 depicts a structure having a ferrocapacitor 546 with a hydrogen barrier film 550 disposed thereon.", "The upper oxide layer 504b is disposed on a lower oxide layer 504a, with the upper oxide layer 504b defining a damascene barrier film that includes oxygen barrier regions 536a and 536b, and oxygen barrier regions 560a and 560b that define local interconnects.", "Each of these components may be the same or similar to their identically named counterparts described with respect to FIGS.", "1 and 2.In one embodiment, the lower metal contacts are formed prior to depositing the upper oxide layer 504b.", "In one embodiment, the upper oxide layer 504b is composed of a material that is suitable for serving as a hydrogen barrier (e.g., silicon nitride).", "FIGS.", "6A and 6B illustrate a processing defect formed in an FRAM cell.", "As shown in FIG.", "6A, lower metal contacts 602a and 602b are formed through a lower 604a and upper 604b oxide layer.", "In some scenarios, planarization may result in depressed surfaces 603a and 603b of the lower metal contacts 602a and 602b, respectively.", "The depressed surfaces 603a and 603b may result in downstream defects in the FRAM cell if a damascene barrier film is not included.", "As shown in FIG.", "6B, a ferrocapacitor 646 includes five layers: an oxygen barrier 636, a bottom electrode 638, a ferroelectric layer 640, a top electrode 642, and a hard mask 644.The depressed surface 603a introduces a defect that propagates through each of the layers of the ferrocapacitor 646, resulting in a deformed ferrocapacitor 646 that may demonstrate diminished and/or unpredictable performance during operation of the FRAM cell.", "FIGS.", "6C and 6D illustrate prevention of a processing defect in an FRAM cell formed according to one embodiment of the present invention.", "As shown in FIG.", "6A, lower metal contacts 652a and 652b are formed through a lower 654a and upper 654b oxide layer.", "By including a damascene barrier film defined by, for example, a hydrogen barrier film (or portion) 660 and oxygen barrier regions 662a and 662b, defects resulting from planarization of the lower metal contacts 652a and 652b can be mitigated.", "Thus, embodiments of FRAM cells that include damascene barrier films have been presented herein.", "Although the present disclosure has been described with reference to specific exemplary embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of the disclosure.", "Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.", "The terms “above,” “under,” “between,” and “on” as used herein refer to a relative position of one layer with respect to other layers.", "As such, for example, one layer deposited or disposed above or under another layer may be directly in contact with the other layer or may have one or more intervening layers.", "Moreover, one layer deposited or disposed between layers may be directly in contact with the layers or may have one or more intervening layers.", "In contrast, a first layer “on” a second layer is in contact with that second layer.", "Additionally, the relative position of one layer with respect to other layers is provided assuming the initial disk is a starting substrate and the subsequent processing deposits, modifies and removes films from the substrate without consideration of the absolute orientation of the substrate.", "Thus, a film that is deposited on both sides of a substrate is “over” both sides of the substrate.", "In the foregoing description, numerous specific details are set forth, such as specific materials, dimensions, processes parameters, etc., to provide a thorough understanding of the present invention.", "In some instances, well-known semiconductor design and fabrication techniques have not been described in particular detail to avoid unnecessarily obscuring the present invention.", "Reference throughout this specification to “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention.", "Thus, the appearances of the phrase “in an embodiment” or “in one embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention.", "Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.", "The present invention has been described with reference to specific exemplary embodiments thereof.", "It will, however, be evident that various modifications and changes may be made thereto without departing from the broader scope of the embodiments of the invention as set for in the appended claims.", "The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense." ] ]
Patent_15875594
[ [ "Enhanced Network Performance Monitoring", "There are disclosed measures for enhancing network performance monitoring.", "Such measures may for example comprise receiving a report on measurements of terminal-related network performance parameters from a terminal, acquiring information of access network-related network performance parameters, and combining the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report." ], [ "1-23.", "(canceled) 24.A method comprising: in a terminal in a radio access network, receiving measurement configuration information from an access network element in the radio access network; performing measurements of terminal-related network performance parameters using the measurement configuration information; and transmitting to a network performance analysis and/or control element via the access network element, the measurements of the terminal-related network performance parameters via a combined network performance report, wherein the combined network performance report is formed by attaching access network-related network performance parameters measured at the access network element, to the measurements of the terminal-related network performance parameters, and the access network-related network performance parameters comprise parameters specific for minimization of drive tests for network performance monitoring.", "25.The method according to claim 24, wherein the access network-related network performance parameters are acquired by the access network element upon reception of the measurements of the terminal-related network performance parameters from the terminal.", "26.The method according to claim 24, wherein the access network-related network performance parameters are acquired based on a triggering event and/or use case pertaining to the measurements of the terminal-related network performance parameters.", "27.The method according to claim 24, wherein the measurements of the terminal-related network performance parameters comprise corresponding location information available at the terminal.", "28.The method according to claim 24, wherein the network performance analysis and/or control element comprises an open mobile alliance device management server.", "29.The method according to claim 24, wherein the measurements of the terminal-related network performance parameters are based on parameters specific for minimization of drive tests and/or self-optimization of networks.", "30.An apparatus in a radio access network, comprising: at least one processor; and at least one memory including computer program code, the at least one memory and the computer program code being configured, with the at least one processor, to cause the apparatus to perform the following: receiving measurement configuration information from an access network element in the radio access network; performing measurements of terminal-related network performance parameters using the measurement configuration information; and transmitting to a network performance analysis and/or control element via the access network element, the measurements of the terminal-related network performance parameters via a combined network performance report, wherein the combined network performance report is formed by attaching access network-related network performance parameters measured at the access network element, to the measurements of the terminal-related network performance parameters, and the access network-related network performance parameters comprise parameters specific for minimization of drive tests for network performance monitoring.", "31.The apparatus according to claim 30, wherein the access network-related network performance parameters are acquired by the access network element upon reception of the measurements of the terminal-related network performance parameters from the apparatus.", "32.The apparatus according to claim 30, wherein the measurements of the terminal-related network performance parameters comprise corresponding location information available at the terminal.", "33.The apparatus according to claim 30, wherein the measurements of the terminal-related network performance parameters are based on parameters specific for minimization of drive tests and/or self-optimization of networks.", "34.A method comprising: in an access network element in a radio access network, receiving measurements of terminal-related network performance parameters from a terminal served by the access network element; acquiring information of access network-related network performance parameters, wherein the acquired access network-related network performance parameters are measurements performed at the access network element, and the access network-related network performance parameters comprise parameters specific for minimization of drive tests for network performance monitoring; forming a combined network performance report by attaching the acquired access network-related network performance parameters to the measurements of terminal-related network performance parameters; and forwarding the combined network performance report to a network performance analysis and/or control element.", "35.The method according to claim 34, comprising determining the access network-related network performance parameters upon reception of the measurements of terminal-related network performance parameters from the terminal.", "36.The method according to claim 34, comprising acquiring the access network-related network performance parameters based on a triggering event and/or use case pertaining to the measurements of the terminal-related network performance parameters.", "37.The method according to claim 34, wherein the network performance analysis and/or control element comprises an open mobile alliance device management server.", "38.The method according to claim 34, wherein the measurements of the terminal-related network performance parameters are based on parameters specific for minimization of drive tests and/or self-optimization of networks.", "39.An apparatus in a radio access network, comprising: at least one processor; and at least one memory including computer program code, the at least one memory and the computer program code being configured, with the at least one processor, to cause the apparatus to perform the following: receiving measurements of terminal-related network performance parameters from a terminal served by the apparatus; acquiring information of access network-related network performance parameters, wherein the acquired access network-related network performance parameters are measurements performed at the apparatus, and the access network-related network performance parameters comprise parameters specific for minimization of drive tests for network performance monitoring; forming a combined network performance report by attaching the acquired access network-related network performance parameters to the measurements of terminal-related network performance parameters; and forwarding the combined network performance report to a network performance analysis and/or control element.", "40.The apparatus according to claim 39, comprising: at least one processor; and at least one memory including computer program code, the at least one memory and the computer program code being configured, with the at least one processor, to cause the apparatus to perform the following: determining the access network-related network performance parameters upon reception of the measurements of terminal-related network performance parameters from the terminal.", "41.The apparatus according to claim 39, comprising: at least one processor; and at least one memory including computer program code, the at least one memory and the computer program code being configured, with the at least one processor, to cause the apparatus to perform the following: acquiring the access network-related network performance parameters based on a triggering event and/or use case pertaining to the measurements of the terminal-related network performance parameters.", "42.The apparatus according to claim 39, wherein the network performance analysis and/or control element comprises an open mobile alliance device management server.", "43.The apparatus according to claim 39, wherein the measurements of the terminal-related network performance parameters comprise parameters specific for minimization of drive tests and/or self-optimization of networks." ], [ "<SOH> BACKGROUND <EOH>Network performance monitoring, i.e.", "collecting and/or reporting network performance data, is a vital task for network operators of any kind of communication network.", "A clear and complete picture of network performance, in particular in geographical problem areas or geographical areas intended for deployment of new network facilities, is important for the operator in order to be able to appropriately plan, deploy, and control its network facilities, or to enable self-optimization of networks.", "In an effort to gain such a clear and complete picture of network performance, drive tests are usually employed, in which network performance data are gathered by cruising around, e.g.", "by car or train, and performing measurements of network performance parameters by means of a terminal device, e.g.", "a user equipment UE.", "Thereby, terminal-related network performance parameters may be measured either manually or automatically.", "While manual and extensive drive tests have previously been common and necessary, automation as well as minimization of drive tests is currently desired to reduce network operation and maintenance costs, ensure faster optimization cycles, and to protect the environment by reducing carbon emissions.", "In the following, reference is made to current efforts in automating and minimizing drive tests, which is intended as a non-limiting example for impacts of network performance monitoring.", "Minimizing drive tests has currently been addressed in 3GPP (Third Generation Partnership Program), with the scope of the work being feasibility, benefits and complexity of automating the collection of UE measurements to minimize the need of manual drive tests.", "In this regard, the necessity of defining new capabilities for logging and reporting UE measurements for minimizing drive tests is being investigated.", "A recent study on minimization of drive tests in next generation networks covers use cases defined in this field and some UE measurements addressing these use cases.", "The identified use cases aim to enable automatic methods for monitoring of network parameters.", "In order to replace drive tests performed manually, new UE measurement logs (i.e.", "sets of measurements of specific entities) have been proposed.", "Corresponding UE measurements should be taken at the occurrence of a predefined trigger, which can be either periodical or a specific event, e.g.", "a failure event.", "In addition, location information could be attached to the measurements, if available, to make geographical areas distinguishable, which differ in network quality.", "The UE reports information based on the log it has provided.", "However, when only the UE is sending measurement reports, as currently proposed, an analysis of the report, which is delivered periodically or when the terminal has encountered a problem, can not be sufficiently accurate.", "This is due to the lack of any information about the underlying network situation or network-related aspects of network performance, i.e.", "current and previous network performance data from the perspective of the network such as e.g.", "the (radio) access network.", "Since such information on the underlying network situation is expedient for a proper analysis of the overall network performance, the findings on the basis of an (incomplete) measurement report from the terminal are devaluated because of being weighted down with lost knowledge of the network performance.", "Accordingly, in view of the above, there is a need for techniques for enabling the finding of a clear and complete picture of network performance even in automated and/or minimized network performance monitoring." ], [ "<SOH> SUMMARY OF EXEMPLARY EMBODIMENTS OF THE INVENTION <EOH>Embodiments of the present invention are made to provide for a feasible solution for enhanced network performance monitoring.", "In particular, but not exclusively, embodiments of the present invention are made to overcome or at least mitigate above-outlined problems and drawbacks.", "According to an exemplary first aspect of the present invention, there is provided a method comprising receiving a report on measurements of terminal-related network performance parameters from a terminal, acquiring information of access network-related network performance parameters, and combining the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report.", "According to further developments and/or modifications thereof, one or more of the following applies: the acquiring further comprises determining a triggering event and/or use case of the received measurement report on the basis of a predefined association between a triggering event and/or use case and measurements to be performed at the terminal, and selecting access network-related network performance parameters to be acquired based on the determined triggering event and/or use case, the method further comprises forwarding the combined network performance report to a network management element and/or an operation and maintenance element for network performance analysis and/or control, the method is operable at a device management element being interconnected with an access network element, the measurement report is received as user plane data from the terminal, the access network-related network performance parameters are acquired externally from the access network element, the method is operable at an access network element, the measurement report is received as control plane data from the terminal, the access network-related network performance parameters are acquired internally at the access network element, the device management element comprises an open mobile alliance device management server, and/or the access network element comprises one of a base station, a base transceiver station, a NodeB, and an eNodeB.", "According to an exemplary second aspect of the present invention, there is provided an apparatus comprising a receiver configured to receive a report on measurements of terminal-related network performance parameters from a terminal, and an acquisition unit configured to acquire information of access network-related network performance parameters, and a combination unit configured to combine the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report.", "According to further developments and/or modifications thereof, one or more of the following applies: the apparatus further comprises a determination unit configured to determine a triggering event and/or use case of the received measurement report on the basis of a predefined association between a triggering event and/or use case and measurements to be performed at the terminal, and a selection unit configured to select access network-related network performance parameters to be acquired based on the determined triggering event and/or use case, the apparatus further comprises a transmitter configured to forward the combined network performance report to a network management element and/or an operation and maintenance element for network performance analysis and/or control, the apparatus is operable as or at a device management element being interconnected with an access network element, the receiver is configured to receive the measurement report as user plane data from the terminal, the acquisition unit is configured to acquire the access network-related network performance parameters externally from the access network element, the apparatus is operable as or at an access network element, the receiver is configured to receive the measurement report as control plane data from the terminal, the acquisition unit is configured to acquire the access network-related network performance parameters internally at the access network element, the device management element comprises an open mobile alliance device management server, and/or the access network element comprises one of a base station, a base transceiver station, a NodeB, and an eNodeB.", "According to an exemplary third aspect of the present invention, there is provided a computer program product comprising program code means being arranged, when run on a processor of an apparatus, to perform the method according to the above first aspect or any one of the further developments and/or modifications thereof.", "The apparatus, on which said computer program product may be run, is the apparatus according to the above second aspect or any one of the further developments and/or modifications thereof.", "According to any one of the above aspects or any one of the further developments and/or modifications thereof, one or more of the following applies: the terminal-related and/or the access network-related network performance parameters comprise parameters specific to one of minimization of drive tests for network performance monitoring and self optimization of networks, the terminal-related and/or the access network-related network performance parameters are associated with at least one of use cases for coverage optimization, mobility optimization, capacity optimization, parameterization for common channels, quality-of-service verification the measurements of terminal-related network performance parameters comprise one or more of periodical radio environment or downlink pilot measurements, radio environment measurements when a serving cell becomes worse than a threshold, transmit power headroom and radio environment measurements when a terminal's transmit power becomes less than a threshold, random access details and radio environment measurements when a random access failure occurs, radio environment, location, time and cell identity measurements when a paging channel failure occurs, and radio environment, location, time, cell identity and frequency measurements when a broadcast channel failure occurs, and/or the information on access network-related network performance parameters relates to one or more of access restriction parameters, network load parameters, traffic distribution parameters, congestion parameters, radio and/or hardware resource parameters, handover parameters, mobility parameters, dynamic connection parameters, signaling error parameters, and signaling events on a X2 interface between access network elements.", "By way of exemplary embodiments of the present invention, there are provided techniques for enhanced network performance monitoring, for example for enhancements in collecting and/or reporting performance data such as network performance data.", "By way of exemplary embodiments of the present invention, there are provided techniques for an involvement of an access network element such as e.g.", "an eNodeB in network performance monitoring and/or controlling.", "The involvement of the access network element is capable of advantageously complementing (network) performance monitoring and/or controlling enabled by a terminal.", "By way of exemplary embodiments of the present invention, network performance monitoring and/or controlling may be accomplished by both a terminal conditions, such as terminal-related (network performance) parameters, and network conditions, such as access network-related network performance parameters.", "By way of exemplary embodiments of the present invention, drive tests for network performance monitoring may be minimized and automated, while still enabling the finding of a clear and complete picture of network performance, and/or self-optimization of networks may be facilitated.", "Further details may become more apparent from the subsequent description of exemplary embodiments with reference to accompanying drawings." ], [ "TECHNICAL FIELD The present invention generally relates to techniques for enhanced network performance monitoring.", "Stated in other words, the present invention relates to enhancements in collecting and/or reporting performance data such as for example network performance data being usable for network coverage and/or capacity optimization purposes.", "BACKGROUND Network performance monitoring, i.e.", "collecting and/or reporting network performance data, is a vital task for network operators of any kind of communication network.", "A clear and complete picture of network performance, in particular in geographical problem areas or geographical areas intended for deployment of new network facilities, is important for the operator in order to be able to appropriately plan, deploy, and control its network facilities, or to enable self-optimization of networks.", "In an effort to gain such a clear and complete picture of network performance, drive tests are usually employed, in which network performance data are gathered by cruising around, e.g.", "by car or train, and performing measurements of network performance parameters by means of a terminal device, e.g.", "a user equipment UE.", "Thereby, terminal-related network performance parameters may be measured either manually or automatically.", "While manual and extensive drive tests have previously been common and necessary, automation as well as minimization of drive tests is currently desired to reduce network operation and maintenance costs, ensure faster optimization cycles, and to protect the environment by reducing carbon emissions.", "In the following, reference is made to current efforts in automating and minimizing drive tests, which is intended as a non-limiting example for impacts of network performance monitoring.", "Minimizing drive tests has currently been addressed in 3GPP (Third Generation Partnership Program), with the scope of the work being feasibility, benefits and complexity of automating the collection of UE measurements to minimize the need of manual drive tests.", "In this regard, the necessity of defining new capabilities for logging and reporting UE measurements for minimizing drive tests is being investigated.", "A recent study on minimization of drive tests in next generation networks covers use cases defined in this field and some UE measurements addressing these use cases.", "The identified use cases aim to enable automatic methods for monitoring of network parameters.", "In order to replace drive tests performed manually, new UE measurement logs (i.e.", "sets of measurements of specific entities) have been proposed.", "Corresponding UE measurements should be taken at the occurrence of a predefined trigger, which can be either periodical or a specific event, e.g.", "a failure event.", "In addition, location information could be attached to the measurements, if available, to make geographical areas distinguishable, which differ in network quality.", "The UE reports information based on the log it has provided.", "However, when only the UE is sending measurement reports, as currently proposed, an analysis of the report, which is delivered periodically or when the terminal has encountered a problem, can not be sufficiently accurate.", "This is due to the lack of any information about the underlying network situation or network-related aspects of network performance, i.e.", "current and previous network performance data from the perspective of the network such as e.g.", "the (radio) access network.", "Since such information on the underlying network situation is expedient for a proper analysis of the overall network performance, the findings on the basis of an (incomplete) measurement report from the terminal are devaluated because of being weighted down with lost knowledge of the network performance.", "Accordingly, in view of the above, there is a need for techniques for enabling the finding of a clear and complete picture of network performance even in automated and/or minimized network performance monitoring.", "SUMMARY OF EXEMPLARY EMBODIMENTS OF THE INVENTION Embodiments of the present invention are made to provide for a feasible solution for enhanced network performance monitoring.", "In particular, but not exclusively, embodiments of the present invention are made to overcome or at least mitigate above-outlined problems and drawbacks.", "According to an exemplary first aspect of the present invention, there is provided a method comprising receiving a report on measurements of terminal-related network performance parameters from a terminal, acquiring information of access network-related network performance parameters, and combining the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report.", "According to further developments and/or modifications thereof, one or more of the following applies: the acquiring further comprises determining a triggering event and/or use case of the received measurement report on the basis of a predefined association between a triggering event and/or use case and measurements to be performed at the terminal, and selecting access network-related network performance parameters to be acquired based on the determined triggering event and/or use case, the method further comprises forwarding the combined network performance report to a network management element and/or an operation and maintenance element for network performance analysis and/or control, the method is operable at a device management element being interconnected with an access network element, the measurement report is received as user plane data from the terminal, the access network-related network performance parameters are acquired externally from the access network element, the method is operable at an access network element, the measurement report is received as control plane data from the terminal, the access network-related network performance parameters are acquired internally at the access network element, the device management element comprises an open mobile alliance device management server, and/or the access network element comprises one of a base station, a base transceiver station, a NodeB, and an eNodeB.", "According to an exemplary second aspect of the present invention, there is provided an apparatus comprising a receiver configured to receive a report on measurements of terminal-related network performance parameters from a terminal, and an acquisition unit configured to acquire information of access network-related network performance parameters, and a combination unit configured to combine the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report.", "According to further developments and/or modifications thereof, one or more of the following applies: the apparatus further comprises a determination unit configured to determine a triggering event and/or use case of the received measurement report on the basis of a predefined association between a triggering event and/or use case and measurements to be performed at the terminal, and a selection unit configured to select access network-related network performance parameters to be acquired based on the determined triggering event and/or use case, the apparatus further comprises a transmitter configured to forward the combined network performance report to a network management element and/or an operation and maintenance element for network performance analysis and/or control, the apparatus is operable as or at a device management element being interconnected with an access network element, the receiver is configured to receive the measurement report as user plane data from the terminal, the acquisition unit is configured to acquire the access network-related network performance parameters externally from the access network element, the apparatus is operable as or at an access network element, the receiver is configured to receive the measurement report as control plane data from the terminal, the acquisition unit is configured to acquire the access network-related network performance parameters internally at the access network element, the device management element comprises an open mobile alliance device management server, and/or the access network element comprises one of a base station, a base transceiver station, a NodeB, and an eNodeB.", "According to an exemplary third aspect of the present invention, there is provided a computer program product comprising program code means being arranged, when run on a processor of an apparatus, to perform the method according to the above first aspect or any one of the further developments and/or modifications thereof.", "The apparatus, on which said computer program product may be run, is the apparatus according to the above second aspect or any one of the further developments and/or modifications thereof.", "According to any one of the above aspects or any one of the further developments and/or modifications thereof, one or more of the following applies: the terminal-related and/or the access network-related network performance parameters comprise parameters specific to one of minimization of drive tests for network performance monitoring and self optimization of networks, the terminal-related and/or the access network-related network performance parameters are associated with at least one of use cases for coverage optimization, mobility optimization, capacity optimization, parameterization for common channels, quality-of-service verification the measurements of terminal-related network performance parameters comprise one or more of periodical radio environment or downlink pilot measurements, radio environment measurements when a serving cell becomes worse than a threshold, transmit power headroom and radio environment measurements when a terminal's transmit power becomes less than a threshold, random access details and radio environment measurements when a random access failure occurs, radio environment, location, time and cell identity measurements when a paging channel failure occurs, and radio environment, location, time, cell identity and frequency measurements when a broadcast channel failure occurs, and/or the information on access network-related network performance parameters relates to one or more of access restriction parameters, network load parameters, traffic distribution parameters, congestion parameters, radio and/or hardware resource parameters, handover parameters, mobility parameters, dynamic connection parameters, signaling error parameters, and signaling events on a X2 interface between access network elements.", "By way of exemplary embodiments of the present invention, there are provided techniques for enhanced network performance monitoring, for example for enhancements in collecting and/or reporting performance data such as network performance data.", "By way of exemplary embodiments of the present invention, there are provided techniques for an involvement of an access network element such as e.g.", "an eNodeB in network performance monitoring and/or controlling.", "The involvement of the access network element is capable of advantageously complementing (network) performance monitoring and/or controlling enabled by a terminal.", "By way of exemplary embodiments of the present invention, network performance monitoring and/or controlling may be accomplished by both a terminal conditions, such as terminal-related (network performance) parameters, and network conditions, such as access network-related network performance parameters.", "By way of exemplary embodiments of the present invention, drive tests for network performance monitoring may be minimized and automated, while still enabling the finding of a clear and complete picture of network performance, and/or self-optimization of networks may be facilitated.", "Further details may become more apparent from the subsequent description of exemplary embodiments with reference to accompanying drawings.", "BRIEF DESCRIPTION OF THE DRAWINGS In the following, exemplary embodiments of the present invention will be described in greater detail by way of non-limiting examples with reference to the accompanying drawings, in which FIG.", "1 shows a flowchart of a method according to exemplary embodiments of the present invention, FIG.", "2 shows a schematic overview of a first system architecture according to exemplary embodiments of the present invention, FIG.", "3 shows details of a structure and procedures of the first system architecture according to exemplary embodiments of the present invention, FIG.", "4 shows a schematic overview of a second system architecture according to exemplary embodiments of the present invention, FIG.", "5 shows details of a structure and procedures of the second system architecture according to exemplary embodiments of the present invention, FIG.", "6 shows a flowchart of a method according to exemplary embodiments of the present invention, FIG.", "7 shows a block diagram of an apparatus according to exemplary embodiments of the present invention, and FIG.", "8 shows a block diagram of an apparatus according to exemplary embodiments of the present invention.", "DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS OF THE INVENTION The present invention is described herein with reference to particular non-limiting examples.", "A skilled person will appreciate that the invention is not limited to these examples, and may be more broadly applied.", "In particular, the present invention and its embodiments are mainly described in relation to 3GPP specifications being used as non-limiting examples for certain exemplary network configurations and deployments.", "In particular, minimization of drive test for network performance monitoring on the basis of a 3GPP-based network is used as a non-limiting example for the applicability of thus described exemplary embodiments.", "As such, the description of exemplary embodiments given herein specifically refers to terminology which is directly related thereto.", "Such terminology is only used in the context of the presented non-limiting examples, and does naturally not limit the invention in any way.", "Rather, any other network configuration or system deployment, etc.", "may also be utilized as long as compliant with the features described herein.", "In particular, embodiments of the present invention may be applicable in any system with the need for monitoring network performance preferably with minimum efforts in an automated manner, such as for example in self-optimizing networks, irrespective of the underlying network environment.", "Embodiments of the present invention may be applicable for/in any kind of modern and future communication network including mobile/wireless communication networks, such as for example Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunication System (UMTS), Wideband Code Division Multiple Access (WCDMA), Long-Term Evolution (LTE), Long-Term Evolution Advanced (LTE-A), Wireless Interoperability for Microwave Access (WiMAX), evolved High Rate Packet Data (eHRPD), Evolved Packet Core (EPC), or other 3GPP (3GPP: Third Generation Partnership Project) or IETF (Internet Engineering Task Force) networks.", "Hereinafter, various embodiments and implementations of the present invention and its aspects or embodiments are described using several alternatives.", "It is generally to be noted that, according to certain needs and constraints, all of the described alternatives may be provided alone or in any conceivable combination (also including combinations of individual features of the various alternatives).", "In the following, exemplary embodiments of the present invention are described with reference to methods, procedures and functions.", "FIG.", "1 shows a flowchart of a method according to exemplary embodiments of the present invention.", "The thus depicted method may for example be executed at device management element such as a device management server exemplarily being compliant with OMA (Open Mobile Alliance) specifications, as exemplified in the system architecture of FIGS.", "3 and 4 below, or at an access network element such as a base (transceiver) station, NodeB or eNodeB, as exemplified in the system architecture of FIGS.", "5 and 6 below.", "According to the exemplary method of FIG.", "1, in operation S110, the executing apparatus (e.g.", "a receiver thereof) receives a report on measurements of terminal-related network performance parameters from a terminal such as a user equipment UE, i.e.", "a UE measurement log.", "Such terminal-related network performance parameters may be measured and reported in accordance with one or more predefined triggers which may be periodical or based on the occurrence of a failure event.", "Specific sets of such terminal-related network performance parameters may be predefined for measurement and reporting purposes depending on a triggering event and/or use case thereof.", "For example, one or more specific set of relevant network performance parameters, i.e.", "one or more specific UE measurement logs, may—in terms of minimizing drive tests—be associated with any one of use cases for coverage optimization, mobility optimization, capacity optimization, parameterization for common channels, quality-of-service verification.", "For example, such UE measurement logs may comprise one or more of periodical radio environment or downlink pilot measurements, radio environment measurements when a serving cell becomes worse than a threshold, transmit power headroom and radio environment measurements when a terminal's transmit power becomes less than a threshold, random access details and radio environment measurements when a random access failure occurs, radio environment, location, time and cell identity measurements when a paging channel failure occurs, and radio environment, location, time, cell identity and frequency measurements when a broadcast channel failure occurs.", "Radio resource measurements in this regard may relate to CPICH (common pilot channel), RSCP (received signal code power), RSRP (reference signal received power), and/or RSRQ (reference signal received quality).", "A paging channel failure may relate to decoding error of the PDCCH (physical downlink control channel).", "The above-described receiving may, depending on an underlying system scenario, be accomplished by way of a user plane transport (as in the case of FIGS.", "3 and 4) or a control plane transport (as in the case of FIGS.", "5 and 6).", "In operation S120, the executing apparatus (e.g.", "a processor or dedicated acquisition unit thereof) acquires information of access network-related network performance parameters, e.g.", "(e)NodeB or base station information.", "On the one hand, all available access network-related network performance parameters may be acquired upon receipt of a UE measurement log in operation S110 (in which case the subsequently described determination and selection operations are dispensable).", "On the other hand, such access network-related network performance parameters may be associated with one or more predefined trigger events and/or use cases for logging and/or reporting network performance parameters at the terminal.", "Accordingly, on the basis of the (set of) measurements of terminal-related network performance parameters received in operation S110 and a predefined association, a triggering event and/or use case of the received measurement report may be determined (S121), and (a specific set of) access network-related network performance parameters to be acquired may be selected out of all available parameters (S122).", "For example, one or more specific set of relevant network performance parameters, e.g.", "one or more specific (e)NodeB or base station information sets, may—in terms of minimizing drive tests—be associated with any one of use cases for coverage optimization, mobility optimization, capacity optimization, parameterization for common channels, quality-of-service verification.", "For example, the information on access network-related network performance parameters may relate to one or more of access restriction parameters, network load parameters (e.g.", "concerning an own cell or one or more neighboring cells of the (e)NodeB or base station), traffic distribution parameters, congestion parameters, radio and/or hardware resource parameters, handover parameters (e.g.", "information on possible initiated or failed handover attempts; information if the (e)NodeB or base station in question or a potential handover candidate has experienced e.g.", "an overload problem or has been reset e.g.", "due to a software problem), mobility parameters (e.g.", "mobility history information concerning e.g.", "an identity of a previous cell of a terminal), dynamic connection parameters (e.g.", "information on possible dynamic parameters which may impact a connection, such as power for reference symbols, or possible activation/deactivation of carriers on some frequency or frequencies (e.g.", "in the context of LTE-A carrier aggregation)), signaling error parameters (e.g.", "possible error cases for signaling connections such as RRC(radio resource control) connections), signaling events on a X2 interface between access network elements, as well as other information from a device like the terminal (e.g.", "reported power headroom or reported latest CQI/CSI (CAI: channel quality indicator, CSI: channel state information) messages).", "For instance, a mobility optimization use case depends upon mobility and handover parameters.", "The above-described acquisition may, depending on an underlying system scenario, be accomplished internally at the executing apparatus (as in the case of FIGS.", "5 and 6) or externally from an external apparatus being interconnected with the executing apparatus (as in the case of FIGS.", "3 and 4).", "In operation S130, the executing apparatus (e.g.", "a processor or dedicated combination unit thereof) combines the received terminal-related network performance parameters and the acquired access network-related network performance parameters, which may be all available parameters or a set of parameters being determined out of all available parameters) into a combined network performance report.", "Such a combining may, depending on an underlying system scenario, be accomplished by way of an attachment of the e.g.", "eNodeB information to the received UE measurement report (as in the case of FIGS.", "3 and 4), when the combining takes place at an executing apparatus other than the UE and the e.g.", "eNodeB, or by way of a complementation of the received UE measurements by e.g.", "the eNodeB information (as in the case of FIGS.", "5 and 6), when the combining takes place at the e.g.", "eNodeB where the relevant access network-related parameters are available anyhow.", "In operation S140, the executing apparatus (e.g.", "a transmitter) may forward the thus created combined network performance report for network performance analysis and/or control.", "Yet, the forwarding may also be omitted in case network performance analysis and/or control on the basis of the thus gathered and combined parameters may be accomplished at the executing apparatus.", "The forwarding may, depending on an underlying system scenario, be accomplished towards a network management element such as a NM/IRP manager (NM: network management, IRP: integrated reference point) (as in the case of FIGS.", "3 and 4) or towards an O&M (operation and maintenance) element (as in the case of FIGS.", "5 and 6).", "The above-described procedure may be equally applicable for minimizing drive tests (MDT) and/or for self-optimizing network (SON).", "Then, the terminal-related and/or the access network-related network performance parameters comprise parameters specific to MDT and/or SON.", "In the following, two examples of system architectures for implementing exemplary embodiments of the present invention are described.", "As a first exemplary system scenario, a user plane (UP) based architecture is explained.", "FIG.", "2 shows a schematic overview of a first system architecture, i.e.", "a UP-based system architecture, according to exemplary embodiments of the present invention.", "According to the exemplary architecture of FIG.", "2, a user equipment UE representing a terminal logs measurements of terminal-related network performance parameters as described above, and reports the same to a DMS representing a device management element, wherein such a reporting takes place on the user plane and is transparent to a radio access network, i.e.", "to an eNode representing an access network element.", "The eNodeB, e.g.", "upon request from the DMS, gathers information of access network-related network performance parameters as described above, and reports the same to the DMS.", "Since the eNodeB is, for example, aware of X2 signaling events on an interface towards another eNodeB, such X2 signaling events may be included in the thus reported eNodeB information.", "At the DMS, the reports from the UE and the eNodeB are combined, e.g.", "by attaching the eNodeB information to the UE measurement report.", "The thus created combined report may be forwarded to a NM/IRP manager representing a network management and/or operation and maintenance element.", "FIG.", "3 shows details of a structure and procedures of the first system architecture, as outlined in FIG.", "2, according to exemplary embodiments of the present invention.", "According to the exemplary structure of FIG.", "3, the user equipment UE may also comprise or operate as a device management (DM) client cooperating with the DMS at which the above-described procedure of FIG.", "1 is executed.", "The DMS may comprise a DM server unit and an IRP agent unit cooperating with an IRP manager or an IRP manager unit at a network management element or the like.", "A transport between UE and DMS, which is effected on the user plane in a manner transparent to a radio access network, may be accomplished by means of a OMA DM protocol.", "According to the exemplary procedures of FIG.", "3, the UE, in particular its configurations for measuring and reporting terminal-related network performance parameters as described above, may be configured by the DMS.", "For example, a configuration for measurement logs, e.g.", "which (set of) parameters are to be measured for which use case or the like, and a configuration for reporting triggers, e.g.", "which triggers and which kind of triggers (e.g.", "periodical or failure-based) are effective for which measurements and/or use cases, are sent from the DMS to the UE prior to any actual network performance operation.", "A configuration for measurement logs may comprise e.g.", "instructions as to what entities/parameters are to be measured, when a failure event is met, such as when a cell becomes worse than a threshold.", "A configuration for reporting triggers may comprise e.g.", "instructions as to whether a report is to be sent immediately upon measurement, on network demand, or in a periodical manner such as every 24 hours at 3 a.m.", "When a thus configured measurement trigger is met, the UE takes a corresponding measurement log.", "When a thus configured reporting trigger is met, the UE reports the thus taken measurement log (report) to the DMS.", "Upon receipt thereof, the DMS acquires corresponding access network-related network performance parameters, which in the present example case takes place by requesting such relevant information from an eNodeB representing an access network element of the access network in question, where such information are available or will be gathered upon request.", "The DMS may also determine and select a specific (sub-)set of access network-related parameters based on a reporting trigger and/or use case pertaining to the measurements received from the UR.", "The thus acquired, i.e.", "received, parameters are then combined with those being received from the UE into a combined network performance report.", "This combined report including UE data (relating to a terminal situation) and eNodeB information (relating to a (access) network situation) may then be forwarded to an NM/IRP manager via an integrated reference point (IRP), which has requested these network parameters, e.g.", "for network performance monitoring and/or control.", "For the purpose of exchanging information between DMS and eNodeB, there is assumed the existence of a corresponding interface or reference point, such as e.g.", "an OMA DM interface.", "In addition, there is assumed a manner of requesting and transporting eNodeB complement information, which may be any conceivable manner.", "As indicated above, the measurements, the measurement report, and the combined report may be specific to MDT and/or SON, respectively.", "As a second exemplary system scenario, a control plane (CP) based architecture is explained.", "FIG.", "4 shows a schematic overview of a second system architecture, i.e.", "a CP-based system architecture, according to exemplary embodiments of the present invention.", "According to the exemplary architecture of FIG.", "4, a user equipment UE representing a terminal logs measurements of terminal-related network performance parameters as described above, and reports the same to a eNodeB representing an access network element, wherein such a reporting takes place on the control plane.", "The eNodeB then gathers information of access network-related network performance parameters as described above.", "Since the eNodeB is, for example, aware of X2 signaling events on an interface towards another eNodeB, such X2 signaling events may be included in the thus gathered eNodeB information.", "Then, the eNodeB combines the parameters received from the UE and the parameters gathered locally at the eNodeB, e.g.", "by attaching the eNodeB information to the UE measurement report.", "The thus created combined report may be forwarded to a O&M device representing a network management and/or operation and maintenance element.", "FIG.", "5 shows details of a structure and procedures of the second system architecture, as outlined in FIG.", "4, according to exemplary embodiments of the present invention.", "According to the exemplary structure of FIG.", "5, the user equipment UE is connected with an eNodeB, which is connected to an O&M device, and which may also be connected to one or more other eNodeB's via a X2 interface, respectively.", "The above-described procedure of FIG.", "1 is executed at the eNodeB cooperating with the UE.", "A transport between UE and relevant eNode is effected on the control plane.", "According to the exemplary procedures of FIG.", "5, the UE, in particular its configurations for measuring and reporting terminal-related network performance parameters as described above, may be configured by the eNodeB.", "In this regard, the same notions as outlined above in connection with FIG.", "3 apply here accordingly.", "When a thus configured measurement trigger is met, the UE takes a corresponding measurement log.", "When a thus configured reporting trigger is met, the UE reports the thus taken measurement log (report) to the eNodeB.", "Upon receipt thereof, the eNodeB acquires corresponding access network-related network performance parameters, which in the present example case takes place internally at the eNodeB, e.g.", "by querying the required information from a storage and/or performing corresponding measurements.", "The eNodeB may also determine and select a specific (sub-)set of access network-related parameters based on a reporting trigger and/or use case pertaining to the measurements received from the UE.", "The thus acquired, i.e.", "received, parameters are then combined with those being received from the UE into a combined network performance report.", "This combined report including UE data (relating to a terminal situation) and eNodeB information (relating to a (access) network situation) may then be forwarded to an O&M device via an Interface-N (Itf-N) which is built up by a number of IRPs (integrated reference points) and a related name conversion.", "The O&M device may have previously these network parameters, e.g.", "for network performance monitoring and/or control.", "As indicated above, the measurements, the measurement report, and the combined report may be specific to MDT and/or SON, respectively.", "Hereinbefore, it is always assumed that a connection between the terminal and the network persists during network performance monitoring and/or control.", "However, there may also occur a situation in which the connection between terminal and network is disconnected before the above-described operations are completed.", "Thus, the reporting of terminal-related network performance parameters to the DMS or the eNodeB may be disabled or delayed, thus affecting the further operations of network performance monitoring and/or control.", "For example, a terminal connection may be lost due to a sudden effect such as the driving into tunnel without radio access coverage.", "If so, the terminal may not be able to send its measurement report, and/or the network side may not be able to initiate any specific problem report for handling such a situation before the connection is lost.", "In the exemplary situation of a CP-based architecture according to FIGS.", "4 and 5, it may for example be the case that the UE, after having been disconnected from the eNodeB, re-enters network coverage in the area of another eNodeB.", "Such a case is quite likely in case of a tunnel being passed by the UE.", "Then, the previous eNodeB will vainly wait for the receipt of UE measurements, which would disable the further operations as described above.", "Accordingly, operations are needed for dealing with the case that UE measurement may not be received where they are needed for network performance monitoring and/or control purposes.", "FIG.", "6 shows a flowchart of a method according to exemplary embodiments of the present invention.", "In operation S610, a necessity of reporting access-related network performance parameters may be detected, for example by expiry of a timer since the previous report of such parameters or since receipt of an UE measurement report, detection of connection loss towards the UE, or the like.", "Then, in operation S620, access-related network performance parameters may be (internally or externally) acquired as described beforehand.", "Then, if current terminal-related network performance parameters are available (i.e.", "YES in S630), a combined report may be created (and forwarded) anyhow in operation S640.Yet, if no current terminal-related network performance parameters are available (i.e.", "NO in S630), there may be created a report in operation S650, which contains access network-related network performance parameters but no terminal-related network performance parameters are available.", "In order to enable the later on supplementing with such terminal-related network performance parameters (at the present or any other entity), this report may contain a placeholder for such parameters and/or information enabling the later combination with such parameters.", "In this regard, a non-combined report according to operation S650 may be sent with a time stamp being included therein.", "This may facilitate observance of temporal relations in the further operations.", "Although not illustrated in FIG.", "6, the terminal, after having been re-connected with the new eNodeB, may provide an error report or a log of the disconnecting event having been occurred in addition to its measurement report.", "This may facilitate the further operation by providing knowledge about the cause of the disconnection, which may also contribute to network performance monitoring and/or control.", "Thereby, a report of access network-related network performance parameters is possible when required even if no terminal-related network performance parameters are available, i.e.", "without the need to wait for the receipt of UE measurements which could never arrive due to a previous inter-eNodeB handover.", "An operation as exemplarily illustrated by FIG.", "6 may for example be executed at a DMS entity according to FIGS.", "2 and 3, an O&M entity according to FIGS.", "4 and 5, and/or an eNodeB according to any one of FIGS.", "2 to 5.In case of an execution at an eNodeB according to any one of FIGS.", "2 to 5, the operations S630 and S640 may be omitted, and the report with the access network-related network performance parameters may be forwarded towards the DMS.", "In case of an execution at DMS/O&M according to any one of FIGS.", "2 to 5, even if operation S650 is performed first, operation S640 may then be performed after intermediate receipt of necessary terminal-related network performance parameters.", "It is to be noted that the operations of FIGS.", "1 and 6 may be performed independent of each other as well as in any conceivable combination in terms of a co-located execution at a single entity or a distribution over different entities and in terms of a temporal flow.", "Stated in other words, effects of exemplary embodiments of the present invention described herein may be outlined as follows.", "The enhanced reporting data containing UE measurement logs (e.g.", "defined for MDT purposes) accompanied by network information enables a more efficient diagnosis of a certain problem or failure situation in the network.", "As describe above, the monitoring of predefined triggers is performed at the UE side.", "When a specific event happens, the UE performs relevant measurements depending on the triggering event, which is associated further with one or more specific use case(s).", "Each event and network problem is associated with a relevant set of parameters at the network side.", "For instance, a mobility optimization use case depends upon mobility and handover parameters, which reside at the network.", "Therefore, the number of network information, by which the UE measurements are complemented according embodiments of the present invention, has a value when determining the reason or evaluation of problems experienced by an end-user.", "Accordingly, measurements coming from the UE are jointly taken into account with appropriate network data.", "In principle, an implementation of embodiments of the present invention is independent of architectural aspects, i.e.", "a transport mechanism utilized for UE measurement reporting.", "According to the above examples of system architectures, the network entity (OMA DM server or eNodeB) responsible for policy control and/or configuration of measurements logging may assist the reports from the UE and the network information.", "In case of a user plane based architecture, DMS is the recipient of the reports sent from the UE and the eNodeB.", "Therefore, as an independent network entity, the DMS is able to communicate with an eNodeB and to request relevant network information available to serve, since being normally operated at the eNodeB.", "In case of a control plane based architecture, eNodeB is the recipient of the reports sent from the UE and the point where eNodeB information is available.", "This approach is simpler and easier in terms of data reporting from the network side, since the network entity is basically the eNodeB itself.", "Besides, the radio interface utilized to configure and report UE measurements is prepared and available for service.", "Anyhow, regardless of the transport mechanism utilized, the merit of embodiments of the present invention is that problems or deficiencies in network performance monitoring and/or controlling (e.g.", "for MDT purposes) may be efficiently overcome.", "While different measurements provided by the UE are complemented by respective eNodeB information, detection probability for network problems and/or failures increases.", "For example, the UE and eNodeB feedback help to ease the operator burden to perform live drive testing and result in OPEX (operating expenditures) savings.", "In addition, it is advantageous that the reports from the UE may be categorized depending on the network situation when a certain event occurred, and thus a required analysis is easier for solving the network problems and/or failures.", "Many of the required network-related information is readily available at the eNodeB.", "The UE measurements considered separately may then, for example, be used also for e.g.", "SON purposes.", "That also allows utilizing the same UE functionality for different functions (e.g.", "MDT and SON) with avoidance of redundant implementation complexity.", "While in the foregoing exemplary embodiments of the present invention are described mainly with reference to methods, procedures and functions, corresponding exemplary embodiments of the present invention also cover respective apparatuses, network nodes and systems, including both software and/or hardware thereof.", "Respective exemplary embodiments of the present invention are described below referring to FIGS.", "7 and 8, while for the sake of brevity reference is made to the detailed description of respective corresponding methods and operations according to FIGS.", "1 to 6, respectively.", "In FIGS.", "7 and 8 below, the solid line blocks are basically configured to perform respective operations as described above.", "The entirety of solid line blocks are basically configured to perform the methods and operations as described above, respectively.", "With respect to FIGS.", "7 and 8, it is to be noted that the individual blocks are meant to illustrate respective functional blocks implementing a respective function, process or procedure, respectively.", "Such functional blocks are implementation-independent, i.e.", "may be implemented by means of any kind of hardware or software, respectively.", "The arrows interconnecting individual blocks are meant to illustrate an operational coupling there-between, which may be a physical and/or logical coupling, which on the one hand is implementation-independent (e.g.", "wired or wireless) and on the other hand may also comprise an arbitrary number of intermediary functional entities not shown.", "The direction of arrow is meant to illustrate the direction in which certain operations are performed and/or the direction in which certain data is transferred.", "Further, in FIGS.", "7 and 8, only those functional blocks are illustrated, which relate to any one of the above-described methods, procedures and functions.", "A skilled person will acknowledge the presence of any other conventional functional blocks required for an operation of respective structural arrangements, such as e.g.", "a power supply, a central processing unit, respective memories or the like.", "Among others, memories are provided for storing programs or program instructions for controlling the individual functional entities to operate as described herein.", "FIG.", "7 shows a block diagram of an apparatus according to exemplary embodiments of the present invention.", "In view of the above, the thus described apparatus may be implemented by or at a device management element such as e.g.", "a DMS according to FIGS.", "2 and 3, or an access network element such as e.g.", "an eNodeB according to FIGS.", "4 and 5.According to FIG.", "7, the apparatus according to exemplary embodiments of the present invention is configured to perform a method as described in conjunction with FIG.", "1.Therefore, while basic operations are described hereinafter, reference is made to the above description for details.", "According to an exemplary embodiment depicted in FIG.", "7, the thus depicted apparatus comprises a receiver, an acquisition unit, a combination unit, a determination unit, a selection unit, and a transmitter.", "It is to be noted that the one or more of the acquisition, combination, determination, and selection units may be implemented by a processor.", "Further, it is to be noted that the determination and selection units may be omitted in case no selection of appropriate network performance parameters is desired.", "For a basic implementation, the transmitter may also be omitted, as the forwarding of a combined report is not necessary according to all embodiments of the present invention.", "The receiver may be configured to receive a report on measurements of terminal-related network performance parameters from a terminal, thus representing means for receiving such terminal information.", "The receiver may be configured to receive the measurement report from the terminal as user plane data or as control plane data.", "The acquisition unit may be configured to acquire information of access network-related network performance parameters, thus representing means for acquiring such network information.", "As outlined above, the acquisition unit may be configured to accomplish the acquisition externally, e.g.", "from an access network element, or internally, e.g.", "at an access network element.", "The external acquisition may be applicable when the thus depicted apparatus located at a DMS or the like, and may be accomplished by way of an interface (transceiver) towards an eNodeB or the like.", "The internal acquisition may be applicable when the thus depicted apparatus located at an eNodeB or the like, and may be accomplished by way of a local storage and/or a measuring unit.", "The combination unit is configured to combine the received terminal-related network performance parameters from the receiver and the acquired access network-related network performance parameters from the acquisition unit, e.g.", "into a combined network performance report, thus representing means for combining relevant network performance parameters from different sources.", "The transmitter may be configured to forward the combined network performance report from the combination unit to a network management element and/or an operation and maintenance element, such as e.g.", "an NM/IRP manager or an O&M device, e.g.", "for network performance analysis and/or control, thus representing means for forwarding combined network performance parameters.", "According to embodiments of the present invention, the determination unit may be configured to determine a triggering event and/or use case of the received measurement report, thus representing means for determining a context of a received measurement report.", "The determination unit may be configured to accomplish the determination on the basis of a predefined association between a triggering event and/or use case and measurements to be performed at the terminal, which may be stored at a local storage.", "The selection unit may be configured to select access network-related network performance parameters to be acquired based on the determined triggering event and/or use case, thus representing means for selecting appropriate network information in view of received terminal information.", "For the purpose of transmitting the combined report from said apparatus to a device management and/or operation an maintenance element, there is assumed the existence of a corresponding interface or reference point between e.g.", "eNodeB or DMS and NM/IRP manager or O&M device, as well as a corresponding manner for such a transmission.", "In case of WCDMA, the entity handling a functionality according to embodiments of the present invention, i.e.", "the apparatus as exemplarily depicted by FIGS.", "7 and 8, may be a radio network controller (RNC) or a NodeB with co-located RNC functionality such as for example in case of a flat architecture solution e.g.", "of an Internet High speed Packet Access (I-HSPA).", "According to certain embodiments of the present invention, the terminal such as a user equipment UE or the like is configured to cooperate with the above-described apparatus, i.e.", "a DMS or eNode operating according to embodiments of the present invention.", "Accordingly, a terminal according to embodiments of the present invention is configured to receive configuration information concerning measurement logs and/or reporting triggers from said apparatus, to perform corresponding measurements for creating corresponding measurement logs, and to report such measurement logs correspondingly via user plane or control plane.", "According to certain embodiments of the present invention, the network management element such as a NM/IRP manager and/or an operation and maintenance element such as an O&M device is configured to cooperate with the above-described apparatus, i.e.", "a DMS or eNode operating according to embodiments of the present invention.", "Accordingly, a network management element and/or operation and maintenance element according to embodiments of the present invention is configured to receive a combined network performance report from said apparatus, and, possibly, to request corresponding network performance parameters and/or to perform network performance analysis and/or control based thereon.", "FIG.", "8 shows a block diagram of an apparatus according to exemplary embodiments of the present invention.", "In view of the above, the thus described apparatus may be implemented by or at a device management element such as e.g.", "a DMS according to FIGS.", "2 and 3, an operation and maintenance element such as e.g.", "O&M according to FIGS.", "4 and 5, and/or an access network element such as e.g.", "an eNodeB according to FIGS.", "2 to 5.According to FIG.", "8, the apparatus according to exemplary embodiments of the present invention is configured to perform a method as described in conjunction with FIG.", "6.Therefore, while basic operations are described hereinafter, reference is made to the above description for details.", "According to an exemplary embodiment depicted in FIG.", "8, the thus depicted apparatus comprises a receiver, an acquisition unit, a report creation unit, a report necessity detection unit, and a transmitter.", "It is to be noted that one or more of the acquisition, report creation, and report necessity detection units may be implemented by a processor.", "For a basic implementation, the transmitter may be omitted, as the forwarding of a combined or non-combined report is not necessary according to all embodiments of the present invention.", "The receiver may be configured to receive signals from the outside of the thus depicted apparatus, e.g.", "UE measurement reports or information necessary for detection of a reporting necessity, thus representing means for receiving.", "The report necessity detection unit may be configured to detect a necessity for reporting access network-related network performance parameters, thus representing means for detecting a reporting necessity.", "The acquisition unit may be configured to (internally or externally) acquire such access network-related network performance parameters, thus representing means for acquiring network performance parameters.", "The report creation unit may be configured to create an appropriate report on the basis of the available information, i.e.", "a combined report in case of availability of both terminal-related and access network-related network performance parameters, or a non-combined report in case of availability of only access network-related network performance parameters.", "Thus, the report creation unit represents means fro creating an appropriate network performance report.", "The transmitter may be configured to forward the thus created report when and where appropriate, thus representing means for sending a network performance report.", "Stated in other words, the apparatus may be configured to send a measurement report of access network-related parameters without waiting for a report from the terminal, especially in the case the terminal connection is lost and the terminal may be expected to access the network again via another apparatus than before, the terminal lacking additional reporting capability or is otherwise determined to be subject to malfunction.", "If the apparatus provides a report without combining it with the report from the terminal, then it is beneficial to provide additional information in the report that allows to combine the report at later phase with possible information received from the terminal.", "The information to allow such a later combining may e.g.", "include time stamp, type of the (disconnecting) event experienced, terminal identity, and/or related cell and location information, as well as information received earlier from the terminal such as handover measurement report data or the like.", "For example, the terminal identity may be particularly beneficial when there is (to be) a correlation between terminal-related and access network-related network performance parameters.", "Namely, when access network-related parameters correlate with terminal-related parameters of one or more specific terminals, the need for reporting access network-related parameters may be detected in relation with such specific terminal(s), and after sending a non-combined report due to the lack of such parameters a later combination may be advantageously accomplished by terminal-related parameters of such specific terminal(s) being identified by the terminal identity or identities used as additional information in the non-combined report.", "In general, it is to be noted that respective functional blocks or elements according to above-described aspects can be implemented by any known means, either in hardware and/or software, respectively, if it is only adapted to perform the described functions of the respective parts.", "The mentioned method steps can be realized in individual functional blocks or by individual devices, or one or more of the method steps can be realized in a single functional block or by a single device.", "Generally, any method step is suitable to be implemented as software or by hardware without changing the idea of the present invention.", "Devices and means can be implemented as individual devices, but this does not exclude that they are implemented in a distributed fashion throughout the system, as long as the functionality of the device is preserved.", "Such and similar principles are to be considered as known to a skilled person.", "Software in the sense of the present description comprises software code as such comprising code means or portions or a computer program or a computer program product for performing the respective functions, as well as software (or a computer program or a computer program product) embodied on a tangible medium such as a computer-readable storage medium having stored thereon a respective data structure or code means/portions or embodied in a signal or in a chip, potentially during processing thereof.", "Generally, for the purpose of the present invention as described herein above, it should be noted that method steps and functions likely to be implemented as software code portions and being run using a processor at one of the entities, a network element, or a terminal (as examples of devices, apparatuses and/or modules thereof, or as examples of entities including apparatuses and/or modules therefor), are software code independent and can be specified using any known or future developed programming language, such as e.g.", "Java, C++, C, and Assembler, as long as the functionality defined by the method steps is preserved; generally, any method step is suitable to be implemented as software or by hardware without changing the idea of the invention in terms of the functionality implemented; method steps, functions, and/or devices, apparatuses, units or means likely to be implemented as hardware components at a terminal or network element, or any module(s) thereof, are hardware independent and can be implemented using any known or future developed hardware technology or any hybrids of these, such as MOS (Metal Oxide Semiconductor), CMOS (Complementary MOS), BiMOS (Bipolar MOS), BiCMOS (Bipolar CMOS), ECL (Emitter Coupled Logic), TTL (Transistor-Transistor Logic), etc., using for example ASIC (Application Specific IC (Integrated Circuit)) components, FPGA (Field-programmable Gate Arrays) components, CPLD (Complex Programmable Logic Device) components or DSP (Digital Signal Processor) components; in addition, any method steps and/or devices, units or means likely to be implemented as software components may for example be based on any security architecture capable e.g.", "of authentication, authorization, keying and/or traffic protection; devices, apparatuses, units or means can be implemented as individual devices, apparatuses, units or means, but this does not exclude that they are implemented in a distributed fashion throughout the system, as long as the functionality of the device, apparatus, unit or means is preserved, an apparatus may be represented by a semiconductor chip, a chipset, or a (hardware) module comprising such chip or chipset; this, however, does not exclude the possibility that a functionality of an apparatus or module, instead of being hardware implemented, be implemented as software in a (software) module such as a computer program or a computer program product comprising executable software code portions for execution/being run on a processor; a device may be regarded as an apparatus or as an assembly of more than one apparatus, whether functionally in cooperation with each other or functionally independently of each other but in a same device housing, for example.", "The present invention also covers any conceivable combination of method steps and operations described above, and any conceivable combination of nodes, apparatuses, modules or elements described above, as long as the above-described concepts of methodology and structural arrangement are applicable.", "In the above, there are disclosed measures for enhancing network performance monitoring.", "Such measures may for example comprise receiving a report on measurements of terminal-related network performance parameters from a terminal, acquiring information of access network-related network performance parameters, and combining the received terminal-related network performance parameters and the acquired access network-related network performance parameters into a combined network performance report.", "Even though the invention is described above with reference to the examples according to the accompanying drawings, it is to be understood that the invention is not restricted thereto.", "Rather, it is apparent to a skilled person that the present invention can be modified in many ways without departing from the scope of the inventive idea as disclosed herein." ] ]
Patent_15875595
[ [ "SEMICONDUCTOR INTEGRATED CIRCUIT, COMMUNICATION MODULE, AND SMART METER", "A semiconductor integrated circuit includes a transformer that includes a first winding and a second winding, a low-noise amplifier circuit that includes an input terminal in which at least one end of the second winding of the transformer is connected to the input terminal; and a switch that is provided between the one end and another end of the second winding of the transformer.", "The switch is opened and the transformer functions as an input impedance matching circuit for the low-noise amplifier circuit in a period in which a reception signal is supplied to the first winding of the transformer.", "On the other hand, the switch is closed and the transformer is caused to become an element including a predetermined capacitance in a period in which another circuit connected to the predetermined node operates." ], [ "1-14.", "(canceled) 15.A semiconductor integrated circuit comprising: a receiving circuit; and a transmitting circuit, wherein the receiving circuit comprises: an input terminal for receiving a received signal from an antenna; a transformer which receives the received signal from the input terminal and outputs to a first node and a second node; a low noise amplifier of which input terminals are connected to the first node and the second node; and a switch connected between the first node and the second node, wherein the transmitting circuit comprises: a power amplifier; and an output terminal for outputting transmitting signal from the power amplifier to the antenna, and wherein the switch is controlled to be ON in a transmitting period and to be OFF in a receiving period." ], [ "<SOH> BACKGROUND <EOH>The present invention relates to a semiconductor integrated circuit, a communication module, and a smart meter, and to, for example, a semiconductor integrated circuit, a communication module, and a smart meter which include an impedance matching circuit.", "In recent years, a radio communication apparatus capable of transmitting and receiving a high-frequency signal has been widely used.", "U.S. Patent Publication No.", "2013/0035048 discloses a technique relating to a transceiver circuit used in such a radio communication apparatus.", "More specifically, U.S. Patent Publication No.", "2013/0035048 discloses a technique relating to a front end system including a transmitter circuit, a receiver circuit, and a switch circuit that switches between a connection of the transmitter circuit and an antenna and a connection of the receiver circuit and the antenna." ], [ "<SOH> SUMMARY <EOH>In recent years, a semiconductor integrated circuit used in a radio complication apparatus has been further miniaturized.", "However, a switch circuit for switching a connection between transmitter and receiver circuits and an antenna has not been miniaturized enough due to reasons such as an issue in regard to a size of a voltage amplitude of a high-frequency signal handled by the switch circuit, resistance to Electro Static Discharge (ESD) and the like.", "As a result, the present inventor has found a problem that the miniaturization of the semiconductor integrated circuit used in the radio communication apparatus is insufficient.", "Other problems of the related art and new features of the present invention will become apparent from the following descriptions of the specification and attached drawings.", "According to an aspect of the invention, a switch is provided at both ends of a second winding of a transformer including a first winding and the second winding.", "In a period in which a reception signal is supplied to the first winding of the transformer, the switch is opened, and the transformer is made to function as an input impedance matching circuit.", "On the other hand, in a period in which the transmission signal is not supplied, the switch is closed.", "According to the above aspect, a semiconductor integrated circuit used in a radio communication apparatus can be miniaturized." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is based upon and claims the benefit of priority from Japanese patent application No.", "2015-045513, filed on Mar.", "9, 2015, the disclosure of which is incorporated herein in its entirety by reference.", "BACKGROUND The present invention relates to a semiconductor integrated circuit, a communication module, and a smart meter, and to, for example, a semiconductor integrated circuit, a communication module, and a smart meter which include an impedance matching circuit.", "In recent years, a radio communication apparatus capable of transmitting and receiving a high-frequency signal has been widely used.", "U.S. Patent Publication No.", "2013/0035048 discloses a technique relating to a transceiver circuit used in such a radio communication apparatus.", "More specifically, U.S. Patent Publication No.", "2013/0035048 discloses a technique relating to a front end system including a transmitter circuit, a receiver circuit, and a switch circuit that switches between a connection of the transmitter circuit and an antenna and a connection of the receiver circuit and the antenna.", "SUMMARY In recent years, a semiconductor integrated circuit used in a radio complication apparatus has been further miniaturized.", "However, a switch circuit for switching a connection between transmitter and receiver circuits and an antenna has not been miniaturized enough due to reasons such as an issue in regard to a size of a voltage amplitude of a high-frequency signal handled by the switch circuit, resistance to Electro Static Discharge (ESD) and the like.", "As a result, the present inventor has found a problem that the miniaturization of the semiconductor integrated circuit used in the radio communication apparatus is insufficient.", "Other problems of the related art and new features of the present invention will become apparent from the following descriptions of the specification and attached drawings.", "According to an aspect of the invention, a switch is provided at both ends of a second winding of a transformer including a first winding and the second winding.", "In a period in which a reception signal is supplied to the first winding of the transformer, the switch is opened, and the transformer is made to function as an input impedance matching circuit.", "On the other hand, in a period in which the transmission signal is not supplied, the switch is closed.", "According to the above aspect, a semiconductor integrated circuit used in a radio communication apparatus can be miniaturized.", "BRIEF DESCRIPTION OF THE DRAWINGS The above and other aspects, advantages and features will be more apparent from the following description of certain embodiments taken in conjunction with the accompanying drawings, in which: FIG.", "1 is a block diagram for explaining a smart meter according to a first embodiment; FIG.", "2 is a circuit diagram showing an example of a semiconductor integrated circuit according to the first embodiment; FIG.", "3 is a circuit diagram showing an example of a switch used in the semiconductor integrated circuit according to the first embodiment; FIG.", "4 is a drawing for explaining an operation of the semiconductor integrated circuit according to the first embodiment (when the switch is in a closed state); FIG.", "5 is a drawing for explaining an operation of the semiconductor integrated circuit according to the first embodiment (when the switch is in the closed state); FIG.", "6 is a circuit diagram showing another configuration example of the semiconductor integrated circuit according to the first embodiment; FIG.", "7 is a timing chart for explaining the operation of the semiconductor integrated circuit according to the first embodiment; FIG.", "8 is a timing chart for explaining the operation of the semiconductor integrated circuit according to the first embodiment; FIG.", "9 is a drawing showing a mounting example of the semiconductor integrated circuit according to the first embodiment; FIG.", "10 is a drawing showing a mounting example of semiconductor integrated circuit according to the first embodiment; FIG.", "11 is a drawing showing a mounting example of a semiconductor integrated circuit according to a comparative example; FIG.", "12 is a drawing showing a mounting example of the semiconductor integrated circuit according to the comparative example; FIG.", "13 is a drawing showing another mounting example of the semiconductor integrated circuit according to the first embodiment; FIG.", "14 is a circuit diagram showing another mounting example of the semiconductor integrated circuit according to the first embodiment; FIG.", "15 is a circuit diagram showing another mounting example of the semiconductor integrated circuit according to the first embodiment; FIG.", "16 is a block diagram showing an example of a communication apparatus according to related art; FIG.", "17 is a block diagram showing another configuration example of the communication apparatus according to the related art; FIG.", "18 is a drawing showing an example of a switch circuit used in the communication apparatus according to the related art; FIG.", "19 is a drawing showing another configuration example of the switch circuit used in the communication apparatus according to the related art; FIG.", "20 is a circuit diagram showing an example of a semiconductor integrated circuit according to a second embodiment; FIG.", "21 is a circuit diagram showing an example of a semiconductor integrated circuit according to a third embodiment; FIG.", "22 is a circuit diagram showing another configuration example of the semiconductor integrated circuit according to the third embodiment; FIG.", "23 is a circuit diagram showing another configuration example of a switch used in a semiconductor integrated circuit; FIG.", "24 is a circuit diagram showing another configuration example of the switch used in the semiconductor integrated circuit; and FIG.", "25 is a circuit diagram showing another configuration example of the switch used in the semiconductor integrated circuit.", "DETAILED DESCRIPTION First Embodiment A smart meter according to a first embodiment shall be explained by referring to FIG.", "1 as follows.", "[Configuration of smart meter: FIG.", "1] As shown in FIG.", "1, a smart meter 100 according to this embodiment includes a communication apparatus 101, an MCU (Microcontroller Unit) 102, a measuring apparatus 103, a power supply circuit 104, a memory 105, a display 106, and an antenna ANT.", "The smart meter 100 is an apparatus for measuring an amount of electricity supplied to a load 109 of a domestic power supply from a commercial power supply 107.The communication apparatus 101 sends information on the amount of electricity and the like that have been measured by the smart meter 100 to another device that is connected to an antenna node N_ANT via the antenna ANT.", "Further, the communication apparatus 101 receives predetermined information from the other device via the antenna ANT.", "The MCU (102) controls the communication apparatus 101, the measuring apparatus 103, the memory 105, and the display 106.For example, the MCU (102) supplies a signal MCU_SIG (a control signal or the liked to the communication apparatus 101.The measuring apparatus 103 measures an amount of electricity flowing through a line 108 and outputs information regarding the measured amount of electricity to the MCU (102).", "The MCU (102) stores the information regarding the measured amount of electricity that has been measured by the measuring apparatus 103 in the 105.The display 106 displays various information on the smart meter 100.For example a liquid crystal display can be used for the display 106.For example, the communication apparatus 101 sends a record of electricity consumption that is stored in the memory 105 at the time of reading a meter or communicating with an HEMS (Home Energy Management System).", "Explanation of Related Art Next, related art of the communication apparatus 101 that is used by the smart meter shown in FIG.", "1 shall be explained as follows by referring to FIGS.", "16 to 19.FIG.", "16 is a drawing for explaining the related art of the communication apparatus 101 that is used by the smart meter 100 shown in FIG.", "1 and a block diagram showing an example of a communication apparatus according to the related art.", "As shown in FIG.", "16, a communication apparatus 101_1 according to the related art includes a semiconductor integrated circuit 113_1.The semiconductor integrated circuit 113_1 is an integrated circuit for high frequencies and comprised of a semiconductor chip (RF-IC).", "The semiconductor integrated circuit 113_1 includes a reception passive circuit 115, a low-noise amplifier circuit LNA, a receiver circuit RX, a transmitter circuit TX, a transmission amplifier circuit PA, a transmission passive circuit 116, a local oscillator SX, a modem MODEM, a control circuit 114, and an interface I/F.", "Further, the communication apparatus 101_1 includes a switch circuit 117.This switch circuit 117 is provided outside the semiconductor integrated circuit 113_1 (the RF-IC).", "The reception passive circuit 115 has a function to match an input impedance of the low-noise amplifier circuit LNA and a function as a filter circuit.", "The low-noise amplifier circuit LNA amplifies a reception signal (a radio signal) that is supplied from the reception passive circuit 115.The receiver circuit RX performs a reception process such as a down conversion on the reception signal that has been amplified by the low-noise amplifier circuit LNA.", "The modem MODEM demodulates the reception signal that has been supplied from the receiver circuit RX and supplies the demodulated reception signal to the MCU (102) shown in FIG.", "1 via the interface I/F.", "Further, a transmission signal is supplied from the MCU (102) shown in FIG.", "1 to the modem MODEM via the interface I/F.", "The modem MODEM modulates the supplied transmission signal and supplies the modulated transmission signal to the transmitter circuit TX.", "The transmitter circuit TX performs a transmission process such as an up-conversion on the modulated transmission signal that has been supplied from the modem MODEM.", "The transmission amplifier circuit PA amplifies the transmission signal that has been supplied from the transmitter circuit TX.", "The transmission passive circuit 116 has a function to match an output impedance of the transmission amplifier circuit PA and a function as a filter circuit.", "The switch circuit 117 switches between a connection of the antenna ANT and the reception passive circuit 115 and a connection of the antenna ANT and the transmission passive circuit 116.More specifically, the switch circuit 117 connects the antenna node N_ANT and an input node N101 of the reception passive circuit 115 at the time of a reception and connects the antenna node N_ANT and an output node N102 of the reception, passive circuit 116 at the time of a transmission.", "Moreover, the control signal MCU_SIG is supplied to the control circuit 114 from the MCU (102) shown in FIG.", "1 via the interface I/F.", "The control circuit 114 generates a control signal CTR in response to the control signal MCU_SIG and controls each circuit constituting the communication apparatus 101_1.As shown in FIG.", "16, in the communication apparatus 101_1, most of the functions are integrated into the semiconductor integrated circuit 113_1 (the semiconductor chip (RF-IC)).", "In the communication apparatus 101_1 shown in FIG.", "16, the switch circuit 117 is comprised of a part that is different from parts constituting the semiconductor integrated circuit 113_1.Note that it is often more than enough when a communication apparatus for a smart meter supports a single communication system in a single frequency band.", "A mobile phone, as an example, shall be explained for comparison with communication apparatus for a smart meter.", "In a mobile phone, a plurality of switch circuits (corresponding to the switch circuit 117) having eight to 16 ports are typically used to support a number of frequency bands and communication systems.", "On the other hand, as the switch circuit 117 having two ports is more than enough for the communication apparatus for the smart meter, the complexity of the switch circuit in the communication apparatus for the smart meter, greatly differs from that of the switch circuits in the mobile phone as being the same radio communication apparatus.", "Problem of Related Art In a smart meter, how to improve a component density has bean continuously studied in order to miniaturize the circuit and reduce the cost.", "Especially in a communication apparatus used for the smart meter, as it is only necessary to support a single communication system in a single frequency band, a configuration of the apparatus can be greatly simplified by improving the integration thereof.", "For example, the communication apparatus 101_2 shown in FIG.", "17 has a configuration with an improved integration and shows a case in which the semiconductor integrated circuit 113_1 (the RF-IC) shown in FIG.", "16 and the MCU (102) constitute one semiconductor integrated circuit 113_2 i.e., a semiconductor chip RF-SoC (Radio Frequency System on Chip).", "When such an improvement in the integration of the configuration of the apparatus is made, the last part that can be integrated is the switch circuit 117.There are two reasons for this.", "The first reason is that as a characteristic impedance of a part into which the switch circuit 117 is inserted is as impedance of the antenna (typically 50 Ω) a voltage amplitude of the transmission and reception signals will become large.", "In the case of, for example, a specified low power radio of a 920 MHz band which is commonly used by a smart meter, an upper limit of transmission power from a power amplifier is 13 dBm.", "At this time, when the impedance of the antenna is 50 Ω, the voltage amplitude will be about 2.8 Vpp.", "In consideration of a signal reflection caused by, for example, a certain object touching the antenna, it is necessary to consider a voltage amplitude twice as great as 2.8 Vpp at most.", "This voltage amplitude is equivalent to one that is several times as great as a safe excitation voltage of a MOSFET (Metal-Oxide-Semiconductor Field-Effect Transistor) that has been manufactured by a process used for manufacturing the RF-IC and RF-SoC, which is a process for achieving miniaturization and a reduction in a voltage of a semiconductor chip.", "Therefore, a switch circuit that handles a switching of transmission and reception signals having such a large voltage amplitude requires a semiconductor IC that has been manufactured by a dedicated process which will be explained later.", "The second reason is that as the antenna is formed to project near a surface of the smart meter or outside the smart meter, a strong resistance (a surge resistance) to Electro Static Discharge (ESD) is required.", "It is however, not easy to ensure a strong surge resistance in the RF-IC and RF-SoC that has been manufactured by the process for achieving the miniaturization and reduction in the voltage.", "Due to these reasons, a switch circuit that has been manufactured by a special manufacturing process is used for the switch circuit 117 in the communication apparatuses 101_1 and 101_2.FIG.", "18 is a drawing showing an example of the switch circuit used in the related art.", "A switch circuit 117_1 shown in FIG.", "18 is comprised of a switch IC (123) that has been manufactured by GaAs-related compound semiconductor processing and capacitive elements C101 to C103 that block a DC bias component leaking from the switch IC (123).", "As the RF-IC and RF-SoC that have been explained above are formed by silicon process technology, such a switch IC (123) that has been formed by the compound semiconductor processing cannot be integrated into the RF-IC and RF-SoC as it is.", "Moreover, high-performance switch circuit manufactured using SOI (Silicon On Insulator)-CMOS technology has been used in recent years.", "FIG.", "19 is a drawing showing another configuration example of a switch circuit used in the related art.", "A switch circuit 117_2 shown in FIG.", "19 includes a switch/group 125 in which a plurality of N-type MOSFETs are connected in series and a switch group 126 in which a plurality of N-type MOSFETs are connected in series.", "The switch circuit 117_2 functions as an SPDT (Single Pole Dual Throw) switch.", "The switch circuit 117_2 shown in FIG.", "19 includes a charge pump circuit CP for generating positive and negative bias voltages in order to switch the plurality of N-type MOSFETs and a drive circuit DRV for appropriately supplying the generated voltages to the switch groups 125 and 126.Although the switch circuit 117_2 can be formed using the same silicon process technology as that used to form the RF-IC and RF-SoC, it is difficult to integrate the switch circuit 117_2 for the following reason.", "Specifically, although the RF-IC and RF-SoC use a bulk substrate, the switch circuit 117_2 shown in FIG.", "19 needs to use an SOI substrate.", "Further, the RF-IC and RF-SoC use a miniaturization process for achieving miniaturization and a reduction in a voltage.", "However, the switch circuit 117_2 shown in FIG.", "19 is manufactured by a process that can handle high voltages (a process in which technology of miniaturization is behind by a few generations) as voltage amplitudes of signals handled by the switch circuit 117_2 are large.", "Moreover, the switch circuit 117_2 shown in FIG.", "19 requires the charge pump circuit CP and the drive circuit DRV.", "However, when the charge pump circuit CP and the drive circuit DRV are mounted together on the RF-IC and RF-SoC, operating noise of the charge circuit CP and the drive circuit DRV causes an adverse effect on a high-frequency transmission characteristic and high-frequency reception characteristic.", "With a recognition of such a difficulty, when the switch circuit 117 is integrated using the process for achieving the miniaturization and reduction in the voltage which is used to manufacture the RF-IC and RF-SoC, a voltage amplitude of a signal that can be handled may be limited or the surge resistance way become insufficient.", "On the other hand, when a high-resistance MOSFET generally used for I/O is used in order to avoid the limitation in the voltage amplitude of the signal and the surge resistance, there are adverse effects such as a deterioration of RF characteristics, an increase in a chip area, and an increase in power consumption.", "As has been explained above, in the communication apparatuses 101_1 and 101_2 according to the related art, the switch circuit for switching the connection of the antenna and the transmitter and receiver circuits has not been miniaturized enough due to reasons such as a size of a voltage amplitude of a high-frequency signal handled by the switch circuit and a resistance to ESD.", "Accordingly, there has been a problem that the semiconductor integrated circuit used in the radio communication apparatus has not been sufficiently miniaturized.", "A semiconductor integrated circuit that can solve such a problem shall be explained as follows.", "[Configuration of semiconductor integrated circuit: FIG.", "2] FIG.", "2 is a circuit diagram showing an example of a semiconductor integrated circuit according to a first embodiment.", "As shown in FIG.", "2, a semiconductor integrated circuit 1 according to this embodiment includes a transformer T1 including a winding L1 and a winding L2, a capacitive element C2, a switch SW1, a low-noise amplifier circuit LNA, and a control circuit 10.These components are integrated into, for example, the RF-IC or RF-SoC.", "A capacitive element C1 is provided between an antenna node N_ANT and an input terminal TM1 of the semiconductor integrated circuit 1.The semiconductor integrated circuit 1 according to this embodiment can be used for the communication apparatus 101 of the smart meter 100 that has been explained above.", "Components other than those mentioned above in the semiconductor integrated circuit 1, namely, the low-noise amplifier circuit LNA and the circuits and the like in the subsequent stages of the low-noise amplifier circuit LNA, are the same as those in the communication apparatus 101_1 according to the related art shown in FIG.", "16.As these components are not characterizing parts of the semiconductor integrated circuit 1 according to this embodiment, descriptions thereof shall be omitted.", "Further, another circuit (typically a transmitter circuit) that is different from the semiconductor integrated circuit 1 is connected in parallel to the antenna node N_ANT.", "Note that a configuration including a transmitter circuit in the semiconductor integrated circuit (FIG.", "6) shall be explained later.", "As shown in FIG.", "2, one end of the winding L1 of the transformer T1 is connected to the input terminal TM1, and the other end of the winding L1 of the transformer T1 is grounded (grounded is an alternating current manner).", "One end of the winding L2 of the transformer T1 (a node N1) and the other end of the winding L2 of the transformer T1 (a node N2) are connected respectively to input terminals of the low-noise amplifier circuit LNA.", "The capacitive element C2 and the switch SW1 are connected in parallel between the one end and the other end of the winding L2 of the transformer T1.Note that the capacitive element C2 may be omitted depending on a value of an input impedance of the low-noise amplifier circuit LNA.", "FIG.", "3 is a circuit diagram showing an example of the switch SW1.The switch SW1 can be comprised of, for example, an N-type MOSFET (Tr10) and resistors R10 and R11.The drain and source of the MOSFET (Tr10) are connected respectively to the node N1 and the node N2 of the winding L2 of the transformer T1.A 0 V DC bias is applied to a body of the MOSFET (Tr10) via the resistor R11.A control signal SW1_CTR (a voltage VDD or 0 V) is applied to the gate of the MOSFET (Tr10) via the resistor R10.That is, when the voltage VDD is applied to the gate of the MOSFET (Tr10), the MOSFET (Tr10) will be ON (the switch SW1 will be in a closed state).", "On the other hand, when 0 V is applied to the gate of the MOSFET (Tr10), the MOSFET (Tr10) will be OFF (the switch SW1 will be in an opened state).", "Note that as the switch SW1 should only be opened or closed for an RF signal, the source and the drain of the MOSFET (Tr10) may be capacitively coupled to the node N1 and the node N2 of the winding L2 of the transformer T1, respectively.", "Moreover, a P-type MOSFET may be used in place of the N-type MOSFET (Tr10).", "The control circuit 10 applies the voltage VDD or a voltage of 0 V to the gate of the NMOS transistor Tr10 as the control signal SW1_CTR of the switch SW1.Further, the control circuit 10 supplies a control signal CTR to each circuit (not shown) included in the semiconductor integrated circuit 1.A control signal MCU_SIG is supplied to the control circuit 10 from the MCU (102) shown in FIG.", "1.", "[Operation of semiconductor integrated circuit] Next, an operation of the semiconductor integrated circuit 1 shall be explained as follows.", "The semiconductor integrated circuit 1 according to this embodiment causes the transformer T1 to function as an input impedance matching circuit for the low-noise amplifier circuit LNA by opening the switch SW1 in a period when a reception signal is supplied to the winding L1 of the transformer T1 from the antenna ANT (a predetermined node).", "In the semiconductor integrated circuit 1 shown in FIG.", "2, the capacitive element C1 and the capacitive element C2 in addition to the transformer T1 constitute the input impedance matching circuit for the low-noise amplifier circuit LNA.", "On the other hand, in a period when another circuit connected to the antenna ANT (the predetermined node) operates, the switch SW1 is closed.", "In this case, an impedance when the capacitive element C1 side is viewed from the antenna ANT side will become equivalent to an impedance of a sufficiently small capacitive element.", "Note that, when the other circuit connected to the antenna ANT is a transmitter circuit, operations of the semiconductor integrated circuit 1 (a receiver circuit) and the other circuit (the transmitter circuit) will become the same as those shown in timing charts of FIGS.", "7 and 8 (the operations shown in FIGS.", "7 and 8 shall be explained later).", "At this time, by appropriately selecting circuit constants of the transformer T1 and the capacitive elements C1 and C2, the input impedance of the low-noise amplifier circuit LNA can be matched in a state where the switch SW1 is opened, and the impedance when the semiconductor integrated circuit 1 side is viewed from the antenna ANT side can be configured to become equivalent to the impedance of the sufficiently small capacitance in a state where the switch SW1 is closed.", "Specifically, in the state where the switch SW1 is opened, a reception passive circuit including the transformer T1 can be in a state suitable for a reception operation.", "On the other hand, in the state where the switch SW1 is closed, an influence of the reception passive circuit including the transformer T1 on the other circuit can be ignored when the semiconductor integrated circuit 1 is viewed from the other circuit connected to the antenna ANT, or an equivalent capacitance (the sufficiently small capacitance) when the semiconductor integrated circuit 1 is viewed from the antenna ANT side can function by including the equivalent capacitance in one of the components of the impedance matching circuit for the other circuit.", "To be more specific, in the semiconductor integrated circuit 1 shown in FIG.", "2, consider a case in which values of a complex input impedance of the low-noise amplifier circuit LNA, a capacitance C1 of the capacitive element C1, an inductance L1 of the winding L1, and a coupling coefficient k of the transformer T1 are provided beforehand.", "In this case, the degree of freedom regains in two values which are: values of an inductance L2 of the winding L2 and a capacitance C2 of the capacitive element C2.Accordingly, under such a constraint condition, an impedance can be made to match the complex impedance of the low-noise amplifier circuit LNA (=degree of freedom 2).", "Further, when the switch SW1 is closed, the capacitive element C2 and the low-noise amplifier circuit LNA that are connected in parallel to the switch SW1 can be ignored.", "That is, when the switch SW1 is closed, a circuit shown in FIG.", "4 may be considered.", "In such a case, an equivalent circuit viewed from the antenna ANT side will become the one shown in FIG.", "5.More specifically, the impedance viewed from the antenna ANT side is 1/jωC1+jωL1(1−k2).", "At an angular frequency of the RF signal, 1/jωC3=1/jωC1+jωL1(1−k2).", "As the values of C1, L1, and k can be arbitrarily determined regardless of the input impedance matching of the low-noise amplifier circuit LNA, by appropriately selecting these values, a value of C3 can be set as being a sufficiently small predetermined value.", "Therefore, when viewed from the other circuit that is connected to the antenna ANT, the input terminal TM1 of the semiconductor integrated circuit 1 when the switch SW1 is closed can be seen as being equivalent to the capacitance C3.When the value of the capacitance C3 is set as being a sufficiently small value, an influence on the other circuit can be ignored.", "Moreover, the capacitance C3 can be incorporated as one of components of the impedance matching circuit for the other circuit.", "As described above, the semiconductor integrated circuit 1 according to this embodiment can achieve a state as if an input unit (the input terminal TM1) of the semiconductor integrated circuit 1 is separated from the antenna ANT without using the switch circuit (see FIGS.", "16 to 19) that is inserted in series in an RF signal path.", "Further, the one end of the winding L1 of the primary side of the transformer T1 is directly connected to the input terminal TM1 of the semiconductor integrated circuit 1 (the RF-IC), and the other end of the winding L1 of the transformer T1 is grounded.", "An inductance of the primary side of the transformer T1 is typically about several nH.", "As a thick wire is used for the windings of the transformer T1, it is not necessary to separately provide an ESD protection circuit in order to ensure a surge resistance, and even when the ESD protection circuit is required, only a simple ESD protection circuit is sufficient.", "That is, by directly connecting the input terminal TM1 of the semiconductor integrated circuit 1 (the RF-IC) to the winding L1 of the primary side of the transformer T1, a strong surge resistance can be ensured.", "Further, as it is not necessary to provide the ESD protection circuit, a chip area of the semiconductor integrated circuit 1 can be reduced by an area corresponding to that of the ESD protection circuit.", "Additionally, a parasitic capacitance caused by the ESD protection circuit can be reduced.", "The switch SW1 that is connected to the winding L2 of the secondary side of the transformer T1 becomes the opened state when the semiconductor integrated circuit 1 performs a reception operation.", "As a maximum value of received power of the semiconductor integrated circuit 1 is typically small, which is about −20 dBm, a voltage amplitude applied when the switch SW1 is in the opened state is in a degree that can be handled by a MOSFET (see FIG.", "3) constituting the switch SW1.When the other circuit connected to the antenna ANT is a transmitter circuit, a large voltage amplitude is applied to the input terminal TM1 of the semiconductor integrated circuit 1 (the RF-IC) in a state of a transmission.", "However, as the switch SW1 is in the closed state at this time, the voltage amplitude applied to the switch SW1 is almost zero.", "Thus, a MOSFET that has been formed by a miniaturizing process for achieving miniaturization and a reduction in a voltage can be used for the switch SW1.In addition, it is preferable to close the switch SW1 except when the semiconductor integrated circuit 1 performs the reception operation.", "In general, the input impedance of the low-noise amplifier circuit LNA is greater than impedances of antennas (typically 50 Ω), the transformer T1 is designed in such a way that an impedance will increase gradually from the antenna ANT side toward the low-noise amplifier circuit LNA side.", "Accordingly, an ON resistance required for the switch SW1 can be greater than that of the switch circuit 117 (see FIG.", "16) that is disposed proximate to the antenna ANT according to the related art.", "Further, as the miniaturization process is used to manufacture the RF-IC and RF-SoC, even when an area of the MOSFET is small, a sufficiently low ON resistance can be achieved.", "As a result, the area of the switch SW1 can be smaller than in the related art.", "Moreover, a parasitic capacitance caused by the switch SW1 can be reduced as well.", "As has been explained above, as the semiconductor integrated circuit 1 according to this embodiment has the above-explained configuration, it is not necessary to use the switch circuit 117 (FIGS.", "18 and 19) that have been used in the related art.", "It is therefore possible to achieve the miniaturization of the semiconductor integrated circuit used in the radio communication apparatus.", "[Another configuration, example of semiconductor integrated circuit: FIG.", "6] Next, another configuration example of the semiconductor integrated circuit according to this embodiment shall be explained as follows.", "FIG.", "6 is a circuit diagram showing another configuration example of the semiconductor integrated circuit according to this embodiment.", "A difference between a semiconductor integrated circuit 2 shown in FIG.", "6 and the semiconductor integrated circuit 1 shown in FIG.", "2 is that the semiconductor integrated circuit 2 shown in FIG.", "6 includes a circuit of a transmission system such as a transmission passive circuit 12, a transmission amplifier PA and the like.", "As the configurations other than these mentioned above are the same as those of the semiconductor integrated circuit 1 shown in FIG.", "2, repeated explanations shall be omitted.", "As shown in FIG.", "6, the semiconductor integrated circuit 2 includes the transformer T1 including the windings L1 and L2, the capacitive element C2, the switch SW1, the low-noise amplifier circuit LNA, a control circuit 11, the transmission passive circuit 12, and the transmission amplifier PA.", "These components are integrated into, for example, the RF-IC or RF-SoC.", "A circuit of a transmission system including the transformer T1, the capacitive element C2, the switch SW1, and the low-noise amplifier circuit LNA is connected to the antenna ANT via the input terminal TM1.The capacitive element C1 is provided between the antenna node N_ANT and the input terminal TM1.Further, a circuit of a transmission system including the transmission passive circuit 12 and the transmission amplifier PA is connected to the antenna node N_ANT via an output terminal TM2.For example, the semiconductor integrated circuit 2 shown in FIG.", "6 can be used for the communication apparatus 101 of the related art that has been explained above.", "Other components in the semiconductor integrated circuit 2 which are the low-noise amplifier circuit LNA and the circuits in the subsequent stages of the low-noise amplifier LNA and the circuits in the preceding stages of the transmission amplifier PA are the same as those in the communication apparatus 101_1 according to the related art shown in FIG.", "16.As those components are not characterizing parts of the semiconductor integrated circuit 2 according to this embodiment, descriptions thereof shall be omitted.", "Further, in the semiconductor integrated circuit 2 shown in FIG.", "6, the control circuit 11 supplies a control signal also to the circuit of the transmission system.", "Note that in this embodiment, the transmission amplifier PA may be configured to supply a differential transmission signal to the transmission passive circuit 12 or the transmission amplifier PA may be configured to supply a single-phase transmission signal to the transmission passive circuit 12.", "[Operation of semiconductor integrated circuit shown in FIG.", "6] The semiconductor integrated circuit 2 shown in FIG.", "6 causes the switch SW1 to be in the opened state and the transformer T1 to function as an input impedance matching circuit for the low-noise amplifier circuit LNA in a period in which a reception signal is supplied to the winding L1 of the transformer T1 from the antenna ANT (a reception operation period).", "In the semiconductor integrated circuit 2 shown in FIG.", "6, the capacitive element C1 and the capacitive element C2 in addition to the transformer T1 constitute the input impedance matching circuit for the low-noise amplifier circuit LNA.", "On the other hand, the switch SW1 is closed in a period is which the circuit of the transmission system including the transmission passive circuit 12 and the transmission amplifier PA operates (a transmission operation period).", "In this case, an impedance when the capacitive element C1 side is viewed from the antenna ANT side will become equivalent to the impedance of the sufficiently small capacitive element.", "Accordingly, the circuit of the transmission system including the transmission passive circuit 12 and the transmission amplifier PA can normally execute a transmission operation.", "Next, an operation of the semiconductor integrated circuit 2 shown in FIG.", "6 shall be explained in more detail.", "FIG.", "7 is a timing chart for explaining the operation of the semiconductor integrated circuit 2.The timing chart shown in FIG.", "7 shows a case in which a normally on switch is used for the switch SW1 of the semiconductor integrated circuit 2.When, the normally on switch is used for the switch SW1, and a control signal SW1_CTR that is output from the control circuit 11 is a low level one, the state of the switch SW1 will be ON (the closed state).", "At this time, the state of the semiconductor integrated circuit 2 will become a state capable of performing the transmission operation.", "On the other hand, when the control signal SW1_CTR that is output from the control circuit 11 is a high level one, the switch SW1 will be OFF (the opened state).", "At this time, the state of the semiconductor integrated circuit 2 will become a state capable of performing a reception operation.", "More, specifically, when the normally on switch is used for the switch SW1, at timings t1 and t3 which are immediately before the semiconductor integrated circuit 2 performs the reception operation, the switch SW1 is turned off (the opened state), so that the semiconductor integrated circuit 2 will be in the state capable of performing the reception operation.", "Then, at timings t2 and t4 which are after the semiconductor integrated circuit 2 has completed the reception operation, the switch SW1 is turned on (the closed state), so that the semiconductor integrated circuit 2 will be in the state capable of performing the transmission operation.", "Note that when the semiconductor integrated circuit 2 does not perform both the reception and transmission operations, as the switch SW1 is normally on, the switch SW1 is turned on (the closed state) (see, for example, timings t4 to t5).", "FIG.", "8 is a timing chart for explaining the operation of the semiconductor integrated circuit 2 and shows a case in which a normally off switch is used for the switch SW1 of the semiconductor integrated circuit 2.When the normally off switch is used for the switch SW1, and the control signal SW1_CTR that is output from the control circuit 11 is a low level one, the switch SW1 will become the OFF state (the opened state).", "At this time, the semiconductor integrated circuit 2 will be in the state capable of performing the reception operation.", "On the other hand, when the control signal SW1_CTR that is output from the control circuit 11 is a high level, the switch SW1 is turned on (the closed state).", "At this time, the semiconductor integrated circuit 2 will be in the state capable of performing the transmission operation.", "More specifically, when the normally off switch is used for the switch SW1, at timings t11 and t13 which are immediately before the semiconductor integrated circuit 2 performs the transmission operation, the switch SW1 is turned on (the closed state), so that the semiconductor integrated circuit 2 will be in the state capable of performing the transmission operation.", "Then, at timings t12 and t14 which are after the semiconductor integrated circuit 2 has completed the transmission operation, the switch SW1 is turned off (the opened state), so that the semiconductor integrated circuit 2 will be in the state capable of performing the reception operation.", "Note that when the semiconductor integrated circuit 2 does not perform either of the reception and transmission operations, as the switch SW1 is normally off, the switch SW1 is turned off (the opened state) (see, for example, timings t14 to t15).", "[Mounting example of semiconductor integrated circuit: FIGS.", "9 to 12] Next, a mounting example of the semiconductor integrated circuit according to this embodiment shall be explained by referring to FIGS.", "9 and 10.As shown in FIG.", "9, the semiconductor integrated circuit 2 is comprised of a semiconductor chip (RF-IC), and each component is integrated into the semiconductor chip.", "The input terminal TM1 of the semiconductor integrated circuit 2 and one end of a capacitive element (a chip capacitance) 23 are connected using a line 21 for high frequencies.", "The output terminal TM2 of the semiconductor integrated circuit 2 and the other end of the capacitive element 23 are connected using a line 22.The line 22 is connected to the antenna node N_ANT.", "FIG.", "10 is a drawing showing a communication module 20 in which the semiconductor integrated circuit 2 (the semiconductor chip) shown in FIG.", "9 is mounted on a mounting substrate.", "As shown in FIG.", "10, the semiconductor integrated circuit 2 (the semiconductor chip) is mounted on the mounting substrate.", "The line 22 that is connected to the semiconductor integrated circuit 2 is connected to an antenna connector 25.A plurality of capacitive elements (chip capacitances) 26 are arranged on the mounting substrate.", "Further, a connector 27 which is connected to the MCU (102b) (see FIG.", "1) is disposed on a back side of the mounting substrate.", "An shown in FIG.", "10, in the communication module 20, as most of functions are concentrated on the semiconductor integrated circuit 2 (the semiconductor chip), the number of necessary components other than the semiconductor integrated circuit 2 can be a few, such as the antenna connector 25, the capacitive elements (the chip capacitances) 26, and the connector 27.Note that in FIGS.", "9 and 10, a power supply line, a ground line, a control line and the like are not shown.", "FIGS.", "11 and 12 are drawings showing mounting examples of a semiconductor integrated circuit 113 according to a comparative example.", "The semiconductor integrated circuit 113 corresponds to the semiconductor integrated circuit 113_1 shown in FIG.", "16.Therefore, when the semiconductor integrated circuit 113 is used, the switch circuit 117 shown in FIG.", "16 will be necessary.", "Further, when the switch circuit 117 is formed using GaAs technology, three capacitive elements (chip capacitances) are required so as to block a DC component leaking from the switch circuit 117 (see FIG.", "18 for the details).", "As shown in FIG.", "11, the semiconductor integrated circuit 113 is comprised of a semiconductor chip (an RF-IC), and each component is integrated into the semiconductor chip.", "The input terminal TM1 of the semiconductor integrated circuit 113 and the switch circuit 117 are connected using a line 131.The capacitive element C101 (the chip capacitance) is provided between the input terminal TM1 and the switch circuit 117.The output terminal TM2 of the semiconductor integrated circuit 113 and the switch circuit 117 are connected using a line 132.The capacitive element C102 (the chip capacitance) is provided between the output terminal TM2 and the switch circuit 117.The switch circuit 117 and the antenna node N_ANT are connected using a line 133.The capacitive element C103 (the chip capacitance) is provided between the switch circuit 117 and the antenna node N_ANT.", "FIG.", "12 is drawing showing a communication module 101 in which the semiconductor integrated circuit 113 (the semiconductor chip) shown in FIG.", "11 is mounted on a mounting substrate.", "As shown in FIG.", "12, the semiconductor integrated circuit 113 (the semiconductor chip) is mounted on the mounting substrate.", "The line 133 is connected to an antenna connector 135.A plurality of capacitive elements (chip capacitances) 136 are arranged on the mounting substrate.", "Further, a connector 137 which is connected to the MCU (102) (see FIG.", "1) is disposed on a back side of the mounting substrate.", "Note that in FIGS.", "11 and 12, a power supply line, a ground line, a control line and the like are not shown.", "The communication module 101 shown in FIG.", "12 requires the switch circuit 117, and the three capacitive elements (the chip capacitances) are required so as to block a DC component leaking from the switch circuit 117.This largely increases an area of the communication module 101.On the other hand, in the communication module 20 shown in FIG.", "10, as the switch circuit 117 and two capacitive elements can be omitted, an area of the communication module 20 can be reduced by areas of the switch circuit 117 and the two capacitive elements.", "Note that as shown in FIG.", "9, in the semiconductor integrated circuit 2, in place of the switch circuit 117, the switch SW1 will be needed.", "However, as the switch SW1 can be comprised of, for example, a CMOS (Complementary Metal Oxide Semiconductor) or the like, an area of the switch SW1 can be small enough in comparison to other circuit components constituting the reception circuit.", "[Another mounting example of semiconductor integrated circuit: FIG.", "13] Next, versatility of the semiconductor integrated circuit according to this embodiment shall be explained.", "It is common to provide a SAW (Surface Acoustic Wave) filter in a transmission side, a reception side or both the transmission and reception sides when there is a strict regulation on an unwanted wave of a transmission signal or when a strong interference wave is expected in the vicinity of a reception signal band.", "FIG.", "13 is a drawing showing another mounting example of the semiconductor integrated circuit according to this embodiment and shows a configuration in which the SAW filters are provided in both the transmission and reception sides.", "The configuration shown in FIG.", "13 requires the switch circuit 117 in order to switch between a connection of the transmission side and an antenna node N_ANT and a connection of the reception side and the antenna node N_ANT.", "A SAW filter 141 is provided between the input terminal TM1 of the reception side and the switch circuit 117.Additionally, a SAW filter 142 is provided between the output terminal TM2 of the transmission side and the switch circuit 117.Although in the semiconductor integrated circuit 2 (the semiconductor chip) shown in FIG.", "6, the switch SW1 is provided in the path of the reception signal, the size of the switch SW1 is small.", "Accordingly, even when the semiconductor integrated circuit 2 (the semiconductor chip) shown in FIG.", "6 is used for the communication apparatus including the SAW filters shown in FIG.", "13, there is no disadvantage at all in terms of characteristics, a cost, and a circuit area.", "Therefore, the same semiconductor integrated circuit 2 (the semiconductor chip) can be used for the communication apparatus shown in FIG.", "6 (the configuration having no SAW filter) and the communication apparatus shown in FIG.", "13 (the configuration having the SAW filters).", "The functions of the semiconductor chips such as the RF-IC and RF-SoC in recent years are further complicated.", "Thus, a benefit that the same semiconductor chip can be used for a plurality of usages in a manner explained above is significant for manufacturers and users of the semiconductor chips.", "To be more specific, for the manufacturers of the semiconductor chips, a cost, time and the like for a verification at the time of a product design, a reliability test for quality assurance, management of a production volume, inventory management after production, and preparation and maintenance of various documents can be reduced.", "For the users of the semiconductor chips, a cost and time for a basic performance verification at the time of introducing the semiconductor chip, an evaluation on an operation combined with software, a reliability verification, and management of stocks on hand at the time of production can be reduced.", "As has been explained above, as the semiconductor integrated circuit 2 according to this embodiment can be used for the communication apparatus having various configurations, the semiconductor integrated circuit 2 according to this embodiment is versatile.", "In this case, there is no disadvantage in terms of characteristics, a cost, and a circuit area of the communication apparatus in a manner similar to the above case.", "Accordingly, a semiconductor integrated circuit can be commonly used in a smart meter using the technique according to this embodiment and the smart meter according to the related art.", "This saves a total cost for configuring the smart meter, the time and the like required for development etc.", "[Another configuration example of semiconductor integrated circuit: FIG.", "14] Next, another configuration example of the semiconductor integrated circuit according to this embodiment shall be explained by referring to FIG.", "14.The semiconductor integrated circuit 1 shown in FIG.", "2 has the configuration in which the capacitive element C1 is provided between the antenna node N_ANT and the input terminal TM1.However, in this embodiment, as in a semiconductor integrated circuit 3 shown in FIG.", "14, a capacitive element C1′ may be provided between a terminal TM1′ and a ground potential.", "The terminal TM1′ is connected to the other end of the winding L1.Further, the capacitive element C1′ is provided outside the semiconductor integrated circuit 3.That is, as shown in FIGS.", "2 and 14, in the semiconductor integrated circuit according to this embodiment, the capacitive element C1 (C1′) that is connected in series to the winding L1 of the transformer T1 may be provided, and a position on which the capacitive element is provided may be arbitrarily determined.", "At this time, a reception signal is supplied from the antenna ANT to one end of a circuit that includes the winding L1 and the capacitive element C1 (C1′), and the other end of the circuit is grounded in an alternating current manner.", "Note that as configurations other than the capacitive element C1′ of the semiconductor integrated circuit 3 shown in FIG.", "14 are the same as those of the semiconductor integrated circuit 1 shown in FIG.", "2, repeated explanations shall be omitted.", "[Another configuration example of semiconductor integrated circuit: FIG.", "15] Further, the semiconductor integrated circuit 1 shown in FIG.", "2 has a configuration in which the one end of the winding L2 of the transformer T1 (the node N1) and the other end of the winding L2 of the transformer T1 (the node N2) are connected respectively to the input terminals of the low-noise amplifier circuit LNA, i.e., a configuration in which a differential signal is supplied to the low-noise amplifier circuit LNA.", "However, in this embodiment, in a manner similar to a semiconductor integrated circuit 4 shown in FIG.", "15, only one end of the winding L2 of the transformer T1 is connected to the input terminal of the low-noise amplifier LNA, and the other end of the winding L2 (the node N2) may be grounded in an alternating current manner.", "That is, an input of the low-noise amplifier circuit LNA may be a single-phase input.", "Note that as other configurations of the semiconductor integrated circuit 4 shown in FIG.", "15 are the same as those of the semiconductor integrated circuit 1 shown in FIG.", "2, repeated explanations shall be omitted.", "Second Embodiment Next, a second embodiment shall be explained as follows.", "FIG.", "20 is a circuit diagram showing an example of a semiconductor integrated circuit 5 according to the second embodiment.", "The semiconductor integrated circuit 5 shown in FIG.", "20 shows a specific configuration example of the transmission passive circuit 12 and the transmission amplifier circuit PA that are included in the semiconductor integrated circuit 2 shown in FIG.", "6 is shown.", "As other components are the same those in the semiconductor integrated circuit explained in the first embodiment, repeated explanations shall be omitted.", "[Configuration of semiconductor integrated circuit: FIG.", "20] As shown in FIG.", "20, the semiconductor integrated circuit 5 includes the transformer T1 including the windings L1 and L2, the capacitive element C2, the switch SW1, the low-noise amplifier circuit LNA, a transformer T2 including windings L4 and L5, a capacitive element C5, the transmission amplifier PA, and a control circuit 11.Note that as circuit elements of a reception system, which are the transformer T1, the capacitive element C2, the switch SW1, and the low-noise amplifier circuit LNA are the same as those explained in the first embodiment, repeated explanations shall be omitted.", "As shown in FIG.", "20, one and the other ends of the winding L5 of the transformer T2 are connected respectively to output terminals of the transmission amplifier circuit PA. That is, a transmission signal is supplied from the transmission amplifier circuit PA to one and the other ends of the winding L5 of the transformer T2.One end of the winding L4 of the transformer T2 is connected to the output terminal TM2, and the other end of the winding L4 of the transformer T2 is grounded (grounded in an alternating current manner).", "The capacitive element C5 is connected between the one end and the other end of the winding L4 of the transformer T2.Note that the capacitive element C5 may be omitted depending on a value of an output impedance of the transmission amplifier circuit PA.", "The capacitive element C1 is connected between the input terminal TM1 of the semiconductor integrated circuit 5 and the antenna ANT.", "Further, a capacitive element C4 is connected between the output terminal TM2 of the semiconductor integrated circuit 5 and the antenna ANT.", "The transmission amplifier circuit PA is, for example, a CMOS-type differential power amplifier circuit and includes PMOS transistors Tr1 and Tr3 and NMOS transistors Tr2 and Tr4.The drain of the PMOS transistor Tr1 and the drain of the NMOS transistor Tr2 are connected to the one end of the winding L5 of the transformer T2, and the drain of the PMOS transistor Tr3 and the drain of the NMOS transistor Tr4 are connected to the other end of the winding L5 of the transformer T2.A control signal PA_CTR (a driving voltage) is supplied from the control circuit 11 to each gate of the transistors Tr1 to Tr4.", "[Operation of semiconductor integrated circuit] Next, an operation of the semiconductor integrated circuit 5 shall be explained as follows.", "When the semiconductor integrated circuit 5 performs a transmission operation, the control circuit 11 controls the switch SW1 to be in the closed state (see the first embodiment).", "Further, the transmission amplifier circuit PA supplies a transmission signal to the winding L5 of the transformer T2.An operation mode of the transmission amplifier circuit PA at this time shall be referred to as a transmission mode.", "More specifically, the control circuit 11 supplies the control signal PA_CTR to the transistors Tr1 to Tr4 of the transmission amplifier circuit PA and controls the transmission amplifier circuit PA to output the transmission signal (a differential signal).", "At this time, the transformer T2 functions as an impedance matching circuit for the transmission amplifier circuit PA.", "In the semiconductor integrated circuit 5 shown in FIG.", "20, the capacitive elements C4 and C5 in addition to the transformer T2 constitute the impedance matching circuit for the transmission amplifier circuit PA. On the other hand, when the semiconductor integrated circuit 5 performs a reception operation, the control circuit 11 controls the switch SW1 to be in the opened state (see the first embodiment).", "Further, the transmission amplifier circuit PA short-circuits both ends of the winding L5 of the transformer T2.An operation mode of the transmission amplifier circuit PA at this time shall be referred to as a short-circuit mode.", "More specifically, the control circuit 11 controls the NMOS transistors Tr2 and Tr4 included in the transmission amplifier circuit PA to be in the ON state and the PMOS transistors Tr1 and Tr3 included in the transmission amplifier circuit PA to be in the OFF state.", "Thus, both of the ends of the winding L5 of the transformer T2 are connected to a ground potential.", "Accordingly, both of the ends of the winding L5 of the transformer T2 are short-circuited.", "Alternatively, the control circuit 11 controls the NMOS transistors Tr2 and Tr4 included in the transmission amplifier circuit PA to be in the OFF state and the PMOS transistors Tr1 and Tr3 included in the transmission amplifier circuit PA to be in the ON state.", "Thus, both of the ends of the winding L5 of the transformer T2 are connected to a power supply potential.", "Accordingly, both of the ends of the winding L5 of the transformer T2 are short-circuited.", "As described above, when both of the ends of the winding L5 of the transformer T2 are short-circuited, the impedance when the capacitance C4 side is viewed from the antenna ANT side can be made equivalent to an impedance of a sufficiently small capacitive element.", "As shown in FIG.", "20, the number of elements in the matching circuit of the transmission side is the same as the number of elements in the matching circuit of the reception side.", "Therefore, the degree of freedom for the design of the matching circuit of the transmission side is the same as that of the matching circuit of the reception side, and it is possible to design the transmission circuit in a manner similar to the design of the reception circuit explained in the first embodiment.", "Accordingly, by appropriately selecting circuit constants of the transformer T2 and capacitive elements C4 and C5, the output impedance from the transmission circuit PA can be matched when the semiconductor integrated circuit 5 performs the transmission operation.", "At the time of the reception operation, when both of the ends of the winding L5 of the transformer T2 are short-circuited, the impedance when the capacitance element C4 side is viewed from the antenna ANT side can be made equivalent to the impedance of the sufficiently small capacitive element.", "Therefore, an influence of the transmission passive circuit including the transformer T2 on the reception circuit can be ignored or an equivalent capacitance when the semiconductor integrated circuit 5 side is viewed from the antenna ANT side (a sufficiently small capacitance) can function by including the equivalent capacitance in one of the components of the impedance matching circuit of the reception circuit.", "Third Embodiment [Configuration of semiconductor integrated circuit: FIG.", "21] Next, a third embodiment shall be explained as follows.", "FIG.", "21 is a circuit diagram showing an example of a semiconductor integrated circuit 6 according to the third embodiment.", "A difference between the semiconductor integrated circuit 6 shown in FIG.", "21 and the semiconductor integrated circuit 1 shown in FIG.", "2 is that in the semiconductor integrated circuit 6 (a semiconductor chip), the capacitive element C1 is formed inside the semiconductor integrated circuit 6.As other configurations are the same as those of the semiconductor integrated circuit that has been explained in the first embodiment, repeated explanations shall be omitted.", "As shown in FIG.", "21, the capacitive element C1 is formed inside the semiconductor integrated circuit 6 (the semiconductor chip).", "The capacitive element C1 is configured to include a surge resistance.", "The capacitive element C1 can be configured using, for example, a capacitance between adjacent wirings.", "As described above, when the capacitive element C1 is provided inside the semiconductor integrated circuit 6 (the semiconductor chip) and integrated, it is possible to further miniaturize the semiconductor integrated circuit used in a radio communication apparatus and reduce the cost.", "[Configuration of semiconductor integrated circuit: FIG.", "22] Moreover, in this embodiment, as shown in a semiconductor integrated circuit 7 shown in FIG.", "22, the winding L1 of the transformer T1 (i.e., an input side of the reception system circuit) and an output side of the transmission passive circuit 12 (i.e., an output side of the transmission system circuit) may be connected to each other inside the semiconductor integrated circuit 7 (the semiconductor chip).", "In this case, the input side of the reception system circuit and the output side of the transmission system circuit are connected to an input/output terminal TM3 of the semiconductor integrated circuit 7.With such a configuration, wiring from the semiconductor integrated circuit 7 to the antenna ANT can be one line, and thus a mounting area of a mounting substrate when the semiconductor integrated circuit 7 is mounted on the mounting substrate can be reduced.", "Other Embodiment Next, other embodiment shall be explained as follows.", "In this embodiment, the above-explained switch SW1 that is used in the semiconductor integrated circuit may be configured in a manner described below.", "[Configuration example of switch SW1: FIG.", "23] FIG.", "23 is a circuit diagram showing a configuration example of the switch SW1.The switch SW1 can be comprised of, for example, as N-type MOSFET (Tr11), resistors R12 to R15, and capacitive elements C11 and C12.The capacitive elements C11 and C12 are provided respectively to the drain and source of the MOSFET (Tr11).", "In other words, the drain and source of the MOSFET (Tr11) are connected to one end (the node N1) and the other end (a node N2) of the winding L2 of the transformer T1 via the capacitive elements C11 and C12, respectively.", "Further, 0 V DC bias is applied to the drain and source of the MOSFET (Tr11) via the resistors R13 and R15, respectively.", "Furthermore, 0 V DC bias is applied to a body of the MOSFET (Tr11) via the resistor R14.The control signal SW1_CTR (the voltage VDD or 0 V) is applied to the gate of the MOSFET (Tr11) via the resistor R12.With the switch SW1 having such a configuration, a DC bias potential of the winding L2 of the secondary side of the transformer T1 into which the switch SW1 is inserted can be freely set.", "Note that a P-type MOSFET may be used in place of the N-type MOSFET (Tr11) in the switch SW1 shown in FIG.", "23.", "[Configuration example of switch SW1: FIG.", "24] FIG.", "24 is a circuit diagram showing a configuration example of the switch SW1.The switch SW1 shown in FIG.", "24 has the same configuration as that of the switch SW1 shown in FIG.", "23.However, in the switch SW1 shown in FIG.", "24, a DC bias supplied to the drain and source of the N-type MOSFET (Tr11) is a voltage of a phase opposite to a phase of the voltage supplied to the gate (0 V or the voltage VDD).", "More specifically, when 0 V is applied to the gate of the MOSFET (Tr11), the VDD is applied to the drain and source of the MOSFET (Tr11).", "On the other hand, when the voltage VDD is applied to the gate of the MOSFET (Tr11), 0 V is applied to the drain and source of the MOSFET (Tr11).", "In other words, a control signal SW1_CTR_is applied to the gate of the MOSFET (Tr11), and a control signal SW1_CTR_2 having a phase opposite to a phase of the control signal SW1_CTR_1 is applied to the drain and source of the MOSFET (Tr11).", "With such a configuration, a gate-source voltage when the MOSFET (Tr11) is in the OFF state can be -VDD.", "Therefore, even when a reception signal having a large voltage amplitude is supplied to the semiconductor integrated circuity, the switch SW1 can be maintained in the OFF state.", "[Configuration example of switch SW1: FIG.", "25] In this embodiment, two stages of MOSFETs (Tr11) in the switch SW1 shown in FIG.", "24 may be connected in series.", "More specifically, as shown in FIG.", "25, an N-type MOSFET (Tr11′) may be connected in series to the N-type MOSFET (Tr11).", "At this time, 0 V DC bias is applied to a body of the added MOSFET (Tr11′) via a resistor R14′.", "Further, the control signal SW1_CTR_1 (the voltage VDD or 0 V) is applied to the gate of the added MOSFET (Tr11′) via a resistor R12′.", "Other configurations are the same as those of the switch SW1 shown in FIG.", "24.Note that the number of MOSFETs connected in series may be three or more.", "By connecting a plurality of MOSFETs in series in the manner described above, even when a reception signal having a large voltage amplitude is supplied from a semiconductor integrated circuit, the switch SW1 can be maintained in the OFF state.", "Note that although a case in which the semiconductor integrated circuit according to this embodiment is applied to a smart meter has been explained above, the semiconductor integrated circuit according to this embodiment can be applied to an apparatus including a communication circuit other than a smart meter.", "The first to third embodiments can be combined as desirable by one of ordinary skill in the art.", "While the invention has been described in terms of several embodiments, those skilled in the art will recognize that the invention can be practiced with various modifications within the spirit and scope of the appended claims and the invention is not limited to the examples described above.", "Further, the scope of the claims is not limited by the embodiments described above.", "Furthermore, it is noted that, Applicant's intent is to encompass equivalents of all claim elements, even if amended later during prosecution." ] ]
Patent_15875602
[ [ "FIBER OPTIC ENCLOSURE WITH INTERNAL CABLE SPOOL", "A fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "A method of paying out a fiber optic cable from a fiber optic enclosure includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "A termination module is disposed on the cable spool." ], [ "1.A method of connecting a subscriber to a fiber optic network using a fiber optic enclosure, the fiber optic enclosure including a main housing, a cable spool disposed within an interior of the main housing, an adapter disposed within the interior of the main housing, and a cover that selectively provides and blocks access to the interior of the main housing, the cable spool holding at least a portion of an optical cable that includes an optical fiber extending between a first end and a second end, the first end being terminated at a first optical connector and the second end being terminated at a second optical connector, the method comprising: paying out a portion of the optical cable from the cable spool by rotating the cable spool while the second optical connector rotates in concert with the cable spool; and opening the cover to provide access to the interior of the main housing; routing a connectorized end of a subscriber cable into the interior of the main housing; plugging the connectorized end of the subscriber cable into the adapter; and closing the cover to block access to the interior of the main housing after the subscriber cable is plugged into the adapter, wherein the adapter, the second optical connector, and the connectorized end of the subscriber cable remain disposed within the interior of the main housing when the cover is closed.", "2.The method of claim 1, wherein the adapter rotates in concert with the cable spool.", "3.The method of claim 1, further comprising mounting the main housing to a surface.", "4.The method of claim 1, wherein paying out a portion of the optical cable includes pulling on the optical cable at the first optical connector 5.The method of claim 1, wherein the second optical connector is plugged into the adapter before paying out the portion of the optical cable.", "6.The method of claim 1, further comprising optically coupling the first optical connector to the fiber optic network at a location remote from the fiber optic enclosure.", "7.The method of claim 1, wherein optically coupling the first optical connector to the fiber optic network is performed before mounting the main housing to the surface.", "8.The method of claim 1, wherein optically coupling the first optical connector to the fiber optic network is performed after mounting the main housing to the surface.", "9.The method of claim 1, wherein opening the cover comprises pivoting the cover relative to the main housing.", "10.The method of claim 1, wherein routing the connectorized end of the subscriber cable into the interior of the main housing comprises routing the connectorized end through a cable port.", "11.The method of claim 10, wherein the main housing and the cover cooperate to define the cable port.", "12.The method of claim 10, wherein the cable port is environmentally sealed.", "13.The method of claim 12, wherein the cable port is sealed using a slitted sealing member.", "14.The method of claim 12, wherein the cable port is sealed using a slitted foam member.", "15.The method of claim 1, wherein the optical fiber is one of a plurality of optical fibers of the optical cable, and wherein a respective first end of each of the plurality of optical fibers is terminated at the first optical connector.", "16.The method of claim 15, wherein a respective second end of each of the plurality of optical fibers is separately terminated at a respective second optical connector, each of the respective second optical connectors rotating in concert with the cable spool when the optical cable is paid out from the cable spool.", "17.The method of claim 16, wherein the adapter is one of a plurality of adapters disposed within the interior of the main housing; and wherein each of the respective second optical connectors are plugged into one of the plurality of adapters.", "18.The method of claim 17, wherein connectorized ends of additional subscriber cables are routed into the interior of the main housing and plugged into respective ones of the plurality of adapters when the cover is open.", "19.The method of claim 1, wherein the cable spool rotates about an axis of rotation oriented to intersect the cover when the cover blocks access to the interior of the main housing.", "20.A method of connecting a subscriber to a fiber optic network using a fiber optic enclosure, the fiber optical enclosure including a main housing and a cover, the main housing having opposite rear and front sides, the cover being movable relative to the main housing between an open position and a closed position, the cover blocking access to an interior of the main housing through the front side when in the closed position and allowing access to the interior through the front side when in the open position, the method comprising: paying out an optical cable from a cable spool disposed within the interior of the main housing, the optical cable extending between a first end and a second end, the first end being routed away from the interior of the main housing while the optical cable is being paid out, the second end rotating in unison with the cable spool within the interior of the main housing while the optical cable is being paid out; routing an end of a subscriber cable into the interior of the main housing while the cover is in the open position; optically coupling the end of the subscriber cable to the second end of the optical cable at an optical adapter disposed within the interior of the main housing while the cover is in the open position; and moving the cover to the closed position to enclose the optical adapter, the end of the subscriber cable, and the second end of the cable within the interior of the main housing.", "21.The method of claim 20, wherein paying out the optical cable includes pulling on the first end of the optical cable.", "22.The method of claim 20, wherein the optical cable includes a plurality of optical fibers, wherein the plurality of optical fibers are connectorized at a multi-fiber connector at the first end of the optical cable; and wherein the plurality of optical fibers are individually connectorized at single-fiber connectors at the second end of the optical cable.", "23.The method of claim 22, wherein the subscriber cable is one of a plurality of subscriber cables and the optical adapter is one of a plurality of optical adapters, and wherein the method further comprises: plugging each of the single-fiber connectors into respective ones of the plurality of optical adapters within the interior of the main housing while the cover is in the open position; and plugging connectorized ends of the subscriber cables into respective ones of the plurality of optical adapters while the cover is in the open position; wherein moving the cover to the closed position encloses the plurality of optical adapters, the single-fiber connectors, and the connectorized ends of the plurality of subscriber cables.", "24.The method of claim 20, further comprising mounting the rear side of the main housing to a wall." ], [ "<SOH> BACKGROUND <EOH>As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable." ], [ "<SOH> SUMMARY <EOH>An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of application Ser.", "No.", "15/470,311, filed Mar.", "27, 2017, which is a continuation of application Ser.", "No.", "14/979,870, filed Dec. 28, 2015, now U.S. Pat.", "No.", "9,606,319, which is a continuation of application Ser.", "No.", "14/539,459, filed Nov. 12, 2014, now U.S. Pat.", "No.", "9,261,666, which is a continuation of application Ser.", "No.", "14/132,691, filed Dec. 18, 2013, now U.S. Pat.", "No.", "8,891,931, which is a continuation of application Ser.", "No.", "13/924,191, filed Jun.", "21, 2013, now U.S. Pat.", "No.", "8,705,929, which is a continuation of application Ser.", "No.", "13/479,015, filed May 23, 2012, now U.S. Pat.", "No.", "8,494,333, which is a continuation of application Ser.", "No.", "13/032,337, filed Feb. 22, 2011, now U.S. Pat.", "No.", "8,189,984, which is a continuation of application Ser.", "No.", "12/793,556, filed Jun.", "3, 2010, now U.S. Pat.", "No.", "7,894,701, which is a continuation of application Ser.", "No.", "12/182,705, filed Jul.", "30, 2008, now U.S. Pat.", "No.", "7,756,379, which application claims the benefit of provisional application Ser.", "Nos.", "61/029,248, filed Feb. 15, 2008 and 60/954,214, filed Aug. 6, 2007, which applications are incorporated herein by reference in their entirety.", "TECHNICAL FIELD The present disclosure relates to fiber optic enclosure, and more particularly, to a fiber optic enclosure with cable payout.", "BACKGROUND As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable.", "SUMMARY An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based.", "DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic representation of a fiber optic network that includes a fiber optic enclosure having features that are examples of inventive aspects in accordance with the principles of the present disclosure.", "FIG.", "2 is an isometric view of the fiber optic enclosure of FIG.", "1.FIG.", "3 is an isometric view of the fiber optic enclosure of FIG.", "2 with a cover in an open position.", "FIG.", "4 is a front view of the fiber optic enclosure of FIG.", "2 with the cover in the open position.", "FIG.", "5 is an exploded isometric view of the fiber optic enclosure of FIG.", "2.FIG.", "6 is a perspective view of a fiber optic adapter suitable for use within the fiber optic enclosure of FIG.", "2.FIG.", "7 is a cross-sectional view of the fiber optic adapter taken on line 7-7 of FIG.", "6.FIG.", "8 is an isometric view of another embodiment of a fiber optic enclosure.", "FIG.", "9 is a front view of the fiber optic enclosure of FIG.", "8.FIG.", "10 is a top view of the fiber optic enclosure of FIG.", "8.FIG.", "11 is a side view of the fiber optic enclosure of FIG.", "8.FIG.", "12 is an isometric view of the fiber optic enclosure of FIG.", "8, showing cables entering and exiting the enclosure.", "FIG.", "13 is an isometric view of the fiber optic enclosure of FIG.", "12 without the cover.", "FIG.", "14 is a front view of the fiber optic enclosure of FIG.", "13.FIG.", "15 is an exploded isometric view of the fiber optic enclosure of FIG.", "13.FIG.", "16 is an isometric view of the cable spool of the fiber optic enclosure of FIG.", "13.FIG.", "17 is a further isometric view of the fiber optic enclosure of FIG.", "12, with the cover in the pivoted open position.", "FIG.", "18 is an exploded view of a shipping container in which is disposed the fiber optic enclosure of FIG.", "8.DETAILED DESCRIPTION Reference will now be made in detail to the exemplary aspects of the present disclosure that are illustrated in the accompanying drawings.", "Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like structure.", "Referring now to FIG.", "1, a schematic representation of a fiber optic network, generally designated 11, in a facility 13 (e.g.", "individual residence, apartment, condominium, business, etc.)", "is shown.", "The fiber optic network 11 includes a feeder cable 15 from a central office (not shown).", "The feeder cable 15 enters a feeder cable input location 17 (e.g., a fiber distribution hub, a network interface device, etc.)", "having one or more optical splitters (e.g., 1-to-8 splitters, 1-to-16 splitters, or 1-to-32 splitters) that generate a number of individual fibers.", "In the subject embodiment, and by way of example only, the fiber distribution hub 17 is located on a lower level 19 of the facility 13.Each unit in the facility 13 includes a fiber optic enclosure, generally designated 21, with a subscriber cable 22 extending from each of the fiber optic enclosures 21 to the fiber distribution hub 17.The subscriber cable 22 extending between the fiber distribution hub 17 and the fiber optic enclosure 21 typically includes multiple optical fibers.", "Referring now to FIGS.", "2-5, the fiber optic enclosure 21 will now be described.", "The fiber optic enclosure 21 includes a housing, generally designated 23, having a cover 25.The housing 23 includes a base 27, a first sidewall 29, and an oppositely disposed second sidewall 31.The first and second sidewalls 29, 31 extend outwardly from the base 27 such that the base 27 and the first and second sidewalls 29, 31 cooperatively define an interior region 33.In the subject embodiment, the cover 25 is hingedly engaged with a sidewall 35 that is connected to the base 27 and the first and second sidewalls 29, 31.It will be understood, however, that the scope of the present disclosure is not limited to the cover 25 being hingedly engaged the sidewall 35.A cable spool, generally designated 37, is disposed in the interior region 33 of the fiber optic enclosure 21.The cable spool 37 includes a spooling portion 39, around which subscriber cable 22 is coiled (shown schematically in FIG.", "1).", "The cable spool 37 further includes an axial end 41.In the subject embodiment, the axial end 41 of the cable spool 37 defines a termination area 43 (shown as a dashed line in FIG.", "5).", "Disposed in the termination area 43 is a termination module, generally designated 45.The termination module 45 of the fiber optic enclosure 21 serves as the dividing line between the incoming fibers and the outgoing fibers.", "In the subject embodiment, the termination module 45 includes an adapter plate 47.The adapter plate 47 is an L-shaped bracket having a first side 49 (shown in FIG.", "4) and a second side 51.The first side 49 defines a plurality of mounting holes 53 while the second side 51 defines an adapter slot 55.It will be understood, however, that the scope of the present disclosure is not limited to the adapter plate 47 being an L-shaped bracket.", "The first side 49 of the adapter plate 47 is rigidly mounted (i.e., non-rotatable) to the axial end 41 of the cable spool 37 through a plurality of fasteners 57 (e.g., bolts, screws, rivets, etc.)", "which are inserted through the mounting holes 53 in the first side 49 and in connected engagement with the axial end 41 of the cable spool 37.The adapter slot 55 in the second side 51 of the adapter plate 47 is adapted to receive a plurality of adapters, generally designated 401.In the subject embodiment, the adapters 401 are SC-type adapters 401, although it will be understood that the scope of the present disclosure is not limited to the use of SC-type adapters 401.Similar SC-type adapters 401 have been described in detail in commonly owned U.S. Pat.", "No.", "5,317,663, the disclosure of which is incorporated herein by reference.", "Referring now to FIGS.", "6 and 7, the SC-type adapter 401 includes a main body 403 with a pair of tabs 405, 407 located on the exterior of the main body 403.The tabs 405, 407 serve to support the adapter 401 in the adapter slot 55.The adapter 401 further includes a pair of retaining clips 409, 411, with one retaining clip 409, 411 associated with each tab 405, 407.A front side 413 of the adapter 401 is inserted into the adapter slot 55.As the adapter 401 is inserted through the adapter slot 55, the retaining clips 409, 411 compress against the main body 403.The adapter 401 is inserted into the adapter slot 55 until the tabs 405, 407 abut the adapter plate 47.With the tabs 405, 407 abutting the adapter plate 47, the retaining clips 409, 411 decompress on the opposite side of the adapter plate 47, thereby retaining the adapter plate 47 between the retaining clips 409, 411 and the tabs 405, 407.In an alternate embodiment, the termination module includes a plurality of sliding adapter modules.", "Similar sliding adapter modules have been described in detail in commonly owned U.S. Pat.", "Nos.", "5,497,444; 5,717,810, 6,591,051 and U.S. Pat.", "Pub.", "No.", "2007/0025675, the disclosures of which are incorporated herein by reference.", "Referring now to FIGS.", "3-5, the axial end 41 of the cable spool 37 further defines a slack storage area 59.The slack storage area 59 includes a cable management spool 61 disposed on the axial end 41 of the cable spool 37.The cable management spool 61 is sized such that an outer radius of the cable management spool 61 is larger than the minimum bend radius of the optical fibers so as to avoid attenuation damage to the optical fibers during storage.", "The cable management spool 61 and the axial end 41 of the cable spool 37 cooperatively define a cable passage 63 that extends axially through the cable management spool 61 and through the axial end 41 of the cable spool 37.The cable passage 63 allows connectorized ends of incoming optical fibers to pass from the spooling portion 39 of the cable spool 37 to the slack storage area 59.The connectorized ends of the incoming optical fibers are then routed from the slack storage area 59 to the front sides 413 of the adapters 401 in the termination area 43.Referring now to FIG.", "5, the fiber optic enclosure 21 further includes a bearing mount, generally designated 71.In the subject embodiment, the bearing mount 71 is disposed on the base 27 of the housing 23.An outer surface 73 of the bearing mount 71 is adapted for a bearing 75 (shown as cross-hatching).", "In the subject embodiment, the bearing 75 is a needle bearing.", "However, it will be understood that the scope of the present disclosure is not limited to the bearing 75 being a needle bearing as the bearing 75 could also include a bushing, low-friction coating, etc.", "In one embodiment, the bearing 75 is engaged with an inner diameter of a central hole of the cable spool 37.In another embodiment, a rotary plain bearing is formed between the outer surface 73 of the bearing mount 71 and the inner diameter of the central hole of the cable spool 37.In this embodiment, the outer diameter of the bearing mount 71 is sized to fit within an inner diameter of a central hole of the spooling portion 39.The engagement of the bearing mount 71 and the spooling portion 39 of the cable spool 37 allows the cable spool 37 to rotate about the central axis 77 of the bearing mount 71.Referring now to FIGS.", "1 and 5, the subscriber cable 22, which includes multiple optical fibers, is coiled around the spooling portion 39 of the cable spool 37.In order to protect the subscriber cable 22 from attenuation resulting from the coiling of the subscriber cable 22 around the spooling portion 39, the cable spool 37 has an outer circumferential surface having a radius that is greater than the minimum bend radius of the subscriber cable 22.The subscriber cable 22 includes a first end having connectorized ends, which are inserted through the cable passage 63 and connectedly engaged with the first ends 413 of the adapters 401.A second end of the subscriber cable 22 is configured for connectivity with the fiber distribution hub 17.However, as shown in FIG.", "1, the length of subscriber cable 22 needed between each of the fiber optic enclosures 21 in the facility 13 and the fiber distribution hub 17 will vary depending upon the location of each fiber optic enclosure 21 with respect to the fiber distribution hub 17.A method of installing and using the fiber optic enclosure 21 to account for the varying lengths of subscriber cable 22 needed between the fiber optic enclosure 21 and the fiber distribution hub 17 will now be described.", "The fiber optic enclosure 21 provides dual functionality by serving as a storage location for the subscriber cable 22 and by selectively paying out a desired length of the subscriber cable 22.A first length of subscriber cable 22 is stored in the fiber optic enclosure 21 by coiling the length of subscriber cable 22 around the cable spool 37.The first length of subscriber cable 22 includes an installation length, which is sufficiently long to extend from the mounting location of the enclosure 28 to the fiber distribution hub 17, and an excess length, which is the length of subscriber cable 22 remaining on the cable spool 37 after the installation length has been paid out.", "In one embodiment, the first length is greater than or equal to about 100 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 200 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 300 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 400 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 2,000 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 1,500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 500 to about 1,500 feet.", "In a preferred embodiment, the first length of subscriber cable 22, which is coiled around the cable spool 89, is in the range of 100 to 500 feet.", "In one embodiment, a second length, or the excess length, of subscriber cable 22 is stored around the cable spool 37 after the first length of subscriber cable 22 has been paid out.", "If the first length of subscriber cable 22 is greater than the installation length of subscriber cable 22, the second length, or excess length, is stored around the cable spool 37.The second function of the fiber optic enclosure 21 involves the selective payout of the subscriber cable 22.With the cable spool 37 mounted to the bearing mount 71, the first end of the subscriber cable 22 in connected engagement with the front sides 413 of the adapters 401 and the outgoing optical fibers disengaged from the back sides of the adapters 401, the subscriber cable 22 can be paid out through fiber ports 79 disposed in the first and second sidewalls 29, 31.The subscriber cable 22 is paid out of the fiber optic enclosure 21 by selectively rotating the cable spool 37 with respect to the housing 23 about the central axis 77 of the bearing mount 71.As the termination module 45 is disposed on the axial end 41 of the cable spool 37, the selective rotation of the cable spool 37 with respect to the housing 23 results in the selective rotation of the termination module 45.Since the termination module 45 rotates unitarily with or in unison with the cable spool 37, the second end of the subscriber cable 22 can be paid out without the first end of the subscriber cable 22 being pulled out of the termination module 45.Once the desired length of subscriber cable 22 has been paid out, the rotation of the cable spool 37 is ceased.", "At this point, the position of the cable spool 37 can be fixed such that it does not rotate relative to the housing 23.In one embodiment, a pin is inserted through an opening in the axial end 41 of the cable spool 37 and through a corresponding opening in the base 27 of the housing 23 to fix the position of the cable spool 37 with respect to the housing 23.It will be understood, however, that the scope of the present disclosure is not limited to the use of a pin to fix the position of the cable spool 37 with respect to housing 23.An alternate method of selectively paying-out subscriber cable 22 from the fiber optic enclosure 21 will now be described.", "With the fiber optic enclosure 21 positioned near the fiber distribution hub 17, the second end of the subscriber cable 22 is unwound from the cable spool 37.In one embodiment, the second end is optically connected to the fiber distribution hub 17.With the second end of the subscriber cable 22 optically connected to the fiber distribution hub 17 and the first end of the subscriber cable 22 in connected engagement with the termination module 45, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17.In one embodiment, the fiber optic enclosure 21 is carried away from the fiber distribution hub 17 by an installer.", "In another embodiment, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17 in a wheeled cart (e.g., dolly, 4-wheeled cart, etc.).", "In a preferred embodiment, the fiber optic enclosure is disposed in a packaging enclosure (e.g., a box) during transport.", "As the fiber optic enclosure 21 is transported away from the fiber distribution hub 17, the subscriber cable 22 unwinds from the cable spool 37 causing the cable spool 37 to rotate within the interior region 33 of the housing 23, which is disposed in the packaging enclosure.", "When the fiber optic enclosure 21 has been transported to its mounting location, the fiber optic enclosure 21 is removed from the packaging enclosure, mounted to the mounting location.", "The cable spool 37 can be fixed in position relative to the housing 23 to prevent inadvertent rotation of the cable spool 37.Referring now to FIGS.", "8-18, an alternate embodiment of a fiber optic enclosure 121 is shown.", "The fiber optic enclosure 121 includes a housing 123 and a hinged cover 125.The housing 123 includes a base wall 120, a first sidewall 127 and an oppositely disposed second sidewall 128.The first and second sidewalls 127, 128 extend outwardly from the base wall 120 such that the base wall 120 and the first and second sidewalls 127, 128 cooperatively define an interior region 130.In the subject embodiment, the first sidewall 127 of the housing 123 defines a first port 131 while the second sidewall 128 defines a second port 132.The subscriber cable 122 enters/exits the fiber optic enclosure 121 at the first port 131 or at the second port 132.In the subject embodiment, both of the first and second ports 131, 132 are provided as knockout portions.", "A cable spool 137 is positioned within the interior region 130 of enclosure 121.In the subject embodiment, the cable spool 137 is adapted for rotation within the interior region 130 of the enclosure 121.In the subject embodiment, the cable spool 137 includes a first axial end 136, an oppositely disposed second axial end 138 and a spool portion 139.The spool portion 139 is disposed between the first and second axial ends 136, 138 of the cable spool 137.The spool portion 139 is adapted to receive a subscriber cable 122 coiled around or spooled on the spool portion 139.With the subscriber cable 122 spooled on the spool portion 139, the subscriber cable 122 can be selectively paid out by rotating the cable spool 137.As the cable spool 137 is rotated, the subscriber cable 122 is unwound from the spool portion 139 of the cable spool 137.After a desired length of subscriber cable 122 has been paid out, pin openings 141 can be used with a pin to fix the position of cable spool 137 relative to housing 123.The subscriber cable 122 is shown with a connectorized end 144 (e.g., MTP connector) for connecting to the fiber distribution hub 17 or other equipment.", "An opposite end of the subscriber cable 122 passes through an opening 145 disposed in the first axial end 136 of the cable spool 137.After passing through the opening 145, the subscriber cable 122 is routed to a fanout 147 disposed on the first axial end 136 of the cable spool 137 where the cable is broken out into individual fibers 124 having connectorized ends 146 (e.g., SC connectors).", "A cable management spool 161 is also disposed on the first axial end 136 of the cable spool 137.The cable management spool 161 manages fibers 124.In the subject embodiment, the cable management spool 161 includes a plurality of fingers 162 disposed on an end of the cable management spool 161.The fingers 162 assist with cable retention.", "The first axial end 136 of the cable spool 137 further includes an outer guide wall 163.In the subject embodiment, the outer guide wall 163 is disposed at a portion of the peripheral edge of the first axial end 136 adjacent to the cable management spool 161.In the subject embodiment, the outer guide wall 163 extends outwardly in a direction that is generally perpendicular to the first axial end 136.The outer guide wall 163 includes with a cable finger 164 disposed at an end of the outer guide wall 163 that is opposite the end engaged with the first axial end 136 of the cable spool 137.The cable finger 164 assists with retention and protection of the fibers 124.An adapter plate 149 is disposed on the first axial end 136 of the cable spool 137.In the subject embodiment, the adapter plate 149 includes separate openings 151.Each of the separate openings 151 is adapted to receive two adapters 401.In the depicted embodiment of FIG.", "16, the cable management spool 161, the outer guide wall 163 and the adapter plate 149 are integrally formed with the first axial end 136 of the cable spool 137.In the subject embodiment, the first axial end 136 of the cable spool 137 is formed from plastic.", "In another embodiment, the first and second axial ends 136, 138, the spool portion 139, the adapter plate 149, the cable management spool 161 and the outer guide wall 163 are integrally formed from a plastic material.", "Referring now to FIGS.", "13 and 14, the fiber optic enclosure 121 is shown connected to a second subscriber cable 126.After the subscriber cable 122 is paid out and cable spool 137 fixed in position relative to the housing 123, individual connectorized ends of the second subscriber cables 126 can be connected to the fibers 124 at adapters 401 of adapter plate 149.The second subscriber cables 126 exit the fiber optic enclosure 121 at a port 136 in a side 165 of the housing 123.In the illustrated embodiment, a slotted foam member 138 is disposed in the port 136.The slotted foam member 138 includes a plurality of slots through which the second subscriber cables 126 can be inserted in order to prevent or reduce the risk of ingress of environmental contamination (e.g., dust, water, etc.).", "While the fiber optic enclosure 121 is shown mounted to a mounting location 183 (e.g., wall, pole, etc.)", "in FIGS.", "1 and 17, it will be understood that the subscriber cable 122 can be paid out from the fiber optic enclosure 121 while the fiber optic enclosure 121 is either mounted to a mounting location 183 or removed from the mounting location 183.As shown in FIG.", "18, the subscriber cable 122 could be paid out while the fiber optic enclosure 121 is still packaged in a shipping container 179 provided there is an opening 181 in the shipping container 179 through which the subscriber cable 122 can be pulled.", "After the subscriber cable 122 has been paid out, the fiber optic enclosure 121 can be removed from the shipping container 179 and mounted to the mounting location 183.Various modifications and alterations of this disclosure will become apparent to those skilled in the art without departing from the scope and spirit of this disclosure, and it should be understood that the inventive scope of this disclosure is not to be unduly limited to the illustrative embodiments set forth herein." ] ]
Patent_15875605
[ [ "SYSTEMS & METHODS FOR AUTOMATED ASSESSMENT FOR REMEDIATION AND/OR REDEVELOPMENT OF BROWNFIELD REAL ESTATE", "Systems and methods for distributed, automated management of brownfield real estate asset remediation and/or redevelopment, including at least one server computer for analyzing and providing recommendations for a potential brownfield investment, wherein the at least one server computer is paired with a web-based graphical interface for accessing and editing stored documents, pictures, tasks, and budget information related to at least one brownfield asset." ], [ "1.A system for cloud based, multi-user assessment of brownfield real estate assets comprising: at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets; at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets; wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules; wherein the information is based on user-input data and retrieved data from external systems; wherein the at least one server computer generates an investment recommendation based on the analysis and the matched data or project files; a web-based graphical interface hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database; search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; retrieve and display the stored input data in graphical charts, maps, and graphs; communicate the stored input data to an internal or external entity; wherein the user-input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets; wherein the tasks are automatically generated by the at least one server computer based on default tasks; wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information; wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data; wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "2.The system of claim 1, further comprising an Environmental Management System (EMS) accessible through the web-based graphical interface.", "3.The system of claim 2, wherein the EMS is certified to ISO (International Standards Organization) environmental management standards.", "4.The system of claim 1, wherein the at least one server computer outputs the information related to the at least one brownfield asset and the at least one previous brownfield asset in a digital spreadsheet, including the analyzed information, wherein the digital spreadsheet is searchable and editable.", "5.The system of claim 1, wherein the budget is automatically generated from the documents and the budget information corresponding to the at least one of the brownfield assets.", "6.The system of claim 1, wherein an administrator user account is operable to assign and manage tasks relating to the at least one of the brownfield assets.", "7.The system of claim 1, wherein the information, documents, pictures, tasks, and budget information for each of the at least one of the brownfield assets is stored in a corresponding project file with a Global Deal ID.", "8.The system of claim 1, wherein the retrieved data from the external systems includes geographic data obtained from a Geographic Information System (GIS).", "9.The system of claim 1, wherein the web-based graphical interface is further operable to store vendor data, wherein the vendor data includes at least names, phone numbers, email addresses, physical addresses, and/or a list of involved projects.", "10.The system of claim 1, wherein the documents are operable to be signed, edited, and sent through the web-based graphical interface.", "11.A method for cloud based, multi-user assessment of brownfield real estate assets comprising: providing at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets; providing at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets; automatically analyzing information related to at least one brownfield asset and at least one previous brownfield asset, including revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; determining a projected rate of return for the brownfield asset as part of the automatic analysis; extracting data from external and internal data sources, aggregating the data within the at least one memory and database, and matching the data to existing data or project files according to set rules; the at least one server computer receiving input data and retrieving data from external systems; generating an investment recommendation based on the analysis and the matched existing data or project files; providing a web-based graphical interface hosted on the at least one server computer, the web-based graphical interface: storing input data relating to the brownfield assets in the at least one memory and database; searching the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; retrieving and displaying the stored input data in graphical charts, maps, and graphs; communicating the stored input data to an internal or external entity, including documents, pictures, tasks, and budget information relating at least one of the brownfield assets; automatically generating tasks based on default tasks; at least one user of the multi-user distributed system approving and managing the documents, pictures, tasks, and budget information; automatically generating at least one of the documents, wherein the at least one of the documents corresponds to at least one of the tasks; generating an alert or notification if a line item or a total of a budget exceeds an approved amount.", "12.The method of claim 11, further comprising providing an Environmental Management System (EMS) accessible through the web-based graphical interface.", "13.The method of claim 12, wherein the provided EMS is certified to ISO (International Standards Organization) environmental management standards.", "14.The method of claim 11, further comprising outputting the information related to the at least one brownfield asset and the at least one previous brownfield asset in a digital spreadsheet, including the automatically analyzed information, wherein the digital spreadsheet is searchable and editable.", "15.The method of claim 11, further comprising automatically generating the budget from the documents and the budget information relating to the at least one of the brownfield assets.", "16.The method of claim 11, further comprising assigning and managing tasks relating to the at least one of the brownfield assets through an administrator user account.", "17.The method of claim 11, further comprising storing the information, documents, pictures, tasks, and budget information for each of the at least one of the brownfield assets in a corresponding project file with a Global Deal ID.", "18.The method of claim 11, wherein the retrieving data from the external systems further comprises retrieving geographic data from a Geographic Information System (GIS).", "19.The method of claim 11, further comprising the web-based graphical interface storing vendor data, wherein the vendor data includes at least names, phone numbers, email addresses, physical addresses, and/or a list of involved projects.", "20.A system for cloud based, multi-user assessment of brownfield real estate assets comprising: at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets; at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets; at least one mobile device accessible to input the technical data, documents, and budget information related to the brownfield assets, including geolocation information; wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules; wherein the information is based on data input by the at least one mobile device and data retrieved data from external systems; wherein the at least one server computer generates a spreadsheet with the analyzed information and a recommendation for investment based on the analysis and the matched existing data or project files; a web-based graphical interface accessible by the at least one mobile device and hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database; search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential; retrieve and display the stored input data in graphical charts, maps, and graphs; communicate the stored input data to an internal or external entity; wherein the input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets; wherein the tasks are automatically generated by the at least one server computer based on default tasks; wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information; wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data; wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The present invention relates to predictive-modeling-based optimization of brownfield real estate property redevelopment, including assessment of a property for investment quality, for remediation, and/or for redevelopment.", "It is an object of this invention to provide systems and methods for assessment for investment, remediation and/or redevelopment of brownfield real estate property.", "Accordingly, one embodiment of this invention is directed to assessment for investment, remediation and/or redevelopment including a network-based computer system having interactive software via web-based user interface for automated process methodologies for the assessment phases, remediation phases, and/or redevelopment phases of brownfield real estate property, including required steps in each of the phases.", "In one embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on user-input data and retrieved data from external systems, wherein the at least one server computer generates an investment recommendation based on the analysis and the matched data or project files, a web-based graphical interface hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the user-input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In another embodiment, the present invention is directed to a method for cloud based, multi-user assessment of brownfield real estate assets including providing at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, providing at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, automatically analyzing information related to at least one brownfield asset and at least one previous brownfield asset, including revenue and projected or calculated costs, wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, determining a projected rate of return for the brownfield asset as part of the automatic analysis, extracting data from external and internal data sources, aggregating the data within the at least one memory and database, and matching the data to existing data or project files according to set rules, the at least one server computer receiving input data and retrieving data from external systems, generating an investment recommendation based on the analysis and the matched existing data or project files, providing a web-based graphical interface hosted on the at least one server computer, the web-based graphical interface: storing input data relating to the brownfield assets in the at least one memory and database, searching the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieving and displaying the stored input data in graphical charts, maps, and graphs, communicating the stored input data to an internal or external entity, including documents, pictures, tasks, and budget information relating at least one of the brownfield assets, automatically generating tasks based on default tasks, at least one user of the multi-user distributed system approving and managing the documents, pictures, tasks, and budget information, automatically generating at least one of the documents, wherein the at least one of the documents corresponds to at least one of the tasks, and generating an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In yet another embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, at least one mobile device accessible to input the technical data, documents, and budget information related to the brownfield assets, including geolocation information, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on data input by the at least one mobile device and data retrieved data from external systems, wherein the at least one server computer generates a spreadsheet with the analyzed information and a recommendation for investment based on the analysis and the matched existing data or project files, a web-based graphical interface accessible by the at least one mobile device and hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "These and other aspects of the present invention will become apparent to those skilled in the art after a reading of the following description of the preferred embodiment when considered with the drawings, as they support the claimed invention." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is related to and claims priority from the following US patents and patent applications.", "This application is a continuation-in-part of U.S. application Ser.", "No.", "13/325,732, filed Dec. 14, 2011, which is incorporated herein by reference in its entirety.", "BACKGROUND OF THE INVENTION 1.Field of the Invention The present invention relates to assessment for investing in the remediation and/or redevelopment of real estate, and more particularly, to automated optimization of assessing, remediating and redeveloping brownfield real estate.", "2.Description of the Prior Art Prior art provides for general methods for real estate development project management, including brownfield projects.", "By way of example the following are relevant prior art documents relating to brownfield real estate development: U.S. Pat.", "No.", "7,774,184 & US Pub.", "No.", "20080091283 for Brownfield workflow and production forecast tool assigned on the face of the document to Schlumberger Technology Corp., describing a computer-based method for conducting a technical assessment phase of a brownfield assessment plan including the steps of determining an optimal set of engineering tasks to be performed in the technical assessment phase, the engineering tasks are based on at least well, operations, and facilities data; performing analysis of the well, operations and facilities data based on one or more of well information, historical well data, geological well data.", "U.S. Pat.", "No.", "7,031,838—System and method for cradle-to-grave solution for investigation and cleanup of hazardous waste impacted property and environmental media.", "Assignee: Integrated Environmental Services, Inc.", "Describes a system for environmental data management, comprising an application including: A mapping module that generates an interactive graphical mapping interface of the site, the interactive mapping interface including links to environmental data from a site and related documents; An analysis module that analyzes the environmental data, including contaminants of potential concern (COPC) data; A risk assessment module that assesses the human health risks caused by COPCs at the site; And a remediation module that screens remedial technology for cleaning up COPCs.", "US Pub.", "No.", "20080208637—Method and system for assessing environmental risk associated with parcel of real property.", "Assignee: American International Group, Inc.", "Describes a method of assessing the environmental risk associated with a property comprising: Determining the current use or uses of a property; Determining an intended use or uses of the property; Determining whether the property will be redeveloped, and if not to be redeveloped then further providing an automatic quote for environmental insurance; Determining whether underground storage tanks are present on the property, and if so, then site with tanks is excluded from the environmental insurance; Determining water distance to nearest surface water; Determining a residential distance to a nearest residential property; Determining an agricultural distance to a nearest agricultural property; Using the above steps to generate an environmental risk assessment report, wherein the report does not involve a physical inspection of the property.", "US Pub.", "No.", "20100088139—Project management system adapted for planning and managing projects.", "Assignee: Schlumberger Information Solutions.", "Describes a method for planning and managing project plans associated with one or more projects in a business, the method including generating one or more output displays adapted for planning and managing the project plans of the one or more projects in the business and providing a user with a view into the project plans.", "Further describes input data that may include information such as human knowledge and experience data, encapsulated knowledge, project-specific constraints, finance data, health safety and environmental data, human resource data, and summary daily report data.", "Further provides as an example of a typical project dashboard, an illustration of a production project for brownfield rehabilitation (FIG.", "30).", "US Pub.", "No.", "20100042420—Environmental resource management system and method.", "Assignee: Not Listed; Inventors: Douglas C. Hutchinson.", "Describes a method for managing resource usage in an area for members of the area, comprising: Determining the initial resource status of the area; Creating modification standards for the area which have environmentally beneficial attributes; Monitoring the execution of modifications to the area by members of the area for conformity to the modification standards; Managing performance of the modifications to sustain the environmental attributes of the modifications; Further describes that the step of determining the initial resource status comprises the assessment of air, soil, water, flora, and fauna of the area.", "Further describes that this method may be used to manage development impacts on resources from initial status (including brownfield sites) through project completion and ongoing use.", "US Pub.", "No.", "20050226688—Geomorphology environmental remediation process and systems.", "Assignee: Ann Arbor Technical Services & Earth Tech, Inc.", "Describes a method for investigating a site for possible selective removal of sediment containing a contaminant, comprising: Calculating an adjusted contaminant concentration for one or more sediment zones in a site containing a water course.", "Further describes designing and implementing a remediation plan based on the determination of which of the sediment zones are in need of remediation.", "U.S. Pat.", "No.", "6,253,191 & U.S. Pat.", "No.", "7,346,572—Brownfields investing.", "Assignee: CLHSM, LLC.", "Describes a brownfield investment vehicle for investing in brownfields-related projects that supports all aspects of a brownfield remediation/development/redevelopment project while shielding investors from environmental liability.", "'191 describes a method for investing in brownfields comprising: Establishing a brownfields fund, the brownfields fund providing investment capital on a non-recourse basis for brownfields projects and remaining passive with respect to the brownfields projects; Receiving investor capital from investors; Approving an entity for the investment capital on the non-recourse basis for a respective one of the brownfields projects according to a predetermined criteria, the entity having an ownership interest in a brownfield associated with one of the brownfields projects; And providing investment capital on the non-recourse basis to the approved entity using at least some of the investor capital from the investors.", "'572 describes similar methods for investing in brownfields and managing brownfields funds.", "SUMMARY OF THE INVENTION The present invention relates to predictive-modeling-based optimization of brownfield real estate property redevelopment, including assessment of a property for investment quality, for remediation, and/or for redevelopment.", "It is an object of this invention to provide systems and methods for assessment for investment, remediation and/or redevelopment of brownfield real estate property.", "Accordingly, one embodiment of this invention is directed to assessment for investment, remediation and/or redevelopment including a network-based computer system having interactive software via web-based user interface for automated process methodologies for the assessment phases, remediation phases, and/or redevelopment phases of brownfield real estate property, including required steps in each of the phases.", "In one embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on user-input data and retrieved data from external systems, wherein the at least one server computer generates an investment recommendation based on the analysis and the matched data or project files, a web-based graphical interface hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the user-input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In another embodiment, the present invention is directed to a method for cloud based, multi-user assessment of brownfield real estate assets including providing at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, providing at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, automatically analyzing information related to at least one brownfield asset and at least one previous brownfield asset, including revenue and projected or calculated costs, wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, determining a projected rate of return for the brownfield asset as part of the automatic analysis, extracting data from external and internal data sources, aggregating the data within the at least one memory and database, and matching the data to existing data or project files according to set rules, the at least one server computer receiving input data and retrieving data from external systems, generating an investment recommendation based on the analysis and the matched existing data or project files, providing a web-based graphical interface hosted on the at least one server computer, the web-based graphical interface: storing input data relating to the brownfield assets in the at least one memory and database, searching the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieving and displaying the stored input data in graphical charts, maps, and graphs, communicating the stored input data to an internal or external entity, including documents, pictures, tasks, and budget information relating at least one of the brownfield assets, automatically generating tasks based on default tasks, at least one user of the multi-user distributed system approving and managing the documents, pictures, tasks, and budget information, automatically generating at least one of the documents, wherein the at least one of the documents corresponds to at least one of the tasks, and generating an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In yet another embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, at least one mobile device accessible to input the technical data, documents, and budget information related to the brownfield assets, including geolocation information, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on data input by the at least one mobile device and data retrieved data from external systems, wherein the at least one server computer generates a spreadsheet with the analyzed information and a recommendation for investment based on the analysis and the matched existing data or project files, a web-based graphical interface accessible by the at least one mobile device and hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "These and other aspects of the present invention will become apparent to those skilled in the art after a reading of the following description of the preferred embodiment when considered with the drawings, as they support the claimed invention.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic diagram illustrating one embodiment of the invention (basic cloud computing schematic).", "FIG.", "2 is a flow diagram illustrating one embodiment of the invention (entitled Development Process Flow).", "FIG.", "3 is a flow diagram illustrating steps in one embodiment of the invention.", "FIG.", "4 is a schematic diagram illustrating one embodiment of the invention.", "FIG.", "5 is another flow diagram illustrating steps in one embodiment of the invention.", "FIG.", "6 is another flow diagram illustrating steps in one embodiment of the invention and related hierarchy of stages of the present invention systems and methods.", "FIG.", "7 is a diagram stages in an embodiment of the invention.", "FIG.", "8 is another flow diagram illustrating steps in one embodiment of the invention.", "FIG.", "9 is a diagram illustrating process roles in one embodiment of the invention.", "FIG.", "10 is a flow diagram continued from FIG.", "3 illustrating subsequent steps in one embodiment of the invention.", "DETAILED DESCRIPTION Referring now to the drawings in general, the illustrations are for the purpose of describing a preferred embodiment of the invention and are not intended to limit the invention thereto.", "In one embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on user-input data and retrieved data from external systems, wherein the at least one server computer generates an investment recommendation based on the analysis and the matched data or project files, a web-based graphical interface hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the user-input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In another embodiment, the present invention is directed to a method for cloud based, multi-user assessment of brownfield real estate assets including providing at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, providing at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, automatically analyzing information related to at least one brownfield asset and at least one previous brownfield asset, including revenue and projected or calculated costs, wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, determining a projected rate of return for the brownfield asset as part of the automatic analysis; extracting data from external and internal data sources, aggregating the data within the at least one memory and database, and matching the data to existing data or project files according to set rules, the at least one server computer receiving input data and retrieving data from external systems, generating an investment recommendation based on the analysis and the matched existing data or project files, providing a web-based graphical interface hosted on the at least one server computer, the web-based graphical interface: storing input data relating to the brownfield assets in the at least one memory and database, searching the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieving and displaying the stored input data in graphical charts, maps, and graphs, communicating the stored input data to an internal or external entity, including documents, pictures, tasks, and budget information relating at least one of the brownfield assets, automatically generating tasks based on default tasks, at least one user of the multi-user distributed system approving and managing the documents, pictures, tasks, and budget information, automatically generating at least one of the documents, wherein the at least one of the documents corresponds to at least one of the tasks, and generating an alert or notification if a line item or a total of a budget exceeds an approved amount.", "In yet another embodiment, the present invention is directed to a system for cloud based, multi-user assessment of brownfield real estate assets including at least one server computer constructed and configured to assess, manage, and display data relating to brownfield assets, at least one memory and database operable to store technical data, documents, and budget information related to the brownfield assets, at least one mobile device accessible to input the technical data, documents, and budget information related to the brownfield assets, including geolocation information, wherein the at least one server computer automatically analyzes information related to at least one brownfield asset and at least one previous brownfield asset, wherein the information includes revenue and projected or calculated costs; wherein the information further includes demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, wherein the automatic analysis includes determining a projected rate of return for the brownfield asset; wherein the data is extracted from external and internal data sources, aggregated within the at least one memory and database, and matched to existing data or project files according to set rules, wherein the information is based on data input by the at least one mobile device and data retrieved data from external systems, wherein the at least one server computer generates a spreadsheet with the analyzed information and a recommendation for investment based on the analysis and the matched existing data or project files, a web-based graphical interface accessible by the at least one mobile device and hosted on the at least one server computer, wherein the web-based graphical interface is operable to: store input data relating to the brownfield assets in the at least one memory and database, search the stored input data based on brownfield information categories, including demographics, economics, real estate performance and trends, environmental sustainability, political risks and public policy, and/or development partner potential, retrieve and display the stored input data in graphical charts, maps, and graphs, communicate the stored input data to an internal or external entity, wherein the input data includes documents, pictures, tasks, and budget information relating at least one of the brownfield assets, wherein the tasks are automatically generated by the at least one server computer based on default tasks, wherein at least one user of the multi-user distributed system is operable to approve and manage the documents, pictures, tasks, and budget information, wherein at least one of the documents corresponds to at least one of the tasks and is automatically generated based on the stored input data, and wherein the system generates an alert or notification if a line item or a total of a budget exceeds an approved amount.", "The present invention provides systems and methods for automated methodologies and/or tools accessible via a network, such as the Internet, for assessment for quality of investment, remediation and/or redevelopment including a network-based computer system having interactive software via web-based user interface for automated process methodologies for the assessment phases, remediation phases, and/or redevelopment phases of brownfield real estate property, including required steps in each of the phases.", "During the assessment or “pre closing” phase, a deal assessment or an investment assessment is analyzed based upon identification of a prospective deal, identification of a deal partner, salient facts represented in a memo, an investment memo, business planning, an acquisition go/no-go decision, and asset management tools following property acquisition.", "A salient facts memo template preferably includes at least the following as illustrated in TABLE 1 (immediately below): TABLE 1 Salient Facts Template [Project Name - Location] [Date] Summary Location: city and state and metropolitan statistical area Deal description: property size, development plan and exit strategy Market: current market value and historic peak to trough change in property value Financing: capital requirements, capital commitments and debt structure Environmental: any perceived or actual, current or historic impacts at the property Expected return on investment: internal rate of return and multiple Property Summary of property, including size, previous uses, ownership history, existing structures and infrastructure, and other features.", "Location/Market Summary of the property location and overview of local market conditions.", "Project Summary of the business strategy, including acquisition, remediation, development and exit.", "Sellers/Deal Partner Description of the property seller, deal partner, equity investors and other relevant parties.", "Community/Politics Summary of the extent of support and/or opposition to the project.", "Environmental Summary of perceived or actual, current or historic environmental impacts and remediation requirements.", "Entitlements Provide summary of zoning, land use classification and other permits associated with property entitlements.", "Financing/Financial Summary of capital commitments, debt, and other financial Incentives obligations, as well as any financial incentives.", "Development Plan Summary of development plan with breakdown of property types and market rates for each property type.", "Estimated Revenue/ Summary of projected revenue and preliminary costs for Project Costs redevelopment based on the development plan.", "Economics Estimates of return on equity based on proforma or back-of-the- envelope estimates (internal rate of return and multiple rates of return).", "Thus, the salient facts memo includes factors such as property description essential for identification, environmental evaluation, etc.", "required for analyzing the investment, rather than the procedures for project management.", "Steps required for remediation phase that follow building the foundation, which includes communicating core values of the project approach, i.e., core environmental values, language for operating agreements, etc., and following property acquisition, include the environmental management process, building the team, assessing and understanding the environmental issues and aspects of the project, developing environmental strategy and obtaining environmental remediation insurance, implementing the plan including preparing the site for safety and emergency responses, monitoring the process, reviewing strategy and ensuring environmental compliance; measuring performance including financial and environmental performance, conducting independent audits, and review by top management; continuous improvement to correct, prevent, and/or improve any issue presented, setting environmental performance goals and improving environmental performance.", "These steps ensure complete and integrated assessment of the environmental issues consistent with regulatory requirements, etc.", "Furthermore, streamlined software allows for processes of manual and automatic approval throughout the pre-closing processes and upkeep of the brownfield information and budget during the post-closing phase.", "During the pre-approval phase, the system provides a multitude of different roles for individual users such that each role is granted access to specific aspects of the system, including approval and information editing abilities.", "Furthermore, contracts, negotiations, and budget management features are available to different users based on an administrator-controlled role management system.", "Each of these features allows for a streamlined process of securing and managing a brownfield investment through both pre-closing and post-closing features.", "The features and benefits of each aspect of the Methodology, Approach, Process (MAP) system will become apparent through the various embodiments described in this disclosure.", "Preferably, a virtual network or cloud-based system is provided in support of a distributed network for interactive automated assessment of brownfield assets for considering investment, remediation and/or redevelopment, as illustrated in FIG.", "1.FIG.", "1 is a schematic diagram of a networked system and remote server computer associated with the systems and methods of the present invention.", "As illustrated in FIG.", "1, a basic schematic of some of the key components of the system including remote server computer and network access to the prediction market system, according to the present invention are shown.", "The system 2000 includes a server 2210 with a processing unit 2111.The server 2210 is constructed, configured and coupled to enable communication over a network 2250.The server provides for user interconnection with the server over the network using a personal computer (PC) 2240 positioned remotely from the server.", "Furthermore, the system is operable for a multiplicity of remote personal computers or terminals 2260, 2270.For example, in a client/server architecture, as shown.", "Alternatively, a user may interconnect through the network 2250 using a user device such as a personal digital assistant (PDA), mobile communication device, such as by way of example and not limitation, a mobile phone, a cell phone, smart phone, laptop computer, netbook, a terminal, or any other computing device suitable for network connection and with satellite geolocation capabilities.", "Also, alternative architectures may be used instead of the client/server architecture.", "For example, a thin client system or other suitable architecture may be used.", "The network 2250 may be the Internet, an intranet, or any other network suitable for searching, obtaining, and/or using information and/or communications.", "The system of the present invention further includes an operating system 2212 installed and running on the server 2210, enabling server 2210 to communicate through network 2250 with the users thereof.", "The operating system may be any operating system known in the art that is suitable for network communication.", "An internet or intranet based dashboard is utilized to provide financial data for potential deals as well as existing assets and portfolios.", "The dashboard is populated with real-time data and is updated in real-time, with the real-time data coming from a multiplicity of devices connected to the intranet or internet.", "The present invention also provides systems and methods for optimized automated assessment, remediation and redevelopment of brownfield real estate property including the steps of: providing a server computer operable with software for storing and analyzing data associated with each of three phases of development, including an assessment phase, a remediation phase, and a redevelopment phase of brownfield real estate property assets; providing a database for storing data relating to prior developed brownfield assets compared to an evaluation date; assessment for remediation and/or redevelopment including a network-based computer system having interactive software via web-based user interface for automated process methodologies and/or tools and/or templates for the steps for reviewing brownfield real estate property redevelopment opportunities; providing at least one server computer, located centrally or distributed over a network and operable for remote interactive connection by at least one remote computer, at least one server computer in network communication with remote computer(s) for providing remote interactive user interface with the system and for receiving inputs from the remote server computer(s) regarding data from a first target brownfield asset, the server computer operable for generating instructions for review and management of any brownfield asset by way of automated comparison to similar prior developed brownfield assets represented by data in the database.", "A given user may have a multiplicity of brownfield asset targets being managed by the system under the present invention.", "Also, preferably the multiplicity of projects are automatically compared and contrasted with each other for a given user to systematically identify which properties are most closely following best practices, (i.e., having most efficient and effective use of resources, success with remediation and redevelopment against goals, etc.)", "By way of example and not limitation, in one embodiment, criteria is established based upon the specific user: a project manager will have different criteria that are project milestone-based, in contrast to an investor user who will be focused more on the economic criteria of a project, etc.", "Advantageously, utilization of the systems and methods of the present invention provide for cost containment for the assessment, remediation and/or redevelopment of brownfield assets and increase confidence that the remediation process is structured, disciplined, and thorough.", "The method for optimized automated assessment and remediation of brownfield real estate property preferably includes the steps of: providing a server computer operable with software for storing and analyzing data associated with each of three phases of development, including an assessment phase, a remediation phase, and a redevelopment phase of brownfield real estate property assets; providing criteria for automatically determining if a project relating to a first target brownfield asset is conforming to standards for brownfield development; providing assessment for remediation and/or redevelopment including a network-based computer system having interactive software via web-based user interface for automated process methodologies and/or tools for the steps for reviewing brownfield real estate property redevelopment opportunities (or project); providing at least one server computer, located centrally or distributed over a network and operable for remote interactive connection by at least one remote computer, the at least one server computer in network communication with remote computer(s) for providing remote interactive user interface with the system and for receiving inputs from the remote server computer(s) regarding data from the first target brownfield asset, the server computer operable for generating instructions for review and management of the project for any brownfield asset.", "Also, preferably, the assessment phase includes an investment assessment to determine whether the project will provide at least a predetermined minimum return of capital plus profit on an investment of capital required to complete the project in a predetermined time.", "The method further includes providing an environmental management system (EMS) for automatically identifying errors and problems (e.g., nonconformance or noncompliance with a standard, processes, timeline, or threshold) and/or modifying processes to indicate previous errors and problems in order to prevent similar errors and problems on future projects.", "Thus, a self-correcting process for identifying errors and problems is built into the EMS for assisting a continuingly improving investment and management process.", "The system and methods of the present invention provide automation based upon intellectual capital, including smart systems that provide automatic generation of steps based upon information from past projects and preferably further include consideration of indicated and identified errors relating to nonconformance and noncompliance from the past projects.", "The tools and systems of the EMS are further operable to create a process for identifying and preventing nonconformance and noncompliance in future projects through automatic generation of indications or warnings in projects with similar components or related facts, features, and/or characteristics.", "The present invention provides an automated, integrated solution methodology and tools (MAP) that ensures systematic, high quality environmental results in a cost-efficient and effective manner by providing remote, interactive assessment and automated comparison to relevant, similar best practices and/or prior brownfield projects having data stored in the system database.", "The system and methods provide for tools, templates, checklists, and flow diagrams for automated project management with best practices that ensure time savings, cost savings, and consistent approaches for redeveloping unique brownfield real estate assets.", "Advantageously, the systems and methods of the present invention solve longstanding, unmet needs in this field, and are informed by processes based on years of experience, so that the multiple users (multi-users) of the system benefit from the experience themselves without the need to manually analyze multiple past project and/or property data stored in MAP in order to make decisions and notice potential issues in an investment and management process.", "The Environmental Management System (EMS) that forms part of the present invention is certified to ISO (International Standards Organization) environmental management standards (e.g., ISO 14001) and requires continuous improvement and annual audits.", "This ISO certification is unique for a private equity real estate investment company.", "Because of the complexities of project management with brownfield remediation and/or redevelopment compared to greenfield real estate development, and environmental and regulatory issues, the present invention provides a streamlined, systematic, integrated and interactive website-based distributed network for multi-user access to project management of brownfield asset projects, including three major phases and the steps associated with each of them.", "Notably, the multi-user access to project management under the present invention provides for multi-user on one project and/or multi-user on multiple projects.", "The multiplicity of MAP system distributed users are selected from the group consisting of Municipal officials (planning, housing, economic development, or parks and recreation department); State government; Policy specialists; Regulators; Developers; Facilitators or mediators; Engineers and scientists; Architects; Risk assessors/Fate and Transport of contamination experts; Environmental consultants; business leaders; Public sector financial representatives; Community leaders representatives/community members; Private funding source representatives; insurance representatives; private lenders; property owners; municipal public relations experts; Environmental protection agencies; Professionals making living providing services around brownfield redevelopment; and combinations thereof.", "Another key feature and functionality of the systems and methods of the present invention is that EMS is a disciplined, structured and systematic methodology with automation and visualization tools that predict outcomes for investment opportunities, generate recommendations, and provide indications and warnings based on previous projects.", "These systems enhance the effectiveness and efficiency of remediation and reduces problems (e.g.", "nonconformance or noncompliance issues) in the future, including but not limited to health or environmental problems and combinations thereof.", "FIG.", "2 is a flow diagram illustrating the MAP Process Flow according to the present invention.", "FIG.", "3 illustrates the underwriting decision tree used and automated rule sets utilized by the systems and methods of the present invention.", "The MAP system at its core facilitates the processes depicted in FIG.", "3 and allows for approval, documentation, and storage of projects and project files.", "The major decision points and relationship to the MAP system are identified in the description of each feature within this disclosure.", "FIG.", "4 is a schematic diagram illustrating steps in the Acquisition process.", "FIG.", "5 is another flow diagram illustrating steps in the Environmental Management System process.", "FIG.", "6 is another flow diagram illustrating steps in one embodiment of the invention and related hierarchy of stages of the Sustainable Planning & Development process.", "FIG.", "7 is a diagram stages in the Three-Stage Sustainability Process.", "FIG.", "8 is another flow diagram illustrating steps in the Corporate Communications & External Affairs process).", "FIG.", "9 is a diagram illustrating process steps in the MAP Development Process.", "Features and benefits of the present invention include but are not limited to the following: Inspires and motivates stakeholders by providing specific project case studies in a ‘before and after’ format to illustrate a brownfield redevelopment project's potential impact to convert an eyesore into an asset.", "Project benefits are economic, environmental and/or societal.", "Modeling scenarios for returns on public/private investment to support Tax Increment Financing (TIF) or ROI for public investment.", "Saving time and money while ensuring a complete consideration of pertinent issues by providing a structured and disciplined approach to measuring deals against a set of criteria.", "Modeling a well-considered deal for third parties to emulate, which increase the likelihood that deal is funded, as well as the likelihood of the success of the project or deal.", "Saving time and money by modeling a thorough set of pre-development plans that also serves as an excellent marketing piece for a project.", "Reducing the risk of project failure because of project manager or any individual's errors, omissions, and/or failure to include all factors in planning a project.", "Educating the distributed multiplicity of users on key issues and effective use of time and money in planning and executing a brownfield redevelopment project.", "Saving significant time over planning a complex project without any “road map” or guidelines that are relevant specifically to brownfield projects, including automated comparisons to prior brownfield developments.", "Saving time and encouraging high quality outcome by providing templates for decision-making documents, e.g., by way of example and not limitation, Salient facts, Investment memos and Asset management updates.", "Saving time and encouraging high quality outcome by providing spreadsheets that are automatically developed to support financial analysis and project management for brownfield asset management for a given project, wherein the remote multiplicity of users interactively input specific project numbers wherein the automated system and methods then generate thorough and sophisticated reports specific for tracking brownfield asset project management.", "The present invention is further directed to methods and systems that automate the subjective nature of brownfield investment decisions by extracting, aggregating, transforming, matching, and presenting brownfield information in order to automate several processes in the decision making process of brownfield investment.", "The brownfield information includes financial, environmental, political, execution, infrastructure, and other measurable criterion pertinent to the investment process.", "The system compiles information either stored in the local MAP system (current and previous projects) or in external databases (e.g.", "data from the U.S. Census Bureau) and based on pre-programmed thresholds and rules is operable to output recommendations on investment as well as corresponding graphs and figures to aid in the selection of brownfield investment properties.", "The information includes but is not limited to: Demographics/Economics/Real Estate Performance/Trends (national employment trends by industry, annual housing starts, annualized federal funds effective rate, National Property Index (NPI) annual returns by real estate sector, NPI annual returns by region), and Environmental Sustainability/Political Risks and Public Policy/Development Partner Potential (infrastructure spending, entitlement timing, Tax Increment Financing (TIF), solar energy use, Leadership in Energy and Environmental Design (LEED) registered projects, hybrid or electric vehicle registration, Comprehensive Environmental Response, Compensation, and Liability Information System (CERCLIS) sites, ambient air quality, number of red ozone days, voluntary cleanup programs, development partner potential).", "Also included in the information is an indication of present, planned, and historical funds exposure, including at least an indication for each market total exposure, debt, and equity.", "Population growth, household growth, per capita income growth, employment growth, unemployment rates, education statistics such as percentage of population with bachelor's degrees, master degrees, professional degrees, doctorate degrees, etc.", "are also considered as factors for evaluating investment in properties.", "Real estate performance and trends by sector are also considered, including residential properties for sale, residential properties for rent, retail properties for sale, retail properties for rent, office properties for sale, office properties for rent, industrial properties for sale, and industrial properties for rent.", "Information relevant to the calculation of rate of return and return on investment is also relevant to the decision making and recommendation processes of the present invention, including sources of costs incurred and revenue received though an investment.", "This information includes: revenue sources, such as calculated or estimated sales, marketing, and public revenue; and/or expenses, such as design and engineering, infrastructure construction, fees and permits, and other overhead costs.", "In one embodiment, employment and education trends are sourced from the Bureau of Labor Statistics or a similar entity.", "Alternatively, employment trends are determined from websites which include employment information such as LINKEDIN, MONSTER, FACEBOOK, etc.", "Analysis software determines the number or percentage of people employed in a particular sector such as construction, law, health, education, service industry, etc.", "or overall in a certain area which is being considered for investment.", "Additionally, trends in employment, such as percentage increases or decreases overall or in certain sectors are determined using the analysis software.", "Annual single family housing starts are also determined via the US Census or via local or cloud-based analysis software which analyzes websites which include information about new construction (number of houses, apartments, office buildings, and/or retail buildings built in a city, zip code, region, etc.)", "such as ZILLOW, REDFIN, etc.", "The system extracts and aggregates the information, stores it in the MAP memory and database and performs transformation and matching functions according to specific rules and algorithms in order to determine a value for each brownfield site.", "These algorithms and matching function provide for new methods of evaluation of potential investments.", "Notably, the processes described herein are not identical to the steps performed mentally by humans to evaluate investments.", "The present invention advantageously provides for quantification of processes which were previously subjective and manual, and thus transforms the subjective skill set formerly used to evaluate properties into rules and algorithms that provide consistency, expediency, and optimization to the investment process.", "In one embodiment, thresholds and rules of the system are dependent upon a financial metric, including a determined rate of return, return on investment, and/or a multiple.", "For example, in one embodiment, the threshold for recommendation on investment is equal to a Project Internal Rate of Return (IRR) of 1%.", "The system then determines a sum of all revenue, funds, and other cash inflow as well as a sum of all expenses and cash outflow relating to a particular brownfield investment site in relation to and based on the time projected to complete the project determines an IRR.", "If the IRR is greater than or equal to 1%, the system returns a positive recommendation as well as graphs, tables, and charts detailing both the costs by year as well as the resulting investment-relevant calculations (including IRR, Modified IRR, and/or Multiple).", "Conversely, if a calculated IRR is less than 1%, the system returns a negative recommendation as well as the relevant graphs, tables, and charts.", "Further metrics for thresholds include at least: internal rate of return, modified internal rate of return, net cash flow, equity, costs, revenue, or any other financial metric relevant to investment decision making.", "In another embodiment, revenue and costs are further calculated by the system in a “best case,” “likely case,” and “worst case” scenario.", "Thresholds are operable to be set according to each of these scenarios.", "For example, a best case scenario threshold is set such that the cost is greater than or equal to $1, the likely case threshold is set to $2, and the worst case threshold is set to $3.If any of the projected costs exceed these thresholds, a negative recommendation is returned.", "Notably, thresholds are operable to be set relative to multiple variables relevant to a project file, including those of quantitative, qualitative, semantic, structured, and unstructured data.", "In another embodiment, a project file has a location in area X, and the recommendation system is configured to provide a positive recommendation if the project has a high number of LEED certified projects, an IRR of greater than 1%, and a multiple of greater than 2.The system retrieves data from an external database, which determines that area X contains a high number of LEED certified projects.", "The IRR is determined to be 2%, and the multiple is determined to be 1.Since the multiple does not meet the threshold requirement, the system returns a negative recommendation as well as the graphs, tables, charts, and calculations necessary to understand the project and the variables used for the recommendation.", "In a preferred embodiment, multiple variables are calculated for each scenario, and an IRR for each of the scenarios is derived from the calculated variables.", "An investment recommendation is then generated based on the IRR and a set threshold.", "In retrieving information from an external database, the system is further operable to connect to partner memories, databases, and systems in order to retrieve costs, revenue, or budget information relative to a brownfield project.", "These costs, revenue, or budget information is further used to determine a total IRR, multiple, or other financial metric in order to derive an investment recommendation.", "Thus, analysis and recommendation on investment is made possible in investment opportunities in partnership with at least one other entity.", "The decision engine of the present invention is further operable to determine downside scenario projections and recommendations.", "Downside scenario projections account for a change in the investment scenario and a modification of variables affecting a calculation of the revenue or costs in a project.", "For example, in one investment project, the system determines a recommendation on investment for the following situations: a base project; the base project with a 25% increase in costs; the base project with a 25% increase in costs, a 25% decrease in absorption rate, and an increased project sell out time of 25 years; the base project with a 25% increase in costs and a 25% decrease in revenue; and the base project with a 25% increase in costs, a 25% decrease in revenue, a 25% decrease in absorption rate, and an increase project sell out time of 25 years.", "For each of these situations, the total costs, revenue, IRR, multiple, and other values pertinent to the investment decision are calculated and presented in graphs, tables, and charts.", "An investment decision based on the preset thresholds for investment are provided for each downside scenario.", "Note that the variables, numbers, and thresholds used in the above examples are for illustration purposes only and are not actual values for brownfield project investment.", "One skilled in the art will recognize that the variables, numbers, and thresholds are operable to be set or calculated at any value or interval reasonable in the art of brownfield project investment.", "Budgeting also provides a variable through which the decision and recommendation engines of the present invention determine an investment recommendation.", "In one embodiment, a budget for a brownfield project is compared to the projected revenue and costs of the brownfield site for set number of years.", "If the calculated costs exceed a budget or of a net cash flow is lower than a budgeted value, the system outputs a negative recommendation for investment.", "Graphs, tables, and charts that account for the projected investment as well as the budgeted items are further output along with the recommendation.", "Line items for each cost, revenue, or budget item are included as part of the recommendation output.", "Preferably, the system is operable to analyze and provide recommendations on multiple projects at a time.", "For example, if a project includes 10 brownfield investment sites, the system analyzes each of the 10 projects and at least one corresponding budget and provides a recommendation for each of the brownfield sites (e.g., 3 positive recommendations and 7 negative recommendations).", "The system is further operable to sort the recommendations according to the largest rate of return, shortest projected sell-out time, or any other variable relevant to the investment recommendation.", "The system is operable to change a threshold value based on a user input through a web-based graphical user interface (GUI) or other manual computer input method, including a command line interface (CLI) or a digital spreadsheet.", "Thresholds are set globally as a default value and/or are set for each project file individually.", "Thus, a standard for a positive recommendation is set but is adjustable for individual project needs.", "Upon performing the processes above to assess a potential brownfield investment site, the transformed data is stored with the matched property file in the MAP database.", "The transformed data includes graphs and illustrations as visual representations of the rules and matching algorithms performed by the system.", "For example, in one embodiment, a project file for a brownfield site in Durham, N.C. provides a map with markers indicating the population of Durham as well indications of the sizes of other comparable markets.", "The processes and systems described herein are a significant improvement to the current process of brownfield investment.", "Brownfield investment has traditionally been focused on “optimistic” or “creative” approaches to investment leads, which leaves the investment with an unclear probability of success.", "These subjective approaches involve an artistic and experienced evaluator manually compiling the facts, information, and statistics about potential sites and deciding whether or not to invest based on intuition.", "In addition, the investment in projects as a result of this subjective process leads to projects that are driven and monitored by “inertia,” or a focus on the projects that have significant interest or progress.", "Beneficially, the present invention provides systems and rules that direct investment decisions through a standardized process that provides streamlined, accurate management, recording, and monitoring.", "The ordered combination of the system components and method steps provides an inventive, non-obvious process for brownfield investment through implementation of components that allow for documentation, approval, and collaboration features that provide a specific process to brownfield investments.", "This process is one that was not available before computer technology, as the combination of analytical features with other interactive components provides a tool that makes concrete investment decisions and suggestions that are not dependent upon subjective processes.", "The system is generally divided into pre-closing and post-closing operations.", "The process of closing is directly tied to the system, which allows for each step to take place in a streamlined manner.", "Pre-Closing A lead for a property is sourced or introduced to the system of the present invention through a variety of ways, including direct referral to the system through an email, web portal, or any other electronic communication.", "In one embodiment, a lead recognizer feature of the intranet recognizes a potential lead from the text of an email and adds the lead to the lead queue.", "Alternatively, a web crawler searches the Internet for potential leads on websites and adds the potential lead to a lead queue.", "After sourcing the lead, the lead is screened based on a variety of criteria, including location, zoning, public and/or media opinion on development in the location, lender availability, type of environmental contamination or pollution, use restrictions imposed by remediation requirements, wildlife habitat, flood plain, wetlands, airport proximity, topographical data, historical structures or areas including historic commission restrictions, easements, the need for regulatory approval for development, asking price vs. lowest price that can be offered for desirable return on investment (ROI), and any other factor pertinent to purchasing a property.", "Preferably, an automated screening tool screens the lead.", "The automated screening tool is operable to access the intranet as well as the internet and use web crawling software to determine this information if it is not provided.", "In one embodiment, a sourced property lead is operable to be flagged to not be screened automatically by the screening tool and is instead sent via email or another electronic messaging system to a party for review.", "In another embodiment, a sourced property lead is flagged for automated screening.", "Preferably, an electronic message alert system sends an electronic message to an account when a property lead has been screened along with the results of the screening.", "Screening results include recommendations such as “Pursue”, “Pass”, or “Further Investigation Required”.", "If the tool recommends that the lead be pursued or further investigated, the lead is added to a leads queue for pursuit and deliberation.", "A due diligence spend amount and a priority of the property compared to other properties in the queue is preferably automatically defined based on the factors used in the screening criteria.", "A salient facts memo including the due diligence spend amount and an action plan is generated during the pursuit and deliberation phase.", "If the lead is passed on during the pursuit and deliberation phase, a quantifiable factor which was a reason for passing on the lead is incorporated into the screening tool to screen future leads.", "Preferably, the quantifiable factor relates to at least one of the factors used by the screening tool to screen leads.", "By way of example, wildlife habitat of a critically endangered species overlapping with the property, a percentage of the property that is in a flood plain, or the presence of a particular contaminant on the property are all bases for the screening tool to automatically recommend a pursue, pass, or further investigation action for a property with the same or similar qualities in the future.", "Approval Functions During pre-phase negotiations, each brownfield project file is created and assigned a Global Deal Identification (ID).", "The project file is populated with a list of requirements, stages, and resources that are initially void of information and are operable to be filled in by a user.", "Alternatively, the list of requirements, stages, and resources are automatically filled in with suggested information and values.", "This list includes at least an ability to generate, hold, and edit agreements (partnership agreements, letters of intern, term sheets, etc.", "), view and adjust a budget, as well as hold and edit comments, notes, and documents used internally for making investment decisions.", "For the agreements, the system is operable to generate a template document (e.g., a template Letter of Intent) including information about the site from the brownfield project file.", "Upon generation, the system is operable to allow a user to sign the document, edit it, send it to another user for approval, and send it to an external entity.", "When the document is sent to another user for approval, the system is further operable to alert a user with approval ability of the document in need of approval.", "Notably, the document is not limited to a physical, hard-copy document or a generated document from word-processor, as the documents generated include web-based files and projects that are further able to be approved.", "Tasks Additionally, a tasks function allows a user to assign tasks to a project needed for both pre-closing and post-closing procedures.", "Tasks are listed in the MAP system with the project they are assigned to.", "In one embodiment, tasks are paired with documents and files pertinent to the tasks and upon completion by a user, the tasks are automatically or manually marked as completed.", "For example, in one embodiment, a user overseeing a project sets up a list of all of the steps, approvals, and documents that need to be completed during due diligence phase of investment.", "If a second user working on the project is responsible for signing off on an agreement, the tasks function allows for the second user to download the agreement, sign it, and upload the signed document back to the server.", "The tasks function automatically marks the task as completed upon reupload.", "Negotiation Similar to the document management function, the negotiation function allows for communication between internal and external entities in order to negotiate agreements, deals, budgets, etc.", "Communication includes sending a document or contract information about the brownfield project internally or externally, as well as combining the document or information sent with the editing and signing abilities of the system.", "In one example, a Partnership Agreement is sent to an external source, feedback on the agreement is received by the system, the agreement is edited to reflect this feedback, and the edited agreement is sent to another entity for approval.", "Furthermore, feedback and auditing features allow for users to request and send surveys, evaluations, and general feedback forms to internal and external entities and log the responses through the MAP system.", "Forms include large text entries, single-line text entries, radio selectors, and any other method of providing feedback information known in the art of digital feedback surveys.", "The system is operable to send the surveys, evaluations, and general feedback forms through the MAP system itself to another MAP user or through an external mail system to an email address.", "The email thereby links to the MAP system itself for guest access to the survey.", "Alternatively, replies to the email are automatically routed and stored in the project file.", "Property leads which pass the pursuit and deliberation phase move on to the seeking control or under control phase.", "The seeking control phase includes collaboration on documents related to due diligence and investment in the property.", "This collaboration is preferably web-based and is performed through an interactive graphical user interface (GUI).", "Budgeting Budgeting implementations of the MAP system allow for a user to produce and edit a budget for a brownfield project.", "The budget includes at least an ability to enter in a budget estimate, wherein the budget includes both automatically calculated values and manually input values.", "The automatically calculated values are extracted from other files and documents in the brownfield project system, including a proposal or a term sheet.", "Budget values are operable to be adjusted by users, and both the initial budget and the adjusted budget are operable to be approved by users with approval capabilities.", "Furthermore, if a budget increases beyond a threshold set by a user, the system is operable to send an alert to one or more users and/or perform an action, including attaching a label to the project and/or cancelling the project.", "In one embodiment, the system sends an alert or notification to a user when a budget increases beyond $100,000 of an approved budget limit.", "In another embodiment, a threshold is set to send an alert when a line item or individual component of the budget increases beyond $25,000 of an approved budget limit.", "In further embodiments, percentage limits set the threshold to percentages of an approved budget, for example at 115% of a line item or 110% of a total budget.", "Additionally, the system is operable to allow users to send budget requests and request approvals.", "For example, if a user requests approval for a travel expense, a user with approval capabilities is sent a notification of a request, and based on the response of the user with approval capabilities, the requesting user is notified of an approval or denial.", "Post-Closing An investment decision is made after the property lead has gone through the pursuit and deliberation phase.", "Upon a positive investment decision being made, regular reports are generated relating to environmental factors, construction, legal issues, milestones, and budget.", "These reports are automatically generated based on integration with other software including bank accounts and email accounts.", "Specialized hardware such as that used to measure contaminants (e.g.", "hydrocarbon spillages, solvents, pesticides, heavy metals including lead, and asbestos) is linked to the system of the present invention using wireless communication such as radio frequency (RF) communication, NEAR FIELD COMMUNICATION (NFC), ZIGBEE, wireless internet connectivity, cellular communications including 3G, 4G, 5G, etc.", "and any other form of wired or wireless communication.", "For post-closing projects, the MAP system provides a history of all pre-closing activity and documents as well as an ability to monitor and adjust the project file.", "In one embodiment, the system provides Consumer Information Statement (CIS) resources for reviewing asset management oversight as well as ownership and relationship agreements between investors and other parties involved in the brownfield investment.", "Additionally, a combination feature of the system allows for two different project files to be combined into one.", "When combined by a user, the images, text, documents, history, and other elements from a first project file are combined with the elements of the second file.", "In one embodiment, the elements of the first project file are meshed with the elements of the second file.", "In another embodiment, the elements of the first project file are kept separate from the second project file but are reflected in the second project file via an additional link, folder, webpage, or other mechanism of storing elements of the second project file.", "Post-closing functions also include providing compensation to the appropriate parties and approving and filing income tax returns.", "These functions are preferably integrated with the system using payroll and tax software such that payments are scheduled and automatically made by the system.", "Sample deal documents that illustrate what products of various components should look like include insurance documents that educate users on the best strategies to manage and mitigate project risk and the specific financial instruments they may use to do so.", "The system further provides automated deal analysis for users with “lessons learned” from prior projects that are directly relevant to the case in point and that help project leaders avoid mistakes by providing customized comparables or case studies and workflow process diagrams and development checklists to ensure successful development outcomes.", "Furthermore, the system provides an international standards organization (ISO) environmental management standards-based automated system and methods for brownfield development that includes the following features and benefits, by way of example and not limitation: Providing a structured, disciplined, cost efficient approach to managing the environmental portion of a redevelopment project.", "System establishes and articulates strong environmental principles and provides standard operating guidelines for execution.", "The EMS further guides project managers in a 5 step-by-step process, a significant benefit in the complicated world of brownfield redevelopments where many struggle for direction.", "Standard Operating Guidelines direct user in the most efficient use of time and money while concurrently prescribing a high degree of environmental stewardship.", "The present invention systems and methods successfully address the longstanding unmet need in the industry for providing automated, networked, and real-time access to templates and tools developed and refined over 20 years project experience with case studies, including EMS documents that are ready-to-use templates for saving time, helping to avoid mistakes by individuals, reducing expenses and/or risk, and improving safety.", "The systems and methods of the present invention also enhance a user's credibility in brownfield development.", "By following the EMS, project leaders make a statement to stakeholders that they are committed to quality and that their commitment translates into a systematic, proven, process-based project methodology, including winning confidence of lenders, regulators, insurers, and others gain confidence that project management is thorough, disciplined and cost effective, and remotely viewable and monitorable by those entities, with secure and transparent access provided by the system user for a given project.", "Also, a database of properties is provided for remote access by users, in particular for corporations owning a multiplicity of properties under development.", "This is particularly advantageous because brownfield development and regulations differ greatly by geographic location.", "Such a remote database provides for the remote users to search and research and compare projects according to a variety of factors, including but not limited to a database of properties searchable and sortable by the following factors, and combinations thereof: Location/geographical area type pollutant/contamination water, air, chemical active/inactive facility size value (estimated as is, clean, cost to clean) short term v. long term asset urban/rural Status, e.g., No Further Action (NFA) letter in hand.", "Phase 1 complete, etc.", "Furthermore, a database is provided for searching, finding, and connecting with vendors.", "The database is preferably web-based.", "Vendors include appraisers, architects, attorneys, real estate agents or brokers, environmental consultants, insurance professionals, lenders, and other specialists that assist in the process of brownfield property investment.", "The database is searchable through MAP and is operable to link to specific contact entries.", "The contact entries contain at least names, phone numbers, email addresses, physical addresses, and/or a list of involved projects.", "Project files are additionally operable to link to or display vendors connected to a project and provide any notes or pertinent information related to vendor interaction.", "In addition, the system provides synchronization abilities such that the database is operable to interface with a digital address book, contacts system, or mail client and add and/or remove vendor contact information.", "In one embodiment, the interfacing includes the export of all vendor entries to a separate file for import into another program (file types for contacts include vCard files, .vcf, archive files, .abbu, or any file that is operable to contain structured contact information).", "In another embodiment, the interfacing occurs automatically, wherein the email client or the system actively syncs the vendor information.", "MICROSOFT OUTLOOK is used to sync the vendor information in one embodiment.", "Preferably, the information is automatically synced when the information is updated.", "In preferred embodiments of the present invention, different MAP skins or Graphical User Interface (GUI) formats are provided for different stakeholders to allow the system to customize MAP for different users and/or audiences to highlight most useful content for them.", "Also, a percent complete indicator is advantageously provided to automatically gauge or monitor progress and prompt users to take next steps.", "Optionally an EPA grant point calculator and guide for grant applications is provided with the present invention; it is helpful to highlight progress and to encourage high quality, particularly on target applications, including the following factors: qualitative based on key words, and quantitative based on number of fields complete.", "A brownfield program application for online participation with a project is provided, including an example for new users to make the application or project account creation more efficient.", "Visualization tools are helpful for soliciting community input and for demonstrating progress, either with actual photos and/or illustrations, as the project proceeds through various stages.", "These tools preferably provide for automatic updating at predetermined stages on a project management timetable.", "Alternatively, manual uploading of photographic images will trigger a corresponding automated updating of visual representations of the project that are available only to authorized project members, to the predetermined guest users, to the general public, and combinations thereof.", "Preferably, in one embodiment of the present invention, an on-line version of visual representations of a project, including both images and text identifying the project phase is provided via an interactive website and graphic user interface (GUI).", "Where authorized, the system is adapted to allow at least one project manager to upload images and descriptions of their respective project sites to make their project image-based information available to third parties, including but not limited to universities and other creative, inexpensive design teams.", "Optionally, the project manager selectively authorizes multiple users from the general public to submit photographic images of the site(s) in their communities, thereby providing public exchange and input in tracking progress, and encouraging support locally for the project.", "Other visualization tools include transformation slides showing illustrations of the property “as is” prior to project commencement and what it can look like in the future at predetermined stages of the project, including project completion; status reporting automatically triggered by updating images of a project, as soon as they are uploaded or inputted to the system, preferably remotely.", "Additionally, an automatic, interactive GUI is coupled with the systems and methods of the present invention that provides the at least one multiple remote user(s) to create a visual model of a potential site for brownfield development with predetermined items for “drag-and-drop” objects that are selectively combinable to create a virtual project completed view.", "Furthermore, the system is operable to retrieve and store mapping elements from external databases, such as publicly available Geographic Information System (GIS) data—and display this information in the MAP system.", "The interactive GUI is operable to display the geographic location data in a map with property boundaries along with information about the site, including spatial data analysis integrating real estate, demographic, environmental, community, psychographic, and other parameters that help to indicate value for potential sites.", "Other GIS information includes at least an address, current owner, building and land value, build date, and property size.", "GIS information also advantageously includes map overlay views of population density achievable in the entitlement process, use restrictions imposed by remediation requirements, wildlife habitat, flood plain, wetlands, airport proximity, topographical data, historical structures or areas including historic commission restrictions, easements, areas where regulatory approval is needed, etc.", "In one embodiment, visualization for regulatory approval also includes indications of typical timelines for receiving regulatory approval in the relevant location.", "The GIS system also includes links to GIS comparable properties or “comps” in one embodiment, with a split screen view operable to show one or more GIS comparable properties.", "Typical service provider costs and turnaround times are also displayed on the GIS map for the relevant location.", "In another embodiment, potential funding information is included in the GIS map relative to the property.", "Private funding factors are represented using visual indicia for market comparison, development milestones required by lenders for funding, lenders' willingness to assume environmental risk, and include color coding, shading, and/or icons to indicate levels of desirability or risk.", "Public funding factors include jurisdictional experience with issuing relevant securities in size range, capital market capacity for issuance, municipality credit health, headline risk, and land plan harmony with public finance objective, and are also indicated visually or textually on the GIS map.", "Historical opposition to development, proposed use vs. current zoning and press and public attitude towards development are also indicated visually or textually in the GIS.", "For any factors involving comparison (ex: comparing proposed use to the current zoning), a degree of difference is indicated using color overlays, such as using red to indicate a large degree of difference and low probability of success, brown to indicate a moderate degree of difference and moderate probability of success, and green to indicate a low degree of difference and high probability of success.", "Advantageously, any information included in the GIS is also operable to be included in text format or any graphical format in the record of the property on the internet or intranet.", "The interactive map is displayed within a project file along with any information describing the site as well as history and documents from pre-closing and post-closing processes.", "The present invention further includes decision analysis tools for helping with planning, evaluation, and/or execution of projects, including prioritization and/or ranking of projects, in addition to main benefits of the systems and methods of the present invention, including but not limited to saving money, reducing time, and ensuring positive outcome from environmental, health, and/or safety perspectives.", "Certain modifications and improvements will occur to those skilled in the art upon a reading of the foregoing description.", "By way of example and not limitation, systems and methods of the present invention for providing risk management and containment of brownfield property assets for lenders, insurance providers, investors, stakeholders, and combinations thereof, including a key aspect of the EMS being to obtain environmental insurance, the purpose of which is to limit cost of remediation, which is often hard to project at a project's onset.", "The above-mentioned examples are provided to serve the purpose of clarifying the aspects of the invention and it will be apparent to one skilled in the art that they do not serve to limit the scope of the invention.", "All modifications and improvements have been deleted herein for the sake of conciseness and readability but are properly within the scope of the present invention." ] ]
Patent_15875608
[ [ "METHOD, APPARATUS, AND SYSTEM HAVING SUPER STEEP RETROGRADE WELL WITH ENGINEERED DOPANT PROFILES", "Generally, in one embodiment, the present disclosure is directed to a method for forming a transistor.", "The method includes: implanting a substrate to form at least one of an n and p doped region; depositing an epitaxial semiconductor layer over the substrate; forming trenches through the epitaxial layer and partially through at least one of an n and p doped region; forming dielectric isolation regions in the trenches; forming a fin in an upper portion of the epitaxial semiconductor layer by partially recessing the dielectric isolation regions; forming a gate dielectric adjacent at least two surfaces of the fin; and diffusing dopant from at least one of the n and p doped regions at least partially into the epitaxial semiconductor layer to form a diffusion doped transition region adjacent a bottom portion of the fin." ], [ "1.-13.", "(canceled) 14.A finFET transistor, comprising: a substrate having at least one of an n doped region and a p doped region; a fin having a lowly doped channel region; a subfin region including a dopant diffusion inhibiting material intermediate the substrate and the channel of the fin; and a moderately doped region beneath the dopant diffusion inhibiting material.", "15.The finFET transistor of claim 14 wherein the dopant diffusion inhibiting material is silicon carbon.", "16.The finFET transistor of claim 15, wherein the silicon carbon has a concentration of carbon in a range of about 0.05 to about 1.0% 17.The finFET transistor of claim 15, wherein the silicon carbon has a thickness in a range of about 2 nm to about 30 nm.", "18.The finFET transistor of claim 15, wherein the silicon carbon has a concentration of carbon in a range of about 0.1 to about 0.4% and has a thickness in a range of about 5 nm to about 20 nm.", "19.The finFET transistor of claim 14, wherein the lowly doped channel region has an average active dopant concentration of less than about 8×1017 cm−3.20.The finFET transistor of claim 14 wherein the moderately doped region has an average active dopant concentration in a range of about 8×1017 cm−3 and about 6×1018 cm−3 in a region comprising at least about 40 nm adjacent the dopant diffusion inhibiting material.", "21.The finFET transistor of claim 14 wherein the dopant diffusion inhibiting material has a thickness in a range of about 5 nm to about 20 nm.", "22.The finFET transistor of claim 14, further comprising a shallow trench isolation (STI) dielectric adjacent a first side and a second side of the subfin region.", "23.The finFET transistor of claim 14, further comprising: a gate dielectric disposed over the fin; and a gate electrode disposed over the gate dielectric.", "24.A finFET transistor, comprising: a substrate comprising an n doped region and a p doped region; a first fin disposed above the n doped region and having a doped channel region having a first doping concentration; a second fin disposed above the p doped region and having a doped channel region having the first doping concentration; a first subfin region including a dopant diffusion inhibiting material intermediate the substrate and the channel of the first fin; a second subfin region including a dopant diffusion inhibiting material intermediate the substrate and the channel of the second fin; a first doped region beneath the first subfin region and having a second doping concentration; and a second doped region beneath the second subfin region and having the second doping concentration; wherein the second doping concentration is greater than the first doping concentration.", "25.The finFET transistor of claim 24, wherein the dopant diffusion inhibiting material of the first subfin region and the dopant diffusion inhibiting material of the second subfin region comprise silicon carbon and wherein the dopant diffusion inhibiting material of the first subfin region and the dopant diffusion inhibiting material of the second subfin region each have a concentration of carbon in a range of about 0.05 to about 1.0%.", "26.The finFET transistor of claim 24, wherein the dopant diffusion inhibiting material of the first subfin region and the dopant diffusion inhibiting material of the second subfin region each have a thickness in a range of about 2 nm to about 30 nm.", "27.The finFET transistor of claim 26, wherein the dopant diffusion inhibiting material of the first subfin region and the dopant diffusion inhibiting material of the second subfin region each have a thickness in a range of about 5 nm to about 20 nm.", "28.The finFET transistor of claim 24, wherein the dopant diffusion inhibiting material of the first subfin region and the dopant diffusion inhibiting material of the second subfin region each have a concentration of carbon in a range of about 0.1 to about 0.4% and a thickness in a range of about 5 nm to about 20 nm.", "29.The finFET transistor of claim 24, wherein the doped channel region of the first fin and the doped channel region of the second fin each have an average active dopant concentration of less than about 8×1017 cm−3.30.The finFET transistor of claim 24, further comprising a shallow trench isolation (STI) dielectric between the first subfin region and the second subfin region.", "31.The finFET transistor of claim 24, further comprising: a first gate dielectric disposed over the first fin; a second gate dielectric disposed over the second fin; and a gate electrode disposed over the first gate dielectric and the second gate dielectric.", "32.A finFET device, comprising: a substrate comprising an n doped region and a p doped region; a first fin disposed above the n doped region and having a first doped channel region; a second fin disposed above the p doped region and having a second doped channel region; a first subfin region including a dopant diffusion inhibiting material intermediate the substrate and the channel of the first fin; a second subfin region including a dopant diffusion inhibiting material intermediate the substrate and the channel of the second fin; a third doped region beneath the first subfin region; and a fourth doped region beneath the second subfin region; wherein the first and second doped regions each has a first dopant concentration and the third and fourth doped regions each has a second dopant concentration, wherein the second dopant concentration is greater than the first dopant concentration.", "33.The finFET device of claim 32, further comprising: a shallow trench isolation (STI) dielectric between the first subfin region and the second subfin region; a first gate dielectric disposed over the first fin; a second gate dielectric disposed over the second fin; and a gate electrode disposed over the first gate dielectric and the second gate dielectric." ], [ "<SOH> FIELD OF THE INVENTION <EOH>Generally, the present disclosure relates to the manufacture of sophisticated semiconductor devices, and, more specifically, to various methods and structures having super steep retrograde wells with engineered dopant profiles." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention.", "This summary is not an exhaustive overview of the invention.", "It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention.", "Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.", "Generally, in one embodiment, the present disclosure is directed to a method for forming a transistor.", "The method includes: implanting a substrate to form at least one of an n and p doped region; depositing an epitaxial semiconductor layer over the substrate; forming trenches through the epitaxial layer and partially through at least one of an n and p doped region; forming dielectric isolation regions in the trenches; forming a fin in an upper portion of the epitaxial semiconductor layer by partially recessing the dielectric isolation regions; forming a gate dielectric adjacent at least two surfaces of the fin; and diffusing dopant from at least one of the n and p doped regions at least partially into the epitaxial semiconductor layer to form a diffusion doped transition region adjacent a bottom portion of the fin.", "Generally, in a second embodiment, the present disclosure is directed to finFET transistor.", "The transistor is comprised of a substrate, a fin, a subfin region, and a moderately doped region.", "The substrate has at least one of an n doped region and a p doped region.", "The fin has a lowly doped channel region.", "The subfin region includes a dopant diffusion inhibiting material intermediate the substrate and the channel of the fin, and the moderately doped region is beneath the dopant diffusion inhibiting material." ], [ "FIELD OF THE INVENTION Generally, the present disclosure relates to the manufacture of sophisticated semiconductor devices, and, more specifically, to various methods and structures having super steep retrograde wells with engineered dopant profiles.", "DESCRIPTION OF THE RELATED ART The technology explosion in the manufacturing industry has resulted in many new and innovative manufacturing processes.", "Today's manufacturing processes, particularly semiconductor manufacturing processes, call for a large number of significant steps.", "These process steps usually require a number of inputs that are generally fine-tuned to maintain proper manufacturing control.", "The manufacture of semiconductor devices requires a number of discrete process steps to create a packaged semiconductor device from raw semiconductor material.", "The various processes, from the initial growth of the semiconductor material, the slicing of the semiconductor crystal into individual wafers, the fabrication stages (etching, doping, ion implanting, or the like), to the packaging and final testing of the completed device, are so different from one another and specialized that the processes may be performed in different manufacturing locations that contain different control schemes.", "Generally, a set of processing steps is performed on a group of semiconductor wafers, sometimes referred to as a lot, using semiconductor-manufacturing tools, such as an exposure tool or a stepper.", "As an example, an etch process may be performed on the semiconductor wafers to shape objects on the semiconductor wafer, such as polysilicon lines, each of which may function as a gate electrode for a transistor.", "As another example, a plurality of metal lines, e.g., aluminum or copper, may be formed that serve as conductive lines that connect one region on the semiconductor wafer to another.", "In this manner, integrated circuit chips may be fabricated.", "In some cases, integrated circuits or chips may comprise various devices that work together based upon a hard-coded program.", "For example, application-specific integrated circuit (ASIC) chips may use a hard-coded program for various operations, e.g., boot up and configuration processes.", "The program code, in the form of binary data, is hard-coded into the integrated circuit chips.", "When designing a layout of various devices with an integrated circuit (e.g., CMOS logic architecture), designers often select pre-designed functional cells comprising various features (e.g., diffusion regions, transistors, metal lines, vias, etc.)", "and place them strategically to provide an active area of an integrated circuit.", "One challenge of designing a layout is accommodating ever-increasing density of cell components and still maintain routability for connecting various components of the cells.", "This is increasingly a challenge as dimensions of these components get smaller, such as for 10 nm or smaller integrated circuit designs.", "The pre-designed functional cells are often used to design transistors, such as metal oxide field effect transistors (MOSFETs or FETs).", "A FET is a device that typically includes a source region, a drain region, a channel region that is positioned between the source region and the drain region, and a gate electrode positioned above the channel region.", "Current flowing through the FET is controlled by controlling the voltage applied to the gate electrode.", "If a voltage that is less than the threshold voltage of the device is applied to the gate electrode, then there is no current flowing through the device (ignoring undesirable leakage currents, which are relatively small).", "However, when a voltage that is equal to or greater than the threshold voltage of the device is applied to the gate electrode, the channel region becomes conductive, and electrical current is permitted to flow between the source region and the drain region through the conductive channel region.", "To improve the operating speed of FETs, and to increase the density of FETs on an integrated circuit device, device designers have greatly reduced the physical size of FETs over the years.", "More specifically, the channel length of FETs has been significantly decreased, which has resulted in improving the switching speed of FETs.", "However, decreasing the channel length of a FET also decreases the distance between the source region and the drain region.", "In some cases, this reduced spacing between the source and the drain makes it difficult to efficiently inhibit the electrical potential of the channel from being adversely affected by the electrical potential of the drain.", "This is sometimes referred to as a so-called short channel effect, wherein the characteristic of the FET as an active switch is degraded.", "There are essentially two types of FETs: planar FETs and so-called 3D devices, such as an illustrative finFET device, which is a 3-dimensional structure.", "More specifically, in a finFET, a generally vertically positioned, fin-shaped active area is formed and a gate electrode encloses both of the sides and the upper surface of the fin-shaped active area to form a trigate structure so as to use a channel having a 3-dimensional structure instead of a planar structure.", "In some cases, an insulating cap layer, e.g., silicon nitride, is positioned at the top of the fin and the finFET device only has a dual-gate structure.", "FinFET designs use “fins” that may be formed on the surface of a semiconductor wafer using selective-etching processes.", "The fins may be used to form a channel between the source and drain of a transistor.", "The gate is then deposited such that it wraps around the fin to form a trigate structure.", "Since the channel is extremely thin, the gate would generally have a greater control over the carriers within.", "However, when the transistor is switched on, the small size of the channel may limit the current flow.", "Therefore, multiple fins may be used in parallel to provide greater current flow for increased drive strength.", "FIG.", "1 illustrates a stylized depiction of a conventional finFET device.", "A finFET device 100 illustrated in FIG.", "1 comprises a plurality of “fins” 110.The semiconductor device may be positioned in a vertical orientation, creating one or more fins 110.The source and drain of the finFET are placed horizontally along the fin.", "A high-k metal gate 120 wraps over the fin, covering it on three sides.", "The gate 120 defines the length of the finFET device.", "The current flow occurs along an orthogonal crystal plane in a direction parallel to the plane of the semiconductor wafer.", "The electrically significant height of the fin (labeled H) is typically determined by the amount of oxide recess in the fin reveal step and hence is substantially similar for all of the fins 110.The thickness of the fin (labeled Tfi) determines the short channel behavior of the transistor device and is usually small in comparison with the height H of the fin 110.The pitch (labeled P) of the fins is determined by lithographic constraints and dictates the wafer area to implement the desired device width.", "A small value of the pitch P and a large value of the height H enable a desirable packing of the devices per square area resulting in a denser design, or more efficient use of silicon wafer area.", "For a bulk finFET, the region beneath the channel is referred to as the well and is doped to the opposite polarity of the source and drains.", "For a pMOS device, the well is n-type doped and referred to as an nWell.", "For an nMOS device, the well is p-type doped and referred to as a pWell.", "The upper region of each well type that is immediately beneath the channel is commonly referred to as the Punch Through Stop (PTS) region and serves to limit unwanted current flow between the source and drain regions when the finFET is in the off-state.", "The scaling down of integrated circuits coupled with higher performance requirements for these circuits have prompted an increased interest in finFETs.", "FinFETs generally have the increased effective channel widths, which includes channel portions formed on the sidewalls and top portions of the fins.", "Since drive currents of the finFETs are proportional to the channel widths, finFETs generally display increased drive current capabilities.", "FinFET devices are known to include a dopant profile commonly known as Super Steep Retrograde Well (SSRW).", "Typically, in a finFET employing SSRW, it is desirable that the channel itself has no or low doping and the PTS region immediately below the channel is moderately doped.", "In this type of structure, the PTS is typically formed by implanting dopants that pass through the channel.", "It is desirable that there be no or low doping in the channel to minimize Random Dopant Fluctuation (RDF) and its effect on VT.", "However, implant straggle associated with the PTS dopants, often results in a significant amount of dopant remaining in the channel, which may be problematic and may cause undesirable electrical properties in the finFET device.", "Unwanted diffusion of dopant post implant may also degrade finFET devices.", "The present disclosure may address and/or at least reduce one or more of the problems identified above.", "SUMMARY OF THE INVENTION The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention.", "This summary is not an exhaustive overview of the invention.", "It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention.", "Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.", "Generally, in one embodiment, the present disclosure is directed to a method for forming a transistor.", "The method includes: implanting a substrate to form at least one of an n and p doped region; depositing an epitaxial semiconductor layer over the substrate; forming trenches through the epitaxial layer and partially through at least one of an n and p doped region; forming dielectric isolation regions in the trenches; forming a fin in an upper portion of the epitaxial semiconductor layer by partially recessing the dielectric isolation regions; forming a gate dielectric adjacent at least two surfaces of the fin; and diffusing dopant from at least one of the n and p doped regions at least partially into the epitaxial semiconductor layer to form a diffusion doped transition region adjacent a bottom portion of the fin.", "Generally, in a second embodiment, the present disclosure is directed to finFET transistor.", "The transistor is comprised of a substrate, a fin, a subfin region, and a moderately doped region.", "The substrate has at least one of an n doped region and a p doped region.", "The fin has a lowly doped channel region.", "The subfin region includes a dopant diffusion inhibiting material intermediate the substrate and the channel of the fin, and the moderately doped region is beneath the dopant diffusion inhibiting material.", "BRIEF DESCRIPTION OF THE DRAWINGS The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which: FIG.", "1 illustrates a depiction of a conventional finFET device; FIGS.", "2-5 illustrate a stylized depiction of a series of process steps that are utilized to produce a first embodiment of a finFET device; FIG.", "6 illustrates an exemplary graphical representation of electrical data for an embodiment of a finFET device; and FIG.", "7 illustrates a stylized depiction of a system for fabricating a semiconductor device comprising a finFET device in accordance with embodiments herein.", "While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail.", "It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.", "DETAILED DESCRIPTION Various illustrative embodiments of the invention are described below.", "In the interest of clarity, not all features of an actual implementation are described in this specification.", "It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another.", "Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.", "The present subject matter will now be described with reference to the attached figures.", "Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art.", "Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure.", "The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art.", "No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein.", "To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.", "Embodiments herein are directed to semiconductor devices (e.g., finFET transistors) having Super Steep Retrograde Wells (SSRW) that use patterned n-type and p-type ion implants followed by epitaxial growth of a semiconductor layer, and a controlled diffusion process to create engineered dopant profiles to produce finFETs that have no or low doping in the channel to minimize Random Dopant Fluctuation (RDF) and its effect on VT while providing sufficient dopant below the channel to form a Punch Through Stop (PTS).", "In one embodiment, the semiconductor layer contains a material that suppresses the diffusion of one or both of the n-type and p-type dopants.", "FIGS.", "2-5 illustrate one embodiment of a structure and a methodology for forming the structure that includes finFET transistors with a channel that has no or low doping.", "The process begins in FIG.", "2 where a semiconductor wafer 200 is shown with a first region that has received an n-type dopant implant 202 and a second region that has received a p-type dopant implant 204.Those skilled in the art will appreciate that it may be useful to utilize a Zero Layer (ZL) mask to place alignment marks on the wafer 200 that may be used as a guide for litho alignment for the n-type and p-type implant regions, as well as subsequent processes described herein.", "The n-type regions are typically referred to as nWells and will ultimately provide junction isolation for the pMOS finFET.", "Similarly, the p-type regions are typically referred to as pWells and will ultimately provide junction isolation for the nMOS finFET.", "Any of a variety of methodologies and dopants may be utilized to form the n-type and p-type regions 202, 204.Turning first to the implantation process for forming the n-type region 202, the implantation process may include multiple implants using multiple dopants to form the PTS, as well as deeper implants for device junction isolation.", "Typical species for the nWell implants include phosphorus (P), arsenic (As) and antimony (Sb).", "Typically, P will be used for the deeper implants, and As or Sb may be used for shallower implants.", "Peak dopant concentrations for these implants will typically be between about 8×1017 atoms/cm3 and about 2×1019 atoms/cm3, and in some embodiments between about 1×1018 atoms/cm3 and about 1×1019 atoms/cm3.In one embodiment, the average dopant concentration over about the first 40 nm of depth will typically be between about 8×1017 atoms/cm3 and about 6×1018 atoms/cm3.Typical species for the pWell implants include boron (B), gallium (Ga), and indium (In).", "Typically, B may be used for deeper implants, and B, Ga, or In, may be used for shallower implants.", "Peak dopant concentrations for these implants will typically be between about 8×1017 atoms/cm3 and about 2×1019 atoms/cm3, and in some embodiments between about 1×1018 atoms/cm3 and about 1×1019 atoms/cm3.In one embodiment, the average dopant concentration over about the first 40 nm of depth will typically be between about 8×1017 atoms/cm3 and about 6×1018 atoms/cm3.An optional sacrificial screen dielectric (not shown, e.g., SiO2, SiON, SiN, stacks thereof, etc.)", "may be deposited prior to the well implants.", "The sacrificial screen dielectric may typically have a thickness in the range of about 2 to about 15 nm, and may serve a variety of purposes.", "For example, the screen dielectric may advantageously reduce damage to the underlying Si wafer 200, it may assist in reducing channeling of implanted species, it may reduce outgassing of dopant species (e.g., As) during a subsequent annealing process, and it may provide a more abrupt final dopant profile by removing the low energy straggled implanted ions that stop in the screen dielectric.", "Those skilled in the art will appreciate that after the implant process and optional annealing processes are completed, the screen dielectric will typically be removed using any of a variety of conventional wet and/or dry chemistries.", "If the optional sacrificial screen dielectric is used, those skilled in the art will appreciate that its effect on subsequent implant processes should be taken into consideration, particularly with respect to the depth of the implanted dopant(s).", "Following the implantation process, it may be useful to subject the wafer 200 to an anneal process to reduce surface damage to improve a crystalline surface structure to serve as a seed for subsequent epitaxial growth.", "The anneal process may be performed as a batch process with multiple wafers in a furnace, a single wafer process performed in a Rapid Thermal Anneal (RTA) chamber or a Laser Spike Anneal (LSA) chamber, or by some combination thereof.", "Thereafter, the screen dielectric, if used, may be removed as discussed above, and then conventional epitaxial pre-cleans and a conventional epitaxial pre-bake may be performed.", "The pre-brake process may be in the range of about 650 to about 1000° C. for a time period in the range of about 10 s to about 300 s. In FIG.", "3, a Si epitaxial layer 300 has been grown over the wafer 200 using any of a variety of techniques.", "Those skilled in the art will appreciate that the thickness of the Si epi layer 300 may vary substantially without departing from the spirit and scope of the instant invention.", "For example, it is envisioned that the thickness of the Si epi layer 300 may vary in the range of about 30 to about 100 nm, with a preferred range of about 40 nm to about 80 nm so that fins of a desired height may be formed therewith.", "The Si epi layer 300 may be nominally undoped or very lowly doped and may be grown using either selective or nonselective epi processes.", "However, nonselective epi processes are typically preferred because they can be performed at a lower cost and with increased uniformity.", "In an alternative embodiment, a diffusion suppression layer of, for example, epitaxial silicon carbon (SiC) 302 may be deposited intermediate the wafer 200 and the Si epi layer 300.The SiC layer 302 may be used to suppress diffusion of one or more of the dopant species during subsequent processing.", "In particular, C is useful in suppressing diffusion of boron (B) and phosphorus (P).", "While high levels of carbon may provide an effective diffusion barrier, these high levels can have deleterious effects such as precipitation of SiC phases or other defects.", "For this reason, the preferred amount of carbon is not so high as to provide a perfect diffusion barrier, but should be high enough to provide significant suppression of diffusion for one or more dopant species.", "In one embodiment, the concentration of C may be established in the range of about 0.05 to about 1.0% with a thickness of about 2 nm to about 30 nm, depending upon the concentration of C. In a preferred embodiment, the concentration of C is about 0.1 to about 0.4% and the layer 302 has a thickness of about 5 nm to about 20 nm.", "As shown in FIG.", "4, conventional patterning and etching processes are used to form a series of substantially similar recesses 400 in the Si epi layer 300 and the underlying n-type doped region 202 and p-type doped region 204, as well as the optional SiC layer 302, if it is used, to form a plurality of lines 402.An upper “fin” portion 404 of these lines will eventually be wrapped by a gate and become a channel of the device.", "A lower “subfin” portion 406 of these lines may be used to provide a punch through stop and electrical isolation.", "The patterning techniques may, for example, include self-aligned double and quadruple patterning methods, or lithography techniques using extreme ultraviolet (UV) or e-beam processes.", "An additional layer used for patterning purposes, e.g.", "pad oxide and pad nitride, may be formed above the fins at this point in the flow, but are not indicated in FIG.", "4.The etch process would preferably achieve comparable etch depths and widths in both the n-type doped and p-type doped regions 202, 204 to produce relatively narrow lines 402.In one embodiment, the etch process may be performed using a conventional dry etch process, such as a Reactive-Ion Etching (RIE) process.", "In another embodiment, the etch process may comprise a combination of dry etch process and wet etch process, such as using hydrofluoric acid (HF) chemical etching.", "It is envisioned that a single etching process would be used to complete the etch process through the Si epi, the SiC (if used), and into the n-type/p-type doped regions 202, 204; however, those skilled in the art will appreciate that the etch process may be divided into a series of etching processes.", "As shown in FIG.", "5, after the etching of the lines 402 is complete, it may be useful to implement a Shallow Trench Isolation (STI) process and, at least partially, fill the recesses 400 with an STI dielectric 500.Those skilled in the art will appreciate that during the STI process, it may be useful to control temperature so as to reduce undesirable dopant diffusion in channel regions 502 of the finFETs.", "Upper limits on temperature are time dependent.", "For example, a furnace process with an anneal time in excess of 30 minutes with slow ramp up and slow ramp down rates may be limited to 850° C. or lower.", "For shorter anneal processes of about 10 s, a temperature of about 1000° C. may be accommodated.", "Additionally, in some embodiments it may be useful to include a SiN liner (not shown) in at least the recesses 400 near the interface of the n-type/p-type doped regions 202/204 and the Si layer 300 to reduce dopant loss (e.g., B and In) to the STI dielectric 500.Hereafter, conventional fin reveal, gate formation, junction formation, contact formation, metallization, and the like, may proceed using well known processes.", "For example, after the STI dielectric 500 layer is deposited, a chemical-mechanical polishing (CMP) process may be performed, followed by an anneal process to improve the quality of the STI dielectric.", "An oxide etching process may be performed to reveal the fins 502 by etching the STI dielectric 500 to the level shown in FIG.", "5.Thereafter, a conventional gate formation process may be performed to produce the gate dielectric 504 and gate electrode 506.These processes are readily known to those of ordinary skill in the art, and thus, are not discussed in greater detail herein to avoid unnecessarily obfuscating the description presented herein.", "Those skilled in the art will appreciate that the fin 502 bases substantially coincide with the top surface 506 of the STI dielectric 500, and thus, the height of the fins is the distance between the top surface 506 of the STI dielectric 500 and the top surface 508 of the fin (identified by H in FIG.", "5).", "In some embodiments, the height H of the fin 502 may be about 40 nm.", "Beginning with the deposition of the epitaxial SiC 302 (optional) and Si 300, as discussed in FIG.", "3, and throughout the overall process flow, upward diffusion of dopant from the n-type and p-type doped regions 202, 204 can be engineered to fabricate a final dopant profile that places appropriate levels of dopant in an epitaxial subfin region 510 between the top of the original position of the n-type and p-type dopant regions 202, 204 in the substrate 200 and the bottom of the fin 502.In some embodiments, it may be desirable if placement of the peak in the PTS dopant is not immediately beneath the fin 502, but rather, is located a distance below the fin 502.FIG.", "6 shows a graph of the effective on-state current vs the thickness of the epitaxial subfin region 510 for a typical device and an engineered degree of dopant diffusion from the n-type and p-type doped regions 202, 204 upward into the epitaxial subfin region 510.Effective on-state current is a metric for performance, where a higher number indicates higher performance.", "As can be seen from FIG.", "6, a desired epitaxial subfin region thickness is in a range of about 20 nm to about 25 nm for medium PTS doping where the n-type and p-type regions 202, 204 are uniformly doped to the very top of the wafer 200.This thickness will be larger for higher PTS doping and lower for lower PTS doping.", "This value will also be smaller if the dopant profiles in the regions 202, 204 reach a peak value at a depth extending further into the wafer, as is typically the case.", "While FIG.", "6 illustrates the basic trends, the actual desired distance will also depend upon the implant conditions, actual dopant distances, the gate length, the positions of the source and drains, etc.", "The epitaxial subfin region 510, which becomes part of the PTS region in the final device, is doped in this embodiment primarily using solid source diffusion from the implanted n-type and p-type regions 202, 204.This differs from prior art whereby this subfin region 510 is doped directly by ion implantation or by diffusion from solid source dopants (e.g.", "doped glasses) on the sides of the subfin region.", "Engineering the degree of diffusion into the epitaxial subfin region 510 requires controlling the original dopant profiles in the n-type and p-type regions 202, 204 to a desired level, the thermal budget in the overall process flow, the thickness of the epitaxial subfin region 510, and other process variables.", "In practice, limiting diffusion to the required level during the multiple thermal budgets in the process flow can be challenging, which is why suppression (but not elimination) of diffusion by the optional SiC 302 can be useful.", "In one embodiment, the thickness of the epitaxial subfin region 510 may be in the range of about 2 nm to about 40 nm.", "In a preferred embodiment, the epitaxial subfin region thickness may be in the range of about 5 nm to about 25 nm.", "The dopant concentration in this epitaxial subfin region 510 will be highest near the bottom and generally decreases toward the fin channel.", "At some vertical location, the doping level becomes effectively undoped, and/or lowly doped, less than about 8×1017 atoms/cm3).", "While that will be near the bottom of the fin 502, in practice, acceptable behavior can be obtained if that transition occurs within a reasonable distance from the bottom of the fin 502.In one embodiment, the transition to undoped or lowly doped occurs within 15 nm of the bottom of the fin 502 and within 10 nm in a preferred embodiment.", "Additionally, after the process is complete, the region below the SiC layer 302 will be moderately doped (e,g,, in a range of about 8×1017 cm−3 to about 2×1019 cm−3).", "In some embodiments, the dopant concentration in the epitaxial subfin region 510 adjacent to the bottom of the fin 502 will be lower by at least a factor of 2 than the dopant concentration in the epitaxial subfin region 510 adjacent to the n-type and p-type doped regions 202, 204.In other embodiments, this dopant concentration reduction factor will be in a range to 5 to 100.Turning now to FIG.", "7, a stylized depiction of a system for fabricating a semiconductor device comprising a finFET device in accordance with embodiments herein is illustrated.", "The system 700 of FIG.", "7 may comprise a semiconductor device processing system 710 and a design unit 740.The semiconductor device processing system 710 may manufacture integrated circuit devices based upon one or more designs provided by the design unit 740.The semiconductor device processing system 710 may comprise various processing stations, such as etch process stations, ion implantation stations, photolithography process stations, CMP process stations, etc.", "One or more of the processing steps performed by the processing system 710 may be controlled by the processing controller 720.The processing controller 720 may be a workstation computer, a desktop computer, a laptop computer, a tablet computer, or any other type of computing device comprising one or more software products that are capable of controlling processes, receiving process feedback, receiving test results data, performing learning cycle adjustments, performing process adjustments, etc.", "The semiconductor device processing system 710 may produce integrated circuits on a medium, such as silicon wafers.", "The production of integrated circuits by the device processing system 710 may be based upon the circuit designs provided by the integrated circuits design unit 740.The processing system 710 may provide processed integrated circuits/devices 715 on a transport mechanism 750, such as a conveyor system.", "In some embodiments, the conveyor system may be sophisticated clean room transport systems that are capable of transporting semiconductor wafers.", "In one embodiment, the semiconductor device processing system 710 may comprise a plurality of processing steps, e.g., the 1st process step, the 2nd process set, etc., as described above.", "In some embodiments, the items labeled “715” may represent individual wafers, and in other embodiments, the items 715 may represent a group of semiconductor wafers, e.g., a “lot” of semiconductor wafers.", "The integrated circuit or device 715 may be a transistor, a capacitor, a resistor, a memory cell, a processor, and/or the like.", "In one embodiment, the device 715 is a transistor.", "The integrated circuit design unit 740 of the system 700 is capable of providing a circuit design that may be manufactured by the semiconductor processing system 710.The design unit 740 may receive data relating to the functional cells to utilize, as well as the design specifications for the integrated circuits to be designed.", "In one embodiment, the integrated circuit design unit 740 may comprise finFETs having implantations regime of the type described herein.", "The system 700 may be capable of performing analysis and manufacturing of various products involving various technologies.", "For example, the system 700 may use design and production data for manufacturing devices of CMOS technology, Flash technology, BiCMOS technology, power devices, memory devices (e.g., DRAM devices), NAND memory devices, and/or various other semiconductor technologies.", "The methods described above may be governed by instructions that are stored in a non-transitory computer readable storage medium and that are executed by, e.g., a processor in a computing device.", "Each of the operations described herein may correspond to instructions stored in a non-transitory computer memory or computer readable storage medium.", "In various embodiments, the non-transitory computer readable storage medium includes a magnetic or optical disk storage device, solid state storage devices such as flash memory, or other non-volatile memory device or devices.", "The computer readable instructions stored on the non-transitory computer readable storage medium may be in source code, assembly language code, object code, or other instruction format that is interpreted and/or executable by one or more processors.", "The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein.", "For example, the process steps set forth above may be performed in a different order.", "Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below.", "It is, therefore, evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention.", "Accordingly, the protection sought herein is as set forth in the claims below." ] ]
Patent_15875609
[ [ "PROPSHAFT DAMPER AND METHOD OF ASSEMBLY", "A propshaft damper for a vehicle is provided.", "The propshaft damper includes an inertia ring where the inertia ring having an inner ring.", "A rubber track is positioned on inner ring, the rubber track having an first inner surface.", "A transmission flange is further provided having a second inner surface and connected to the inertia ring.", "A hub is provided connecting the inertia ring to the flange.", "The hub having a first section and a second section where the first section of the hub includes a first outer surface and the second section includes a second outer surface.", "The first inner surface of the hub connected to the first outer surface of the hub.", "Further, the second outer surface of the hub is connected to the second inner surface of the flange thereby connecting inertia track and the flange by means of the hub in a secure press-fit connection." ], [ "1.A propshaft damper for a vehicle, the propshaft damper comprising: an inertia ring, the inertia ring having an outer ring and an inner ring, the inner ring having an inner diameter, the inertia ring having a plurality of apertures, the apertures separated by a plurality of spokes; a rubber track positioned on the inner diameter of the inner ring of the inertia ring, the rubber track having an inner surface, the rubber track is located spaced apart from but close to a rotating axis of the damper to provide a high radial stiffness; a damper hub having a first section and a second section, the first section of the hub having an outer surface, the second section having an outer surface, the inner surface of the rubber track accommodating the first section of the hub, the second section of the hub connected with an inner surface of a flange by means of the hub.", "2.The propshaft damper of claim 1 wherein the hub includes a mounting surface for a fastener.", "3.A propshaft damper for a vehicle, the propshaft damper comprising: an inertia ring, the inertia ring having an outer ring and an inner ring, the inner ring having an inner diameter, an inertia ring having a plurality of apertures, the apertures separated by a plurality of spokes; a rubber track positioned on the inner diameter of the inner ring of the inertia ring, the rubber track having an inner surface, the rubber track is located spaced apart from but close to a rotating axis of the damper to provide a high radial stiffness; a damper hub having a first section, the first section of the hub having an outer surface, the inner surface of the rubber track connected to the outer surface of the first section of hub; a fastener connecting the hub to a flange, the fastener extending through the flange and the hub and thus connecting the hub, the flange and the rubber track of the inertia ring.", "4.The propshaft damper of claim 15 wherein an outer surface of a second section of the hub connects to an inner surface of the flange to align the hub to the flange.", "5.The propshaft damper of claim 15 wherein the fastener is a nut and bolt configuration.", "6.The propshaft damper of claim 15 wherein the hub includes a mounting surface for the fastener.", "7.A method of assembling propshaft damper having a hub, an inertia ring and a flange, the method comprising the steps of: applying a rubber track to an inner ring of the inertia ring, the rubber track having an inner surface; applying a rubber surface to a plurality of apertures on the inertia ring; connecting a first section of the hub to the inner surface of the rubber track; and connecting a second section of the hub to an inner surface of the flange." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Noise is a common customer complaint within the interior compartment of a vehicle.", "The firings of cylinders in an internal combustion engine of a vehicle may generate torsional vibrations thus causing noise heard by the user of the vehicle.", "These periodic firings generate torsional vibrations as a fixed order of the engine rpm.", "An excitation sweep starting at idle up to the maximum engine speed is measured and graphically depicted.", "Various multiple degrees of freedom have several eigenforms per its inertia, torsional rates, and dampening.", "Each eigenform has a certain frequency, vibration shape, and resonant application.", "Systems not having dampers on the propshaft exhibit higher frequency versus amplification on a system with amplifying eigenmode (resonance) not having dampers on the propshaft.", "The noise and vibration of an amplified eigenmode in resonance heard by the user of the vehicle is significantly reduced through the use of torsional propshaft dampers.", "The propshaft damper works as an added single degree of freedom system tuned to the problem eigenform of the driveline.", "FIG.", "2 illustrates the graph 30 showing frequency versus amplification of the reduction of torsional resonant vibration when using a damper at line 34 and not having a damper at line 32 .", "Line 34 is an example of the frequency versus amplification of the present invention.", "Several known propshaft dampers exist such as a damper having rotating support pins and being pressed onto a flange/shaft OD.", "Other dampers includes a damper having a track but assembled with a rubber coupling and being pressed to an OD of a flange protrusion which accommodates a center sleeve.", "Other dampers include a damper having a rubber coupling or a propshaft damper pressed to a minimum shaft flange accommodated into a cv-joint.", "Even further, these dampers all require the user of a bolt or other fastener for assembly.", "The dampers of the prior art are frequently prohibitively expensive to manufacture when assembled between a u/cv-joint and a transmission flange because of the number of components required, the weight and assembly costs.", "As such, there exists a need in the art to provide a propshaft damper producing effective results at a lower cost." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The present invention provides for a propshaft damper for a vehicle.", "The propshaft damper includes an inertia ring where the inertia ring having an inner ring.", "A rubber track is positioned on inner ring, the rubber track having an first inner surface.", "A transmission flange is further provided having a second inner surface and connected to the inertia ring.", "A hub is provided connecting the inertia ring to the flange.", "The hub having a first section and a second section, the first section of the hub having a first outer surface and the second section having a second outer surface.", "The first inner surface of the hub connected to the first outer surface of the hub.", "Further, the second outer surface of the hub is connected to the second inner surface of the flange thereby connecting inertia track and the flange by means of the hub in a secure press-fit connection, or a fastener.", "The present invention offers the possibility to assemble a damper between two flanges without using a deep drawn stamping or a following assembly of inertia ring with subassembly." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This Application is a Divisional Application of U.S. application Ser.", "No.", "15/019,723 filed Feb. 9, 2016.Application Ser.", "No.", "15/019,723 claims the benefit of U.S. application Ser.", "No.", "14/105,348 filed on Dec. 13, 2013.Application Ser.", "No.", "14/105,348 claims the benefit of Provisional Application 61/776,945 filed on Mar.", "12, 2013.Application Ser.", "No.", "14/105,348 claims the benefit of U.S.", "Provisional Application 61/736,771 filed on Dec. 13, 2012, the contents of which are incorporated herein in their entirety.", "FIELD OF THE INVENTION This invention relates generally to dampers for vehicle suspension systems.", "More particularly, this invention relates to a propshaft damper to minimize vibration in a vehicle suspension system.", "BACKGROUND OF THE INVENTION Noise is a common customer complaint within the interior compartment of a vehicle.", "The firings of cylinders in an internal combustion engine of a vehicle may generate torsional vibrations thus causing noise heard by the user of the vehicle.", "These periodic firings generate torsional vibrations as a fixed order of the engine rpm.", "An excitation sweep starting at idle up to the maximum engine speed is measured and graphically depicted.", "Various multiple degrees of freedom have several eigenforms per its inertia, torsional rates, and dampening.", "Each eigenform has a certain frequency, vibration shape, and resonant application.", "Systems not having dampers on the propshaft exhibit higher frequency versus amplification on a system with amplifying eigenmode (resonance) not having dampers on the propshaft.", "The noise and vibration of an amplified eigenmode in resonance heard by the user of the vehicle is significantly reduced through the use of torsional propshaft dampers.", "The propshaft damper works as an added single degree of freedom system tuned to the problem eigenform of the driveline.", "FIG.", "2 illustrates the graph 30 showing frequency versus amplification of the reduction of torsional resonant vibration when using a damper at line 34 and not having a damper at line 32.Line 34 is an example of the frequency versus amplification of the present invention.", "Several known propshaft dampers exist such as a damper having rotating support pins and being pressed onto a flange/shaft OD.", "Other dampers includes a damper having a track but assembled with a rubber coupling and being pressed to an OD of a flange protrusion which accommodates a center sleeve.", "Other dampers include a damper having a rubber coupling or a propshaft damper pressed to a minimum shaft flange accommodated into a cv-joint.", "Even further, these dampers all require the user of a bolt or other fastener for assembly.", "The dampers of the prior art are frequently prohibitively expensive to manufacture when assembled between a u/cv-joint and a transmission flange because of the number of components required, the weight and assembly costs.", "As such, there exists a need in the art to provide a propshaft damper producing effective results at a lower cost.", "SUMMARY OF THE INVENTION The present invention provides for a propshaft damper for a vehicle.", "The propshaft damper includes an inertia ring where the inertia ring having an inner ring.", "A rubber track is positioned on inner ring, the rubber track having an first inner surface.", "A transmission flange is further provided having a second inner surface and connected to the inertia ring.", "A hub is provided connecting the inertia ring to the flange.", "The hub having a first section and a second section, the first section of the hub having a first outer surface and the second section having a second outer surface.", "The first inner surface of the hub connected to the first outer surface of the hub.", "Further, the second outer surface of the hub is connected to the second inner surface of the flange thereby connecting inertia track and the flange by means of the hub in a secure press-fit connection, or a fastener.", "The present invention offers the possibility to assemble a damper between two flanges without using a deep drawn stamping or a following assembly of inertia ring with subassembly.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates a vehicle having a propshaft and propshaft damper positioning; FIG.", "2 is a graphical representation of frequency versus amplification of a vehicle with and without propshaft dampening at resonant amplification; FIG.", "3 is a partial cross-sectional view and partial perspective view of the first embodiment of the damper of the present invention; FIG.", "4 is a partial cross-sectional perspective exploded view of the first embodiment of the damper of the present invention; FIG.", "5 is a side view of the first embodiment of the damper of the present invention; FIG.", "6 is a partially exploded perspective view of the first embodiment of the damper of the present invention; FIG.", "7 is a partially exploded, partial cross-sectional perspective view of a second embodiment of the damper of the present invention; FIG.", "8 is a side view of the second embodiment of the damper of the present invention; FIG.", "9 is a cross-sectional side view of a third embodiment of the damper of the present invention; FIG.", "10 is a cross-sectional perspective view of the third embodiment of the damper of the present invention; FIG.", "11 is a cross-sectional view of a fourth embodiment of the damper of the present embodiment; and FIG.", "12 is an alternative cross-sectional view of the fourth embodiment of the damper of the present invention.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention provides for an improved propshaft damper having a centered rubber track.", "The centered rubber track provides sufficient radial damper rate for balancing and a cost savings through the reduced number of components (i.e., no friction bearings or support pins), improved durability through less rubber strain, and a robust design without dirt contamination or wear.", "The propshaft damper includes an inertia ring where the inertia ring having an inner ring.", "The rubber track is positioned on inner ring, the rubber track having an first inner surface.", "A transmission flange is further provided having a second inner surface and connected to the inertia ring.", "A hub is provided connecting the inertia ring to the flange.", "The hub having a first section and a second section, the first section of the hub having a first outer surface and the second section having a second outer surface.", "The first inner surface of the hub connected to the first outer surface of the hub.", "Further, the second outer surface of the hub is connected to the second inner surface of the flange thereby connecting inertia track and the flange by means of the hub in a secure press-fit connection.", "Previously known damper assemblies having a centered rubber track include a press fit hub to the minimum diameter of shaft flange, a press fit to protrusion of the multi arm flange, or bolted between a transmission and CV/U-joint flange.", "The present invention offers the possibility to assemble a damper between two flanges without using a deep drawn stamping or a following assembly of inertia ring with subassembly.", "The flange of the present invention requires an inner diameter having a press fit tolerance.", "The flange further must include areas of protrusion or recession so that the inertia ring with apertures can move in the same plane without contacting the flange.", "FIGS.", "3-6 illustrate the components of the propshaft damper 100 of the present invention.", "The damper 100 includes an inertia ring 102, a damper hub 106 and a centered rubber track 108.The inertia ring 102 is connected to the damper hub 106 through molding/vulcanization of the rubber in the rubber track 108.The rubber of the rubber track is molded directly (i.e.", "integrated) to the metal of inertia ring 102 (i.e.", "vulcanization).", "The rubber track 108 is centered (i.e.", "close to the rotating axis) as close as possible to provide sufficient radial stiffness.", "The centered nature of the track 108 will ensure optimized balance properties with the need for support pins.", "The rubber track 108 negates the need for fasteners or other support pins.", "The hub 106 is further provided in the center of the inertia ring 102.The rubber of the rubber track 108 is defined as rubber, polymers, plastics, polymer-like or plastic-like materials.", "The hub 106 may be a cut and machined steel, other metal, plastic, plastic-like, polymer or polymer-like tube.", "The present invention provides for a single mounting plane through a plurality of transmission flange protrusions and an optimized alignment through press fit area in the machined tube.", "Alternatively, the protrusions may be provided on the shaft flange.", "The transmission flange or a shaft flange includes a plurality protrusions which extend through the apertures of the inertia ring connecting to the other of the transmission flange or the shaft flange.", "The inertia ring 102 is a casting (without a subassembly) having low tooling cost.", "The inertia ring 102 further includes an inner ring 175, or generally circular inner portion positioned close to the drive shaft.", "In the present embodiment, the inertia ring is made of a cast iron.", "Other metals or sinter metals may be used to produce the inertia ring.", "The inertia ring includes a plurality of apertures 120a, 120b.", "The apertures, or travel limiters, 120a, 120b may further include a rubber or plastic coating to operate as a travel limiter without generating any further noise.", "The apertures 120a, 120b may be include a separate rubber snubber to form the snubber surface attached as a separate component.", "This rubber coating or snubber reduces noise and preserves the inertia ring in the event of a collision.", "The coatings 122 are provided on an inner surface of the apertures 120a, 120b.", "The coatings (or surfaces) 122 are molded directly (i.e.", "integrated) to the inertia ring 102.This molding/vulcanization process of molding the coatings 122 may take place at the same time as the rubber track 108 is molded to the inertia ring 102 to save time and money in the manufacturing process.", "Integrated sealing lips 140, as shown in FIG.", "3, are further provided to increase protection against dirt and excessive heat.", "The sealing lips 140 may also be molded at the same time as the molding of the rubber track 108 and the coatings 122.The flange 104 includes a plurality of protrusions 124.In the present embodiment, the protrusions 124 are generally cylindrical having an outer circumference 125 and an upper surface 127.In further embodiments, the protrusions will vary in size and dimension (as in the flanges of FIGS.", "19-22) and are not limited to a cylindrical configuration.", "The protrusions 124 are adapted to engage with the apertures 120 located on the inertia ring 102.The protrusions 124 extend through the apertures 120a, 120b, etc.", "allowing the inertia ring to move relative to the flange to move together on the same plane without the flange 104 contacting the inertia ring 102.The inertia ring and hub 106 are press fit into the flange 104.A plurality of spokes 170 are positioned between the apertures 120a, 120b.", "These spokes are defined as the space between the apertures 120a, 120b extending between the inner ring and the outer ring.", "In the present embodiments as shown in FIG.", "4, the spokes 170 are wide.", "In other embodiments (such as shown in FIG.", "8), the spokes are more narrow and appear more like traditional spokes.", "FIG.", "6 illustrates the relation of the u-joint 130 (of the propshaft) to the damper 100.An aperture 132 located on a shaft flange 134 of the propshaft connects to the protrusion 124 of the flange 104.The protrusion 124 of the flange 104 includes an aperture 128 extending through the protrusion 124.A bolt then extends through the aperture 132 through the aperture 128 of the flange 104 and thus accordingly through the aperture 120 of the inertia ring thereby aligning the propshaft with the transmission flange 104 and allowing the inertia ring to rotate on the same plane as the flange 104 and protrusions 124.FIGS.", "4 and 6 illustrates the damper 100 in relation to the u-joint 130.The inertia ring further includes dirt detracting chamfers 140 located on an outer edge of the inertia ring 102.The outer surface 175 of the inertia ring 102 acts as a temperature shield to prevent high temperatures from the closely located exhaust from damaging the rubber track 108.The flange 104 and the u-joint (flange) 130 also act as temperature shields for the rubber track 108.FIG.", "14 illustrates a centered rubber track 108 located on the inertia ring 102.FIG.", "3 illustrates yet another improvement of the present invention.", "A torque flow 125 is shown through the flange 104 and the u-joint (and flange of the u-joint 130).", "The torque is not transmitted to the damper 100.This permits the damper 100 to be made of lighter material and allows the damper to serve only its primary purpose of dampening.", "The hub 106 illustrates a 3-section configuration.", "The hub 106 further includes sections 106A, 106B and 106C.", "The sections 106A, 106B and 106C include varying outer diameters allowing for easier and/or more secure connections between the flanges.", "By way of example, the OD of section 106A is configured to press-fit with the flange 104.The change in the OD over the varying sections provides for alignment of the flange 104 and the flange of the u-joint 130.Section 106B provides for an OD for the rubber track 108.Section 106C provides for an intermediate fit for further aligning the hub 106 with the u-joint 103 (or shaft flange 134 of the u-joint 130).", "The third section 106C accommodates an inner surface of a shaft flange to align the shaft flange 134.These arrangements allow for accurate alignment of the damper 100 with the flange 104 (and the flange of the u-joint 130).", "The hub 106 may include more or less sections (i.e.", "2, 3, 4, 5 .", ".", ".", "etc.)", "according to the need for alignment and based on customer need.", "FIGS.", "3 and 6 illustrate the u-joint 130 connected to the flange 104.The inertia ring 102 further includes sealing lips 140 providing for complete encapsulation against dirt and heat through the vulcanized sealing lips 140.The integrated sealing lips 140 prevent dirt and heat from entering the system.", "The flange 104 further includes protrusions 124 extending through the aperture of the inertia ring 102.The flange connects to the inertia ring by means of a press fit configuration.", "Specifically, the hub 106 further includes a first outer surface 171 and a second outer surface 172.The first outer surface 171 connects to an outer surface 174 of the rubber track 108 of the inertia ring 102.Further, the second outer surface 172 of the hub 106 connects to an inner surface 176 of the flange 104.These connections are made in a press-fit arrangement to create a secure connection.", "Alternatively, the hub, flange and inertia ring are bond molded together, optionally molded together at the same time as the rubber coating on the apertures.", "FIGS.", "7-8 illustrate a second embodiment of the present invention.", "A damper assembly 200 discloses the damper concept between a cv-joint 280 and transmission flange 204.The inertia ring 202 is connected through the rubber track 208 with the damper hub 206.The rubber track 208, similar to rubber track 108 of the first embodiment, may also have an L-shaped configuration.", "The inertia ring 202 further includes an inner ring 275, or generally circular inner portion positioned close to the drive shaft.", "The damper hub 206 is connected to the transmission flange 204 with a press fit configuration.", "The damper hub, in the present embodiment, includes a 2-section configuration including section 206A and section 206B.", "This 2-section configuration provides for simplified and accurate alignment.", "The OD of section 206B provides a press-fit connection to the transmission flange 204 to securely connected to an inner surface (and inner diameter) 262 of the transmission flange 204.A secondary flange alignment between the transmission flange 204 at 264 and the cv-joint at point 282.Integrated sealing lips 260 are adapted to prevent dirt contamination.", "Rubber coated spokes 270 are provided on the inertia ring 202 to prevent noise when travel limiting.", "The apertures 220 of the inertia ring 202 are larger in size to accommodate the protrusions 224 of the transmission flange 204.The flange 204 further includes protrusions 224 extending through the aperture of the inertia ring 202.The flange connects to the inertia ring by means of a press fit configuration.", "Specifically, the hub 206 further includes a first outer surface 270 and a second outer surface 272.The first outer surface 270 connects to an outer surface 274 of the rubber track 208 of the inertia ring 202.Further, the second outer surface 272 of the hub 206 connects to an inner surface 276 of the flange 204.These connections are made in a press-fit arrangement to create a secure connection.", "In a third embodiment as shown in FIGS.", "9-10, the damper hub includes a press fit configuration and a mounting surface for a shaft nut.", "Damper assembly 300 discloses the damper concept between a cv-joint 390 and transmission flange 304.The transmission flange 304 includes a hatched inner surface 362 providing for a secure connection with a bolt or other fastener.", "The inertia ring 302 is connected through the rubber track 308 with the damper hub 306.The inertia ring 302 further includes an inner ring 375, or generally circular inner portion positioned close to the drive shaft.", "The rubber track 308, similar to rubber track 108 of the first embodiment, may also have an L-shaped configuration.", "The damper hub 306 is connected to the transmission flange 304 with a press fit configuration (press fit for pre-assembly).", "The OD of section 306a provides a press-fit connection to the transmission flange 304.This connection is shown in highlighted section A The OD of the section 306b connects to the rubber track 308 of the inertia ring 302.A plurality of bolts 392 are provided connecting the joint 390 to the transmission flange 304.A mounting surface 310 for a shaft nut (not shown) is provided on the damper hub 306.The mounting surface is generally perpendicular to an inner surface 312 of the damper hub 306.The mounting surface 310 extends away from the inner surface 312 of the damper hub 306 into a center portion of the damper hub 306.The mounting surface 310 is provided to allow a nut or bolt head to rest thereon when extending through the damper assembly 300.The flange further includes protrusions 324 extending through the aperture of the inertia ring 302.The flange connects to the inertia ring by means of a press fit configuration.", "Specifically, the hub 306 further includes a first outer surface 370 and a second outer surface 372.The first outer surface 370 connects to an outer surface 374 of the rubber track 308 of the inertia ring 302.Further, the second outer surface 372 of the hub 306 connects to an inner surface 376 of the flange 304.These connections are made in a press-fit arrangement to create a secure connection.", "In a fourth alternative embodiment as shown in FIGS.", "11-12, the damper hub includes a bolted hub configuration and a mounting surface for a shaft nut.", "Damper assembly 400 discloses the damper concept between a cv-joint and transmission flange 404.The inertia ring 402 is connected through the rubber track 408 with the damper hub 406.The inertia ring 402 further includes an inner ring 475, or generally circular inner portion positioned close to the drive shaft.", "The rubber track 408, similar to rubber track of the first embodiment, may also have an L-shaped configuration.", "The damper hub 406 includes a first surface 406a and a second surface 406b.", "Further, the inertia ring 402 includes a first surface 402a and a second surface 402b.", "In the present embodiment, the first surface 406a of the hub 406 is generally in line and parallel with the first surface 402a of the inertia ring.", "Correspondingly, the second surface 406b of the hub 406 is generally in line and parallel with the second surface 402b of the inertia ring.", "Various other embodiments illustrate the second surface 406b extending past the second surface 402b of the inertia ring 402, and then press fit into a flange.", "The hub 406 of the present embodiment is not press fit into the flange 404.Rather, the hub 406 will be bolted to the flange 404 by means of a bolt 466 (and corresponding drive shaft structure 464, 468) and nut 490.The hub further includes a first outer surface 470 and a second outer surface 472.The first outer surface 470 connects to an outer surface 474 of the rubber track 408 of the inertia ring 402.Further, the second outer surface 472 of the hub 406 connects to an inner surface 476 of the flange 404.A mounting surface 410 for a shaft nut and bolt 490 is provided on the damper hub 406.The mounting surface is generally perpendicular to an inner surface 412 of the damper hub 406.The mounting surface 410 extends away from the inner surface 412 of the damper hub 406 into a center portion of the damper hub 406.The mounting surface 410 is provided to allow a nut or bolt head to rest thereon when extending through the damper assembly 400.Rubber coated spokes 470 are provided on the inertia ring 402 to prevent noise when travel limiting.", "The apertures 420 of the inertia ring 402 are larger in size to accommodate the protrusions 424 of the transmission flange 404.The present invention further includes a method of assembly of the above embodiments of the damper assembly.", "The method of assembling propshaft damper having a hub, an inertia ring and a transmission flange is provided including the steps of applying a rubber track to an inner ring of the inertia ring, the rubber track having an inner surface.", "The rubber may be applied as a separate piece or molded to the metal.", "The method further includes the step of applying a rubber surface to a plurality of apertures on the inertia ring, the rubber of the apertures applied at the same time the rubber track is applied to the inner ring of the inertia ring.", "Again, the rubber may be applied as a coat (such as molded to the metal) or attached as a separate component.", "The method then includes the steps of connecting a first section of the hub to the inner surface of the rubber track in a press-fit configuration and connecting a second section of the hub to an inner surface of a transmission flange in a press fit configuration.", "Alternatively, the hub, the transmission flange and the inertia ring may be molded together.", "This alternate method requires molding a first section of the hub to the inner surface of the rubber track and molding a second section of the hub to an inner surface of the transmission flange.", "All of the components above may be connected via mold bonding or a press-fit configuration.", "The invention is not restricted to the illustrative examples and embodiments described above.", "The embodiments are not intended as limitations on the scope of the invention.", "Methods, apparatus, compositions, and the like described herein are exemplary and not intended as limitations on the scope of the invention.", "Changes therein and other uses will occur to those skilled in the art.", "The scope of the invention is defined by the scope of the appended claims." ] ]
Patent_15875610
[ [ "SPONDYLISTHESIS REDUCTION SYSTEM", "A system for reducing deformities of the vertebrae in the spine includes a first reduction assembly, a second reduction assembly, and a reduction drive assembly.", "The first reduction assembly is configured for attachment to a first reduction tower that attaches to a first vertebra.", "The second reduction assembly is configured for attachment to a second reduction tower that attaches to a second vertebra.", "The reduction drive assembly includes an arcuate rack gear operably coupling the first reduction assembly to the second reduction assembly to translate the first reduction assembly relative to the second reduction assembly along an arcuate path in a first plane." ], [ "1.A system for reducing deformities in a spine, comprising: a first tower assembly; a first reduction assembly, wherein the first reduction assembly is operably coupled to a proximal end of the first tower assembly; a second tower assembly; a second reduction assembly, wherein the second reduction assembly is operably coupled to a proximal end of the second tower assembly, the second reduction assembly further comprising a reduction drive assembly, wherein the reduction drive assembly comprises a rack having an arcuate profile driven by a pinion gear; wherein the reduction drive assembly is operably coupled to the first reduction assembly, such that the reduction drive assembly transmits a leverage and causes relative movement of the first tower assembly from the second tower assembly from an unreduced state into a reduce state.", "2.The system of claim 1, wherein the first reduction assembly further comprises a load transfer link receiver and the second reduction assembly further comprises a load transfer link member.", "3.The system of claim 2, wherein the load transfer link member and the load transfer link receiver are operably engaged and freely translatable relative to one another.", "4.The system of claim 2, wherein the load transfer link member is disposed at an end of the rack.", "5.The system of claim 1, further comprising a locking lever operably coupled to the pinion gear.", "6.The system of claim 1, wherein the first reduction assembly and the second reduction assembly are operably coupled by a load transfer link member, at least a portion of the member having stepped features to define a ratcheted portion, and a load transfer link receiver, at least a portion of the receiver being configured to engage the ratcheted portion of the member.", "7.The system of claim 1, wherein the rack translates in a single plane relative to the second reduction assembly.", "8.The system of claim 1, wherein the rack translates along a curved path having a center of rotation behind and below a distal end of the second tower assembly.", "9.The system of claim 1, wherein the reduction drive assembly further comprises a reduction lever operably coupled to the pinion gear and the second reduction assembly further comprises a handle member.", "10.The system of claim 9, wherein the reduction drive assembly further comprises a reduction pawl operably coupled to the reduction lever and the pinion gear and a locking pawl operably coupled to the rack." ], [ "<SOH> BACKGROUND <EOH>The spine is a flexible column formed of a plurality of bones called vertebrae.", "The vertebrae are hollow and piled one upon the other, forming a strong hollow column for support of the cranium and trunk.", "The hollow core of the spine houses and protects the nerves of the spinal cord.", "The different vertebrae are connected to one another by means of articular processes and intervertebral, fibrocartilaginous bodies.", "Various spinal disorders may cause the spine to become misaligned, curved, and/or twisted or result in fractured and/or compressed vertebrae.", "It is often necessary to surgically correct these spinal disorders.", "The spine includes seven cervical (neck) vertebrae, twelve thoracic (chest) vertebrae, five lumbar (lower back) vertebrae, and the fused vertebrae in the sacrum and coccyx that help to form the hip region.", "While the shapes of individual vertebrae differ among these regions, each is essentially a short hollow shaft containing the bundle of nerves known as the spinal cord.", "Individual nerves, such as those carrying messages to the arms or legs, enter and exit the spinal cord through gaps between vertebrae.", "Spondylolisthesis is the anterior or posterior displacement of a vertebra of the vertebral column in relation to the vertebra below.", "In the lower region of the back where the lumbar vertebrae meet the sacrum, spondylolisthesis may occur more frequently.", "For example, at the L5-S1 level, the fifth lumbar vertebra may slip forward or in the anterior direction relative to the first level of the sacrum.", "Treatment for spondylolisthesis depends on the severity of the slippage.", "For severe cases, surgical correction is required.", "Various systems and methods are known to alleviate and correct spondylolisthesis.", "For example, German Patent 41 27 303, filed Aug. 17, 1991 (also disclosed in European Patent No.", "0528177, filed Jul.", "16, 1992) to Aesculap AG, discloses such a device.", "Other devices include U.S. Pat.", "No.", "6,565,568, filed Sep. 28, 2000 to Rogozinski and U.S. Pat.", "Pub.", "No.", "2009/0216237, filed Jun.", "30, 2006 to Frezal et al.", "However, some of these systems may be difficult to maneuver, attach, and remove from screw heads.", "Some of these systems may make it difficult to insert and secure fixation rods after correcting the slippage without removing portions of the systems.", "The present invention seeks to overcome these problems, and others." ], [ "<SOH> SUMMARY <EOH>Provided herein are systems, apparatuses, and methods for reducing deformities in the spine.", "A system for reducing deformities of the vertebrae in the spine includes a first reduction assembly, a second reduction assembly, and a reduction drive assembly.", "The first reduction assembly is configured for attachment to a first reduction tower that attaches to a first vertebra.", "The second reduction assembly is configured for attachment to a second reduction tower that attaches to a second vertebra.", "The reduction drive assembly includes an arcuate rack gear operably coupling the first reduction assembly to the second reduction assembly to translate the first reduction assembly relative to the second reduction assembly along an arcuate path in a first plane.", "In other features, the first reduction assembly is operably coupled with the arcuate rack gear to enable translation of the first reduction assembly relative to the arcuate rack gear along a linear path in a second plane that is parallel to the first plane.", "In yet other features, the first reduction assembly is operably coupled with the arcuate rack gear to enable rotation relative of the first reduction assembly relative to the arcuate rack gear in a third plane that is perpendicular to the first plane.", "In still other features, the first reduction assembly is configured to rotatably couple with a proximal end of the first reduction tower to permit rotation relative to the first reduction tower in a fourth plane perpendicular to the second plane.", "In other features, the second reduction assembly is configured to rotatably couple with a proximal end of the second reduction tower to permit rotation relative to the second reduction tower in a fifth plane perpendicular to the first plane.", "In yet other features, the arcuate rack gear is pivotally coupled with a receiver that receives a load transfer link of the first reduction assembly.", "In still other features, the reduction drive assembly comprises a reduction lever coupled with a pinion gear configured to engage and drive the arcuate rack gear.", "The reduction drive assembly includes a reduction pawl to restrict movement of the arcuate rack gear to a single direction.", "The reduction drive assembly includes a locking pawl to lock the arcuate rack gear in place.", "In yet other features, the reduction drive assembly is configured to translate the arcuate rack gear along a curved path having a center of rotation behind and below a distal end of the second tower assembly.", "A system for reducing deformities in the spine includes a first tower assembly, a first reduction assembly, a second tower assembly, and a second reduction assembly.", "The first reduction assembly is operably coupled to a proximal end of the first tower assembly.", "The second reduction assembly is operably coupled to a proximal end of the second tower assembly.", "The second reduction assembly further includes a reduction drive assembly.", "The reduction drive assembly includes a rack having an arcuate profile driven by a pinion gear.", "The reduction drive assembly is operably coupled to the first reduction assembly such that the reduction drive assembly transmits a leverage and causes relative movement of the first tower assembly from the second tower assembly from an unreduced state into a reduce state.", "In other features, the first reduction assembly further includes a load transfer link receiver and the second reduction assembly further comprises a load transfer link member.", "The load transfer link member and the load transfer link receiver are operably engaged and freely translatable relative to one another.", "The load transfer link member is disposed at an end of the arcuate rack.", "In other features, a locking lever operably couples to the pinion gear.", "In yet other features, the first reduction assembly and the second reduction assembly are operably coupled by a load transfer link member, at least a portion of the member having stepped features to define a ratcheted portion, and a load transfer link receiver, at least a portion of the receiver being configured to engage the ratcheted portion of the member.", "In still other features, the arcuate rack translates in a single plane relative to the second reduction assembly.", "In yet other features, the arcuate rack translates along a curved path having a center of rotation behind and below a distal end of the second tower assembly.", "In yet other features, the reduction drive assembly further includes a reduction lever operably coupled to the pinion and the second reduction assembly further includes a handle member.", "In still other features, the reduction drive assembly further includes a reduction pawl operably coupled to the reduction lever and the pinion and a locking pawl operably coupled to the rack." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present application is a divisional application of U.S. patent application Ser.", "No.", "14/539,567 filed on Nov. 12, 2014, which in turn claims priority to U.S.", "Provisional Patent Application Ser.", "No.", "61/902,993 filed Nov. 12, 2013, which is incorporate herein by reference in its entirety.", "TECHNICAL FIELD The present disclosure generally relates to the field of spinal orthopedics, and more particularly to instruments for reducing spondylolisthesis.", "BACKGROUND The spine is a flexible column formed of a plurality of bones called vertebrae.", "The vertebrae are hollow and piled one upon the other, forming a strong hollow column for support of the cranium and trunk.", "The hollow core of the spine houses and protects the nerves of the spinal cord.", "The different vertebrae are connected to one another by means of articular processes and intervertebral, fibrocartilaginous bodies.", "Various spinal disorders may cause the spine to become misaligned, curved, and/or twisted or result in fractured and/or compressed vertebrae.", "It is often necessary to surgically correct these spinal disorders.", "The spine includes seven cervical (neck) vertebrae, twelve thoracic (chest) vertebrae, five lumbar (lower back) vertebrae, and the fused vertebrae in the sacrum and coccyx that help to form the hip region.", "While the shapes of individual vertebrae differ among these regions, each is essentially a short hollow shaft containing the bundle of nerves known as the spinal cord.", "Individual nerves, such as those carrying messages to the arms or legs, enter and exit the spinal cord through gaps between vertebrae.", "Spondylolisthesis is the anterior or posterior displacement of a vertebra of the vertebral column in relation to the vertebra below.", "In the lower region of the back where the lumbar vertebrae meet the sacrum, spondylolisthesis may occur more frequently.", "For example, at the L5-S1 level, the fifth lumbar vertebra may slip forward or in the anterior direction relative to the first level of the sacrum.", "Treatment for spondylolisthesis depends on the severity of the slippage.", "For severe cases, surgical correction is required.", "Various systems and methods are known to alleviate and correct spondylolisthesis.", "For example, German Patent 41 27 303, filed Aug. 17, 1991 (also disclosed in European Patent No.", "0528177, filed Jul.", "16, 1992) to Aesculap AG, discloses such a device.", "Other devices include U.S. Pat.", "No.", "6,565,568, filed Sep. 28, 2000 to Rogozinski and U.S. Pat.", "Pub.", "No.", "2009/0216237, filed Jun.", "30, 2006 to Frezal et al.", "However, some of these systems may be difficult to maneuver, attach, and remove from screw heads.", "Some of these systems may make it difficult to insert and secure fixation rods after correcting the slippage without removing portions of the systems.", "The present invention seeks to overcome these problems, and others.", "SUMMARY Provided herein are systems, apparatuses, and methods for reducing deformities in the spine.", "A system for reducing deformities of the vertebrae in the spine includes a first reduction assembly, a second reduction assembly, and a reduction drive assembly.", "The first reduction assembly is configured for attachment to a first reduction tower that attaches to a first vertebra.", "The second reduction assembly is configured for attachment to a second reduction tower that attaches to a second vertebra.", "The reduction drive assembly includes an arcuate rack gear operably coupling the first reduction assembly to the second reduction assembly to translate the first reduction assembly relative to the second reduction assembly along an arcuate path in a first plane.", "In other features, the first reduction assembly is operably coupled with the arcuate rack gear to enable translation of the first reduction assembly relative to the arcuate rack gear along a linear path in a second plane that is parallel to the first plane.", "In yet other features, the first reduction assembly is operably coupled with the arcuate rack gear to enable rotation relative of the first reduction assembly relative to the arcuate rack gear in a third plane that is perpendicular to the first plane.", "In still other features, the first reduction assembly is configured to rotatably couple with a proximal end of the first reduction tower to permit rotation relative to the first reduction tower in a fourth plane perpendicular to the second plane.", "In other features, the second reduction assembly is configured to rotatably couple with a proximal end of the second reduction tower to permit rotation relative to the second reduction tower in a fifth plane perpendicular to the first plane.", "In yet other features, the arcuate rack gear is pivotally coupled with a receiver that receives a load transfer link of the first reduction assembly.", "In still other features, the reduction drive assembly comprises a reduction lever coupled with a pinion gear configured to engage and drive the arcuate rack gear.", "The reduction drive assembly includes a reduction pawl to restrict movement of the arcuate rack gear to a single direction.", "The reduction drive assembly includes a locking pawl to lock the arcuate rack gear in place.", "In yet other features, the reduction drive assembly is configured to translate the arcuate rack gear along a curved path having a center of rotation behind and below a distal end of the second tower assembly.", "A system for reducing deformities in the spine includes a first tower assembly, a first reduction assembly, a second tower assembly, and a second reduction assembly.", "The first reduction assembly is operably coupled to a proximal end of the first tower assembly.", "The second reduction assembly is operably coupled to a proximal end of the second tower assembly.", "The second reduction assembly further includes a reduction drive assembly.", "The reduction drive assembly includes a rack having an arcuate profile driven by a pinion gear.", "The reduction drive assembly is operably coupled to the first reduction assembly such that the reduction drive assembly transmits a leverage and causes relative movement of the first tower assembly from the second tower assembly from an unreduced state into a reduce state.", "In other features, the first reduction assembly further includes a load transfer link receiver and the second reduction assembly further comprises a load transfer link member.", "The load transfer link member and the load transfer link receiver are operably engaged and freely translatable relative to one another.", "The load transfer link member is disposed at an end of the arcuate rack.", "In other features, a locking lever operably couples to the pinion gear.", "In yet other features, the first reduction assembly and the second reduction assembly are operably coupled by a load transfer link member, at least a portion of the member having stepped features to define a ratcheted portion, and a load transfer link receiver, at least a portion of the receiver being configured to engage the ratcheted portion of the member.", "In still other features, the arcuate rack translates in a single plane relative to the second reduction assembly.", "In yet other features, the arcuate rack translates along a curved path having a center of rotation behind and below a distal end of the second tower assembly.", "In yet other features, the reduction drive assembly further includes a reduction lever operably coupled to the pinion and the second reduction assembly further includes a handle member.", "In still other features, the reduction drive assembly further includes a reduction pawl operably coupled to the reduction lever and the pinion and a locking pawl operably coupled to the rack.", "BRIEF DESCRIPTION OF THE DRAWINGS In the accompanying figures, like elements are identified by like reference numerals among the several preferred embodiments of the present invention.", "FIG.", "1A is a first isometric view of the system of the present invention; and FIG.", "1B is a second isometric view of FIG.", "1A.", "FIG.", "2A is a side view of a section of human spine, illustrating displacement of the L5 vertebra due to spondylolisthesis.", "FIG.", "2B is a first view of a portion of the spine and associated bone screws for use with the system according to the principles of the present disclosure.", "FIGS.", "3A-3D are cross-sectional views of a tower assembly of the system and one of the bone screws according to the principles of the present disclosure.", "FIG.", "3E is an illustration of an exemplary polyaxial screw, tower assembly, and screw driver for use with the present invention.", "FIGS.", "4A-4B illustrate the process of preparing the vertebrae for treatment, by installing the polyaxial screws and towers by use of the driver.", "FIG.", "5 is a perspective view of one embodiment of a first reduction assembly of the present invention.", "FIG.", "6A is an isometric view of one embodiment of a second reduction assembly of the present invention; and FIG.", "6B is a cross-sectional view of FIG.", "6A.", "FIGS.", "7A-7B illustrate the process of coupling the first reduction assembly to the first tower.", "FIGS.", "8A-8C illustrate the process of coupling the second reduction assembly to the second tower, and engaging the second reduction assembly with the first reduction assembly.", "FIGS.", "9A-9B illustrate the process of provisionally locking the polyaxial screws with provisional lockers, prior to reduction.", "FIG.", "10A is an isometric view of a driver being applied to a reduction driver assembly of the second reduction assembly; and FIG.", "10B is a side view of FIG.", "10A.", "FIG.", "11A is an isometric view of the installed system of the present invention, after reduction; and FIG.", "11B is a side view of FIG.", "11A.", "FIG.", "12 is a side view of the installed system of the present invention, after reduction and distraction of the vertebrae.", "FIGS.", "13A-13B show exemplary datum curves for the path of movement and centers of rotation of the system of the present invention.", "FIGS.", "14A-14B show an exemplary curvature of the system of the present invention before reduction in retracted and extended states.", "FIGS.", "15A-15B show an exemplary state of the system of the present invention after reduction in extended and retracted states.", "FIGS.", "16A-16D show an alternative embodiment of the present invention, including a reduction lever in place of the knob.", "FIGS.", "17A-17B show an alternative embodiment of the device of FIGS.", "16A-16D, including a reduction handle member.", "FIG.", "18 illustrates the stages of reduction using the alternative embodiment of FIGS.", "16A-16D.", "FIGS.", "19A and 19B illustrate perspective views of an exemplary system for reduction of a spinal deformity coupled with first and second reduction towers.", "FIG.", "20 is a perspective view of a first reduction assembly.", "FIG.", "21 is a perspective view of a second reduction assembly.", "FIG.", "22 is a perspective view of an arcuate rack gear with a pivotally coupled receiver.", "FIGS.", "23A-23C, 24A-24C, and 25A-25C illustrate the reduction assembly of FIGS.", "19A and 19B in various stages of reduction.", "FIG.", "26 is an exploded view of the second reduction assembly of FIG.", "21.FIG.", "27 is an exploded view of the arcuate rack gear and receiver of FIG.", "22.FIG.", "28 is an exploded view of the first reduction assembly of FIG.", "20.FIG.", "29 is an exploded view of an exemplary reduction tower.", "FIG.", "30 is a perspective view of a pair of systems as shown in FIGS.", "19A and 19B including right and left portions that are mirror images.", "DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS The foregoing and other features and advantages of the invention are apparent from the following detailed description of exemplary embodiments, read in conjunction with the accompanying drawings.", "The detailed description and drawings are merely illustrative of the invention rather than limiting, the scope of the invention being defined by the appended claims and equivalents thereof.", "Embodiments of the invention will now be described with reference to the Figures, wherein like numerals reflect like elements throughout.", "The terminology used in the description presented herein is not intended to be interpreted in any limited or restrictive way, simply because it is being utilized in conjunction with detailed description of certain specific embodiments of the invention.", "Furthermore, embodiments of the invention may include several novel features, no single one of which is solely responsible for its desirable attributes or which is essential to practicing the invention described herein.", "The words proximal and distal are applied herein to denote specific ends of components of the instruments described herein.", "A proximal end refers to the end of an instrument nearer to an operator of the instrument when the instrument is being used.", "A distal end refers to the end of a component further from the operator and extending towards the surgical area of a patient and/or the implant.", "Reference to the invention may also be described with respect to coronal, sagittal, and transverse axes of the body.", "The coronal axis refers to an axis running substantially from front (anterior) to back (posterior) of the body and extending through the mid-section.", "The sagittal axis refers to an axis running substantially from left to right of the body and extending through the mid-section to intersect the coronal axis at a right angle.", "The transverse axis refers to an axis running substantially from head to toe of the body and crossing the point where the coronal and sagittal axes intersect at a right angle.", "Furthermore, the coronal, sagittal, and transverse planes refer to the standard definitions associated with each term.", "Namely, the coronal plane being a plane perpendicular to the coronal axis and formed by the transverse and sagittal axes, the sagittal plane being perpendicular to the sagittal axis and formed by the coronal and transverse axes, and the transverse plane being perpendicular to the transverse axis and formed by the sagittal and coronal axes.", "For a mid to high grade spondylolisthesis at the L5-S1 level, the anatomy is exposed and bone screws are placed in the pedicles of the L5 lumbar vertebra bilaterally near the cephalad end of the sacrum.", "Bone screws are also placed in the sacrum.", "A system of instruments may be used to reposition the L5 vertebra relative to the S1 level.", "The system may comprise a set of mirrored tower assemblies which attach to the tops of the screw heads.", "Generally speaking, the sacral (second) towers may provide a relative ground reference for the reduction apparatus while the lumbar (first) towers may act as load transfer structures.", "A drive apparatus mounted to the sacral towers provides forced to produce the necessary anatomical correction.", "The tower assemblies transmit the leverage generated by the drive apparatus into a posterior load on the L5 vertebral body.", "The system applies a generally posteriorly directed force to the vertebral body while allowing the vertebral body to travel posteriorly along a path of least resistance.", "The system may or may not dictate an exact path the vertebral body takes during the reduction procedure.", "Rods can then be placed in the heads of the bone screws and secured in place without removing the system.", "Benefits of the present invention include the ability to attach the tower assemblies and remove them from the screw head in a single action.", "The present invention may also provide the ability to insert fixation rods and secure them with set screws without removing the towers.", "Referring to FIGS.", "1-15, a system 100 for correcting spondylolisthesis is disclosed.", "The system 100 is shown in conjunction with two sets of bone screws inserted into two vertebrae of a spinal column in FIGS.", "4A-B and 7-12.As shown in FIGS.", "1A and 1B, the system 100 may include first tower assembly 102 and second tower assembly 104.The tower assemblies 102 and 104 may be attached to bone screws at the distal end of the tower assemblies 102 and 104.For example, in FIGS.", "2A and 2B, a first set of bone screws 10 has been inserted into a fifth lumbar vertebra L5 and a second set of bone screws 12 has been inserted into the first level of the sacrum 51 through a minimally invasive surgery (MIS) technique, or through any other technique as known in the art.", "The system 100 may be used in conjunction with a spinal fixation system that includes one or more fixation rods (not shown) disposed through a lumen of the tower assemblies 102 and 104 and setscrews (not shown) to permanently align and rigidly fix two or more levels of the spinal column such as the L5 and 51 levels.", "Exemplary bone screws and fixation systems may be found in U.S. Pub.", "No.", "2010/0036443 and U.S. Pub.", "No.", "2009/0171391 both of which are incorporated herein by reference in their entirety.", "Bone screws 10, 12 may comprise polyaxial type screws, monoaxial type screws, or fixed screws, as known in the art.", "Although the system 100 of the present disclosure is described herein with reference to the L5 and S1 levels, the system 100 may be used in other regions of the spine where spondylolisthesis or other slippage of vertebral bodies may occur.", "As shown in FIGS.", "1A-B the tower assemblies 102 and 104 may removably couple with sets of bones screws 10 and 12 respectively via MIS procedures, or other procedures as known in the art.", "The tower assemblies 102 and 104 include a mating feature 109 on the proximal end of the tower assemblies 102 and 104 to couple the towers 102 and 104 to the reduction assemblies 200 and 300 and transmit a leverage generated by the reduction assemblies 200 and 300 to cause relative movement of the tower assembly 102 from tower assembly 104.The first tower assembly 102 may be referred to as a lumbar tower assembly.", "The second tower assembly 104 may be referred to as a sacral tower assembly.", "The tower assemblies 102 and 104 may couple to the bone screws 10 and 12 respectively and in substantially similar fashion.", "Anatomy and the degree of severity of the spondylolisthesis will vary from patient to patient.", "Thus, after placement of the bone screws 10, 12, longitudinal axes of the bone screws 10, 12 may not be co-planer when observed from a viewpoint normal to the transverse plane as shown by FIG.", "2A.", "For example, an angle A may be formed by the axes.", "Additionally, an angle between the longitudinal axes may vary when observed from a viewpoint normal to the sagittal plane as shown by FIG.", "2B.", "For example, an angle B may be formed by the axes.", "To accommodate for the variations between patients and severity of the spondylolisthesis, various interconnecting elements of the system 100 provide sufficient degrees of freedom to allow for variations in placement and actuation of the system 100.Each tower assembly 102 and 104 may include additional features that enable positioning and alignment of the L5 vertebra relative to the S1 level of the sacrum prior to fixation with the rods.", "Because each set of tower assemblies includes mirrored components, references throughout this description may refer to left sides and right sides of the system 100 interchangeably.", "Left and right may indicate the left side and right side from the viewpoint of a patient.", "Furthermore, each left and right tower assembly 102 and 104 may couple with the bone screws in substantially similar fashion.", "As shown in FIGS.", "3A-D, a portion of one tower assembly is shown in conjunction with one of the bone screws.", "For ease of discussion, the description herein will refer to one of the lumbar tower assemblies 102 and one of the L5 vertebra bone screws 10.The lumbar tower assembly 102 may include features to enable single-action coupling with and removal from a receiving portion 20 of the bone screw 10 (receiving portion 24 for bone screw 12).", "For example, the lumbar tower assembly 102 may include clips 106 in sidewalls 108 of the tower assembly 102.The clips 106 may extend along the length of the sidewall and may pivot on pins 110.Each clip 106 may include a proximal end with grips or pads 112 which may be depressed by the surgeon to actuate the clip 106.Each clip 106 may include a distal end with a projection 114, such as a boss or protrusion that extends radially inward from the clip 106.The projection 114 may engages with a recessed portion 22, such as a bore, pocket, or indentation, of the receiver portion 20 of the bone screw 10.A bias mechanism 116, such as a coil spring, leaf spring, or other elastic mechanism, may position the clip 106 into an engaged or closed position with the receiver portion 20.The surgeon may apply force via the pads 112 to position the clip 106 into a disengaged or open position, wherein the projection 114 disengages the receiver portion 20, thus permitting removal of the tower assembly 102 from the screw 10.The projection 114 may include a ramped surface 118 or taper to facilitate coupling with the receiver portion 20 without actuating the clips 106 to the open position.", "The proximal end of the tower assemblies 102 and 104 may also include a mating feature 109 as to allow the reduction assembly members 200 and 300 to be operably coupled to the proximal ends of the tower assemblies 102 and 104.The mating feature 109 may protrude into the surface of the sidewalls 108 and may also protrude outwards from the surface of the sidewalls 108, as to provide a lipped and indented mating feature 109 with a space therebetween.", "In some embodiments, as shown in FIGS.", "1A-B, 3E, 4A-B, and 7-12, the towers 102, 104 may further comprise quick-release engagement features 150, 152.In one embodiment, quick-release engagement features 150, 152 may comprise an aperture through a proximal portion of the wall 108 of the towers 102, 104.The quick-release engagement features 150, 152 may operably engage with a quick-release trigger 212 on the first reduction assembly 200 or a quick-release trigger 312 on the second reduction assembly 300.In some embodiments, the quick-release engagement features 150, 152 and triggers 212, 312 prevent rotation the first and second reduction assemblies 200, 300 relative to the towers 102, 104.FIG.", "3E illustrates one embodiment of an exemplary pedicle screw 10 and tower 102.The tower 102 may further comprise a quick-release engagement feature 150 (or quick-release engagement feature 152 for tower 104).", "In one embodiment, quick-release engagement feature 150 may comprise an aperture through a proximal portion of the wall 108 of the tower 102.A driver 80 may be used to install the pedicle screws 10, 12 in the spine.", "The driver 80 may be any suitable pedicle screw driver, as known in the art.", "In one embodiment, the driver 80 may configured for MIS type screw insertion.", "FIG.", "4A illustrates an exemplary embodiment of the pedicle screws 10, 12 and towers 102, 104 being inserted into the chosen vertebrae.", "In FIG.", "4A, pedicle screw 12 and tower 104 have already been installed, and the driver 80 is inserted within the tower 102 in order to install pedicle screw 10 and tower 102, using an MIS type technique.", "FIG.", "4B shows both pedicle screws 10 and 12 installed in their respective vertebrae, with the driver 80 removed.", "Continuing now with FIG.", "5, the first reduction assembly 200 is shown.", "The first reduction assembly 200 and tower 102 may include additional features that link to the second reduction assembly 300 and tower 104.Once linked, the second reduction assembly 300 may be used to apply forces on the first reduction assembly 200 to reposition the L5 vertebra.", "For example, the first reduction assembly 200 may include a load transfer ring 206, a body member 210, and a load transfer link member/rod 230.The transfer ring 206 may be coupled to the proximal end of the lumbar tower 102, such as by mating features 109 and/or quick-release engagement feature 150 and quick-release trigger 212.In one embodiment, the transfer ring 206 may rotate about a longitudinal axis of the lumbar tower 102.In one embodiment, the transfer ring 206 is fixedly coupled to the proximal end of the lumbar tower 102.In one embodiment, the first reduction assembly 200 may further comprise a quick-release mechanism.", "A quick-release trigger 212 may be operably coupled to the load transfer ring 206 as to lock the proximal end of the tower assembly 102 in place.", "The quick-release trigger 212 may be rotatably coupled to the load transfer ring 206 of the first reduction assembly 200 by way of an opening, a pin, a spring, and/or similar means as known in the art.", "The quick-release trigger 212 may include a locking feature as to mate with the quick-release feature 150 on the proximal end of the first tower assemblies 102.The quick release trigger 212 and the load transfer ring 206 may secure the first reduction assembly 200 to the first tower assembly 102.The transfer link member/rod 230 may be fixedly coupled to a portion of the body member 210 of the first reduction assembly 200, and extend outward therefrom.", "In an alternative embodiment (not shown), the first reduction assembly 200 may comprise load transfer link receiver (as discussed below in relation to the second reduction assembly 300) rather than the load transfer link member/rod 230.The transfer link member/rod 230 extends away from the body member 210, a first end of the transfer link member/rod 230 disposed at the body member 210.A second end of the transfer link member/rod 230 may be configured to engage with the load transfer link receiver 360 of the second reduction assembly 300.In an alternative embodiment, the transfer link member/rod 230 may further comprise means for distraction.", "In one embodiment, the means for distraction may comprise a ratcheted portion of the link member/rod 230, such that the link member/rod 230 includes stepped features as to mate with distraction features on the second reduction assembly 300.In one embodiment, the load transfer link receiver 360 may further comprise a distraction slide lock, distraction trigger, and distraction locking tube configured to operably engage with the link member/rod 230.Such distraction means is more fully discussed in commonly owned and co-pending application Ser.", "No.", "13/835,938, incorporated herein by reference in its entirety.", "Similar means for distraction, as known in the art, may also be used.", "Referring to FIGS.", "6A-B, the second reduction assembly 300 may include a load transfer ring 306 for mounting the second reduction assembly 300 on the proximal end of the second tower assembly 104.A quick-release trigger 312 may be operably coupled with the load transfer ring 306 as to lock the second reduction assembly 300 to the proximal end of the tower assembly 104.The quick-release trigger 312 may be rotatably coupled to the load transfer ring 306 of the second reduction assembly 300 by way of an opening, a pin, a spring, and/or similar means as known in the art.", "The quick-release trigger 312 may include a locking feature as to mate with the quick-release feature 152 on the proximal end of the second tower assemblies 104.The quick-release trigger 312 and the load transfer ring 306 may secure the second reduction assembly 300 to the second tower assembly 104.The second reduction assembly 300 may further comprise a reduction drive assembly 350.The reduction drive assembly 300 may be a rack and pinion type drive.", "In one embodiment, the reduction drive assembly 350 may comprise a body member 310, an arcuate or curved rack 352, a pinion 354 fixedly coupled to a knob 356, and a locking lever 358.The rack 352, pinion 354 and knob 356, and locking lever 358 are all operably and movably coupled to the body member 310.The rack 352 and body member 310 are configured such that the rack 352 may only translate in a single plane relative to the body member 310.The rack 352 may comprise any suitable arc or curvature to permit reduction in the vertical and horizontal directions simultaneously.", "The pinion 354 and knob 356 may be rotatably coupled to the body member 310, such as through one or more apertures in the body member 310.In one embodiment, the pinion 354 includes a bore through which a shaft is disposed, the shaft being fixed to the knob 356.The bore and shaft may be configured to engage such that rotation of the knob 356 and rotation of the pinion 354 are coupled.", "The pinion 354 may comprise a round gear having a plurality of teeth configured to engage with a plurality of teeth 351 on the rack 352 such that rotational motion of the pinion 354 causes linear motion of the rack 352.In some embodiments, the pinion may comprise an alternative shape, so long as the pinion 354 is configured to engage the rack 352 and convert rotational force on the pinion 354 into lateral translation of the rack 352, as known in the art.", "The teeth of the rack 352 and pinion 354 may comprise any suitable configuration such that the translation of the rack 352 is controlled.", "The locking lever 358 may be operably coupled to the body member 310 and the pinion 354.In one embodiment, the locking lever 358 has a locking state and a released state, where the locking state is the default state of the locking lever 358.To release the locking lever 358, the lever 358 may be actuated so as to disengage the lever 358 from the pinion 354.In one embodiment, the locking lever 358 permits one-way rotation of the pinion 354, and must be released prior to reverse motion of the pinion 354.The reduction drive assembly 350 further comprises a load transfer link receiver 360.The receiver 360 may be fixedly coupled to the rack 352.As the rack 352 is advanced by rotation of the pinion 354, the receiver 360 is translated along the same arc as the rack 352.In an alternative embodiment (not shown), the second reduction assembly 300 may comprise a load transfer link member/rod (as discussed above with regard to the first reduction assembly 200) rather than the receiver 360.In an alternative embodiment, the load transfer link receiver 360 may further comprise means for distraction.", "In one embodiment, the means for distraction may comprise a distraction slide lock, a distraction trigger, and a locking tube.", "In one embodiment, the load transfer link/rod 230 may further comprise a ratcheted portion including stepped features as to mate with the distraction slide lock, trigger, and tube.", "Such distraction means is more fully discussed in commonly owned and co-pending application Ser.", "No.", "13/835,938, incorporated herein by reference in its entirety.", "Similar means for distraction, as known in the art, may also be used.", "FIGS.", "14A-B show the system 100 in an unreduced state where the load transfer link member/rod 230 can move freely during the reduction relative to the load transfer link receiver 360.The L5 vertebrae may be reduced into position by levering off of the placed screw 12 in the 51 vertebrae, and the reduced state of the system 100 is shown in FIGS.", "15A-B.", "Distraction can be applied before (see FIG.", "14B) or after (see FIG.", "15A) reduction of the L5.In some embodiments, the system 100 may include features to hold the distraction in place before, during, or after reduction.", "In one embodiment, a distractor may be incorporated into the system 100, as discussed above.", "In another embodiment, a screw to screw distractor may be used to provide the distraction.", "In another embodiment, any means for distraction, as known in the art, may be used to provide the distraction, and/or incorporated into the system 100.In one embodiment, the load transfer link member/rod 230 is freely movable relative to the load transfer link receiver 360.As such, the load transfer link member/rod 230 may be in an extended state (see FIGS.", "14B and 15A) or a retracted state (see FIGS.", "14A and 15B).", "Alternatively, the load transfer link member/rod 230 may be in a partially extended state between the extended state and the retracted state.", "Extension of the load transfer link member/rod 230 may allow the system 100 to accommodate different spacings depending on the position of the screws, vertebrae, etc.", "During reduction, the system 100 may rely on the surrounding tissues to hold the extended load transfer link member/rod 230 in position.", "The load transfer link member/rod 230 may be configured to have any length suitable for the particular procedure in which the system 100 is used.", "FIGS.", "7-12 illustrate the process of attaching and operating the system 100.FIGS.", "7A-B illustrate the process of coupling the first reduction assembly 200 to the first tower 102.In FIG.", "7A, the first reduction assembly 200 is being placed onto the proximal end of the first tower 102, in the manner described above.", "FIG.", "7B shows the first reduction assembly 200 after being coupled to the first tower 102.FIGS.", "8A-C illustrate the process of coupling the second reduction assembly 300 to the second tower 104, and engaging the second reduction assembly 300 with the first reduction assembly 200.In FIG.", "8A, the second reduction assembly 300 is being placed onto the proximal end of the second tower 104, in the manner described above.", "FIG.", "8B shows the second reduction assembly 300 after being coupled to the second tower 104, and the load transfer link member/rod 230 engaging with the load transfer link receiver 360.FIG.", "8C shows a side view of the embodiment of FIG.", "8B, to highlight the displacement of the vertebrae.", "FIGS.", "9A-B illustrate the process of provisionally locking the polyaxial screws with provisional lockers, prior to reduction.", "Prior to reduction, the pedicle screws 10, 12 may be provisionally locked to prevent slippage of the screw heads during reduction.", "Provisional lockers 90, each having distal engagement tip 91 and proximal head 92, may be used to provisionally lock the pedicle screws 10, 12.The lockers 90 may be disposed within the towers 102, 104 such that the engagement tips 91 engage the heads of the screws 10, 12.Once the tips 91 engage the heads of the screws 10, 12, the locker 90 may be rotated to provisionally lock the screw heads.", "In one embodiment, shown in FIG.", "9B, a driver 94 may be used to rotate the lockers 90.The driver 94 may be configured so as to increase the torque that may be applied to rotate the lockers 90.The driver 94 may have a distal engagement member 96 that is configured to engage the heads 92 of the lockers 90.Once the screws 10, 12 are provisionally locked, reduction may begin.", "To drive the reduction, the knob 356 may be rotated to translate the arcuate rack 352 by rotation of the pinion 354.As shown in FIGS.", "10A-B, in one embodiment, the driver 94 discussed above may be used to provide increased torque on the knob 356 to drive the reduction.", "In one embodiment, the knob 356 is configured to couple with the engagement member 96 of the driver 94, such that rotation of the driver 94 rotates the knob 356.As the knob 356 is rotated, the rack 352 is drawn back, or “cammed.” Exemplary depictions of the path of the rack 352 and screw 10 during reduction are shown in FIGS.", "13-15.FIGS.", "11A-B show two views of the system 100 after reduction is completed, showing how the displaced vertebra is relocated by the reduction, as discussed above.", "FIG.", "12 is a side view of the installed system 100 of the present invention, after reduction and distraction of the vertebrae, as discussed above.", "In one embodiment, the system 100 may be used to correct spondylolisthesis at the L5-S1 level of the spine.", "For example, in FIGS.", "2a-b, 4a-b, and 7-10, the L5 vertebra has slipped forward or anteriorly from the S1 level of the sacrum.", "The slippage may occur due to degeneration of disc material between the L5 and S1 levels.", "The slippage may occur from a fracture of degeneration of the vertebral body and/or from fracturing of the L5 vertebra.", "In some cases, bone growth may occur on an upper surface of the S1 level due to rubbing from the L5 vertebra.", "The system 100 may be used to reposition the L5 vertebra into proper alignment with the S1 level and hold the L5 and S1 levels in place while permanent fixation is added in the form of fixation rods and set screws.", "The load transfer link member/rod 230 slidably engages with the transfer load link receiver 360 to permit free translational movement of the L5 vertebra in the sagittal plane.", "Movement of the L5 vertebra is accommodated by the arcuate translation of the rack 352 and the tower 102 and screw 10.As shown in FIGS.", "11A-B, the knob 356 may be rotated and the rack 352 translated until the L5 level is brought into proper alignment with the S1 level.", "As the L5 level is positioned posteriorly, the sliding engagement of the load transfer link member/rod 230 with the transfer link receiver 360 allows the L5 vertebra to follow a path of least resistance.", "Once the vertebra L5 is properly aligned with the S1 level of the sacrum, rods (not shown) may be inserted into the receiving portions 20, 24 of the screws 10 and 12, as known in the art.", "Each tower assembly 102 and 104 may also be cannulated to permit insertion of setscrews within the receiving portions 20, 24 of the screws 10 and 12 to permanently secure the L5-S11 level.", "Additionally, a spacer or other interbody device may be secured between the L5 vertebra and 51 level of the sacrum.", "Bone material may be inserted with the spacer or interbody device to promote bone fusion and bone growth to permanently fuse the L5-S1 level.", "In some embodiments, a distractor or distraction means may be applied after reduction is complete.", "The distractor or distraction means (not shown) may be used to increase the separation between the vertebrae as necessary for any further procedures or steps, as shown in FIG.", "12.FIGS.", "13-15 show exemplary datum curves of the system 100 for the path of movement and centers of rotation.", "As shown, the center of rotation 1310 may be located behind and below the screw 12 of the second tower 104.In some embodiments, the center of rotation 1310 substantially centers on the dome of the sacrum so that the natural curve of the sacrum may be followed and cammed around.", "The path of the screw 10 during reduction is shown by curve 1320, which is the path the L5 screw 10 will follow during reduction (see 13a-b).", "FIGS.", "14A-B show exemplary datum curves of the system 100 before reduction in retracted (FIG.", "14A) and extended (FIG.", "14B) states.", "FIGS.", "15A-B show exemplary datum curves of the system 100 after reduction in extended (FIG.", "15A) and retracted (FIG.", "15B) states.", "An alternative embodiment of the device is shown in FIGS.", "16A-D, where the device 600 may comprise a drive assembly 450 that uses a reduction lever 456 in place of the knob 356 to drive the pinion 454.In this embodiment, the second reduction assembly 400 is generally similar to the second reduction assembly 300.The reduction assembly 400 may include a load transfer ring 406 for mounting the second reduction assembly 400 on the proximal end of the second tower assembly 104.A quick-release trigger 412 may be operably coupled with the load transfer ring 406 as to lock the second reduction assembly 400 to the proximal end of the tower assembly 104.The quick-release trigger 412 may be rotatably coupled to the load transfer ring 406 of the second reduction assembly 400 by way of an opening, a pin, a spring, and/or similar means as known in the art.", "The quick-release trigger 412 may include a locking feature as to mate with the quick-release feature 152 on the proximal end of the second tower assemblies 104.The quick-release trigger 412 and the load transfer ring 406 may secure the second reduction assembly 400 to the second tower assembly 104.The second reduction assembly 400 may further comprise a reduction drive assembly 450.The reduction drive assembly 400 may be a rack and pinion type drive.", "In one embodiment, the reduction drive assembly 450 may comprise a body member 410, an arcuate or curved rack 452, a pinion 454 coupled to a reduction lever 456, and a locking pawl 458.The rack 452, pinion 454 and lever 456, and locking pawl 458 are all operably and movably coupled to the body member 410.The rack 452 and body member 410 are configured such that the rack 452 may only translate in a single plane relative to the body member 410.The rack 452 may comprise any suitable arc or curvature to permit reduction in the vertical and horizontal directions simultaneously.", "The pinion 454 and reduction lever 456 may be rotatably coupled to the body member 410, such as through one or more apertures in the body member 410.In one embodiment, the pinion 454 includes a bore through which a shaft is disposed, the shaft being fixed to the lever 456.The bore and shaft may be configured to engage such that movement of the lever 456 and rotation of the pinion 454 are coupled.", "The pinion 454 may comprise a round gear having a plurality of teeth configured to engage with a plurality of teeth on the rack 452 such that rotational motion of the pinion 454 causes linear motion of the rack 452.In some embodiments, the pinion 454 may comprise an alternative shape, so long as the pinion 454 is configured to engage the rack 452 and convert rotational force on the pinion 454 into lateral translation of the rack 452, as known in the art.", "The teeth of the rack 452 and pinion 454 may comprise any suitable configuration such that the translation of the rack 452 is controlled.", "In some embodiments, the reduction lever 456 may further comprise a reduction pawl 470.The reduction pawl 470 may be configured to operably couple the reduction lever 456 and pinion 454 such that actuation of the lever 456 moves the pinion 454 in a single direction.", "A locking ridge 471 may be disposed on the reduction pawl 470, such that the locking ridge 471 is configured to engage the pinion 454 and permit one way translation of the lever 456 relative to the pinion 454.In use, the ridge 471 will freely permit the lever 456 to actuate in one direction to “load” the lever 456.As the lever 456 is actuated in the opposite direction, the ridge 471 will engage the pinion 454 and cause the pinion 4 to rotate with the lever 456, advancing the rack 452.A spring 472 may be used to provide tension on the reduction pawl 470.The locking pawl 458 may be operably coupled to the body member 410 and the rack 452.In one embodiment, the locking pawl 458 has a locking state and a released state, where the locking state is the default state of the locking pawl 458.To release the locking pawl 458, the pawl 458 may be actuated so as to disengage the pawl 458 from the rack 452.In one embodiment, the locking pawl 458 permits one-way translation of the rack 452, and must be released prior to reverse motion of the rack 452.A locking ridge 459 may be disposed on the locking pawl 458, such that the ridge 459 is configured to engage the rack 452.The ridge 459 may be configured such that the rack 452 may advance in a single direction without release of the pawl 458.A torsion spring 476 may be operably coupled to the pawl 458 and the body 410.Together, the reduction pawl 470 and locking pawl 458 operate to permit a ratchet-like functionality to the reduction drive assembly 450.One of the reduction pawl 470 and locking pawl 458 is engaged with either the pinion 454 or rack 452 in each direction of motion.", "As the lever 456 is being “loaded,” the locking pawl 458 engages the rack 452 to prevent slippage of the reduction drive assembly 450, while the reduction pawl 470 permits the lever 456 to freely rotate relative to the pinion 454.As the lever 456 is actuated to impart reduction, the locking pawl 458 permits the rack 452 to freely translate relative to the locking pawl 458, while the reduction pawl 470 engages the pinion 454 and causes the pinion 454 to rotate as the lever 456 is actuated.", "In this manner, the reduction drive assembly 450 may easily be operated through a plurality of stages of partial reduction, as illustrated in FIG.", "18.The reduction drive assembly 450 further comprises a load transfer link receiver 460.The receiver 460 may be fixedly coupled to the rack 452.As the rack 452 is advanced by rotation of the pinion 454, the receiver 460 is translated along the same arc as the rack 452.In an alternative embodiment (not shown), the second reduction assembly 400 may comprise a load transfer link member/rod (as discussed above with regard to the first reduction assembly 200) rather than the receiver 460.FIGS.", "17A-B show an alternative embodiment of the device illustrated in FIGS.", "16A-D.", "The device 700 is generally identical to the device 600 of FIGS.", "16A-D, but the reduction drive assembly 450 may further comprise a reduction handle member 480.The reduction handle member 480 provides a grip point to assist in actuation of the reduction lever 456.In one embodiment, the reduction handle member 480 may comprise a generally rectangular projection from the body member 410 of the reduction drive assembly 450.In some embodiments, the reduction handle member 480 may comprise an alternative shape, as known in the art, including but not limited to: a curved handle, a handle with finger grips, cylindrical, and/or the like.", "Referring now to FIG.", "19A through FIG.", "29, an assembly 800 for reduction of a spinal deformity includes similar features as the previously described embodiments.", "Like numerals are used for like features throughout.", "The exemplary assembly 800 depicted may be used alone or as a pair of assemblies including assembly 800 and a mirror image of assembly 800 as shown in FIG.", "30.For ease of discussion, assembly 800 is described below with reference to attachment to the spine on a left side of the vertebrae.", "The assembly 800 includes features for attachment to tower assemblies 102 and 104 which may be referred to as a cephalad tower assembly 102 and a caudal tower assembly 104 described in greater detail with reference to FIG.", "29.The assembly 800 includes first body member 210 and second body member 410 which may include the same or similar load transfer rings 206 and 406 respectively for attachment to the tower assemblies 102 and 104.The assembly includes the load transfer link 230 extending from the first body member 210 to the receiver 460 of the rack 452.The rack 452 may position the cephalad tower assembly 102 relative to the caudal tower assembly 104 simultaneously in multiple planes and directions.", "A drive system 850 similar to the drive system 450 described above may couple with the rack 452.The drive system 850 includes a similar drive mechanism for ratcheted engagement of the rack 452 via lever 456 which selectively engages and disengages the rack 452 to advance and lock the rack 452 in position.", "The reduction handle 480 may be used to apply a force to the lever 456 to advance the rack 452.The receiver 460 may be pivotably coupled to a distal end of the rack 452 to permit additional freedom of movement of the attached cephalad tower assembly 102.For example, as shown in FIG.", "22, the rack 452 may be pivotably coupled with the receiver 460 by a pin 461.Referring now to figure sets including FIGS.", "23A-23C, 24A-24C, and 25A-25C, actuation of the assembly 800 demonstrates the multiple degrees or rotation and translation available for reducing a spinal deformity.", "The rack 452 may be advanced along an arcuate path AA in a first plane.", "The load transfer link 230 may slidably advance along a linear path BB within the receiver 460.The linear path BB may lie in the first plane or a parallel plane and along a common longitudinal axis shared by a bore in the receiver 460 and the load transfer link 230.The receiver 460 may rotate in a path CC about a distal end of the rack 452.The path CC may lie in the first plane or a parallel plane.", "The body member 210 may rotate in a circular path DD in a second plane normal to the longitudinal axis and substantially perpendicular to the first plane.", "For example, the body member 210 may be rotatable coupled to an end of the load transfer link 230.Alternately, the body member 210 may be fixed to the end of the load transfer link but may rotate freely within the receiver 460 for the same effect.", "Last, the cephalad tower assembly 102 (not shown) may rotate within the load transfer ring 206 in a circular path EE in a third plane that is substantially perpendicular to the second plane and the first plane.", "FIG.", "26 illustrates an exploded view of the body member 410 and drive mechanism 450 of the assembly 800.FIG.", "27 illustrates an exploded view of the rack 456 and receiver 460.FIG.", "28 illustrates an exploded view of the body member 210 and load transfer link 230.FIG.", "29 illustrates an exploded view of a tower assembly 102/104.Referring now to FIG.", "26, the reduction handle 480 may be offset from the load transfer ring 406 and extend parallel to a longitudinal axis of the load transfer ring 406.The reduction lever 456 may be pivotally coupled to the handle 480 by a pinion pin 455.The pinion pin may capture the pinion gear 454 within a portion of the reduction lever 456.Actuation of the reduction lever 456 thus turns the pinion gear 454 which in turn translates the rack 452.The locking pawl 458 may also be pivotally coupled to the reduction handle 480 by a guide pin 413.The locking pawl 458 may be biased into engagement with the rack 452 to lock the rack 452 in a predetermined position after advancement by the reduction lever 456.The reduction pawl 470 may be biased via spring 472 and allow movement of the rack 452 in a single direction as described above.", "Referring now to FIG.", "27, the rack 452 pivotally couples with the receiver 460 by engagement of a pivot pin 461 with bores 462 in an end of the receiver 460 and a bore 463 in an end of the rack 452.The rack 452 may further include a plurality of teeth 451 on a proximal surface for engagement with the pinion gear 454 and guide channels 453 on sides that engage portions of the body member 410 to guide the arcuate translation of the rack 452.In FIG.", "28, the body member 210 may pivotally couple with the load transfer link 230 via a bore 211 in one end of the body member 210.The bore 211 may extend perpendicular to the load transfer ring 206.FIG.", "29 illustrates an exemplary tower such as the first or cephalad tower 102.The tower 102 may include all or some of the features as described above with reference to FIGS.", "3A-3D.", "In other examples of the present invention, the towers may be of various shapes, sizes, lengths, etc.", "for various patient anatomies.", "The assembly 800 and any of the exemplary assemblies and instruments for spondylolisthesis reduction may be used with various styles of towers as the coupling features such as quick release mechanisms 212 and 412 may be modified for other styles of connections.", "Example embodiments of the methods and systems of the present invention have been described herein.", "As noted elsewhere, these example embodiments have been described for illustrative purposes only, and are not limiting.", "Other embodiments are possible and are covered by the invention.", "Such embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.", "Thus, the breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents." ] ]
Patent_15875612
[ [ "METHOD AND APPARATUS FOR COUNTING DEVICES RELATED TO BROADCAST DATA SERVICES", "Methods and apparatuses are provided that include counting devices for broadcast data services.", "The devices can be counted based on registrations received from the devices.", "This registration count can additionally or alternatively be used to determine whether further counting is desired.", "In addition, base stations can transmit counting requests to the devices using a paging message or other message such that idle mode devices can receive the counting requests.", "The idle mode devices can respond to the requests or send autonomous counting report by switching to an active mode for the purpose of responding or another purpose." ], [ "1.A method of communication by a user equipment (UE) with a base station, comprising: transmitting a registration request for a multimedia broadcast and multicast service (MBMS) service to the base station, the registration request comprising an identity of the UE, an indication of the MBMS service for which registration is requested and a location for the UE; receiving the MBMS service based on the registration request; and autonomously reporting counting to the base station, the counting being related to the reception of the MBMS service from the base station.", "2.The method of claim 1, wherein the receiving the MBMS service includes switching the UE to an active mode.", "3.The method of claim 2, wherein the switching to the active mode is in response to one of: a random access procedure; a network request from an application; reception of a paging signals from the base station; origination of a unicast data call; an update of interest in the MBMS service; or a change in the receiving the MBMS service.", "4.The method of claim 1, wherein the location for the UE comprises a cell sector identifier for the base station.", "5.The method of claim 1, wherein the MBMS service is a unicast service or a multicast service.", "6.A user equipment (UE) for communicating with a base station, comprising: means for transmitting a registration request for a multimedia broadcast and multicast service (MBMS) service to the base station, the registration request comprising an identity of the UE, an indication of the MBMS service for which registration is requested and a location for the UE; means for receiving the MBMS service based on the registration request; and means for autonomously reporting counting to the base station, the counting being related to the reception of the MBMS service from the base station.", "7.The UE of claim 6, wherein the means for receiving the MBMS service includes means for switching the UE to an active mode.", "8.The UE of claim 7, wherein the switching to the active mode is in response to one of: a random access procedure; a network request from an application; reception of a paging signals from the base station; origination of a unicast data call; an update of interest in the MBMS service; or a change in the receiving the MBMS service.", "9.The UE of claim 6, wherein the location for the UE comprises a cell sector identifier for the base station.", "10.The UE of claim 6, wherein the MBMS service is a unicast service or a multicast service.", "11.A user equipment (UE) for communicating with a base station, comprising: at least one processor configured to: transmit a registration request for a multimedia broadcast and multicast service (MBMS) service to the base station, the registration request comprising an identity of the UE, an indication of the MBMS service for which registration is requested and a location for the UE; receive the MBMS service based on the registration request; and autonomously report counting to the base station, the counting being related to the reception of the MBMS service from the base station.", "12.The UE of claim 11, wherein the receiving the MBMS service includes switching the UE to an active mode.", "13.The UE of claim 12, wherein the switching to the active mode is in response to one of: a random access procedure; a network request from an application; reception of a paging signals from the base station; origination of a unicast data call; an update of interest in the MBMS service; or a change in the receiving the MBMS service.", "14.The UE of claim 11, wherein the location for the UE comprises a cell sector identifier for the base station.", "15.The UE of claim 11, wherein the MBMS service is a unicast service or a multicast service.", "16.A computer program product for communicating by a user equipment (UE) with a base station, comprising: a non-transitory computer-readable medium, comprising: code for transmitting a registration request for a multimedia broadcast and multicast service (MBMS) service to the base station, the registration request comprising an identity of the UE, an indication of the MBMS service for which registration is requested and a location for the UE; code for receiving the MBMS service based on the registration request; and code for autonomously reporting counting to the base station, the counting being related to the reception of the MBMS service from the base station.", "17.The computer program product of claim 16, wherein the code for receiving the MBMS services includes code for switching the UE to an active mode.", "18.The computer program product of claim 17, wherein the switching to the active mode is in response to one of: a random access procedure; a network request from an application; reception of a paging signals from the base station; origination of a unicast data call; an update of interest in the MBMS service; or a change in the receiving the MBMS service.", "19.The computer program product of claim 16, wherein the location for the UE comprises a cell sector identifier for the base station.", "20.The computer program product of claim 16, wherein the MBMS service is a unicast service or a multicast service." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>The following presents a simplified summary of one or more aspects in order to provide a basic understanding of such aspects.", "This summary is not an extensive overview of all contemplated aspects, and is intended to neither identify key or critical elements of all aspects nor delineate the scope of any or all aspects.", "Its sole purpose is to present some concepts of one or more aspects in a simplified form as a prelude to the more detailed description that is presented later.", "In accordance with one or more aspects and corresponding disclosure thereof, the present disclosure describes various aspects in connection with improving counting procedures for broadcast data services.", "For example, various counting procedures are described that consider idle mode devices, utilize radio resources more efficiently, and/or the like.", "In one example, a registration-based counting can be provided where a network counts devices upon receiving a registration for a broadcast service.", "In another example, the network can determine whether to enable counting and/or whether to send a counting request for refined counting based on the number of devices currently registered to receive the service.", "Moreover, for example, idle mode devices can report counting as part of a random access procedure, in response to a counting request, and/or the like.", "This can include deferring reporting until the device switches to an active mode (e.g., for a purpose other than responding to the counting request).", "In other examples, the counting can be based on other device-based behavior, such as activating/deactivating broadcast service, autonomous reporting by the devices, etc.", "According to an example, a method for counting devices related to broadcast data is provided.", "The method includes receiving a registration request for broadcast data related to a device and incrementing a registration count based in part on the receiving the registration request.", "The method further includes determining whether to enable counting of devices from one or more base stations based in part on the registration count.", "In another aspect, an apparatus for counting devices related to broadcast data is provided.", "The apparatus means for receiving a registration request for broadcast data related to a device and means for incrementing a registration count based in part on the registration request.", "The apparatus further includes means for determining whether to request counting of devices from one or more base stations based in part on the registration count.", "In yet another aspect, an apparatus for wireless communication is provided that includes at least one processor configured to receive a registration request for broadcast data related to a device, increment a registration count based in part on the registration request, and determine whether to request counting of devices from one or more base stations based in part on the registration count.", "The apparatus further includes a memory coupled to the at least one processor.", "Still, in another aspect, a computer-program product for counting devices related to broadcast data is provided including a non-transitory computer-readable medium having code for causing at least one computer to receive a registration request for broadcast data related to a device.", "The computer-readable medium further includes code for causing the at least one computer to increment a registration count based in part on the registration request and code for causing the at least one computer to determine whether to request counting of devices from one or more base stations based in part on the registration count.", "Moreover, in an aspect, an apparatus for counting devices related to broadcast data is provided that includes a registration information receiving component for receiving a registration request for broadcast data related to a device and a device counting component for incrementing a registration count based in part on the registration request.", "The apparatus further includes a counting request determining component for determining whether to request counting of devices from one or more base stations based in part on the registration count.", "According to another example, a method for responding to a counting request for multicast broadcast data is provided.", "The method includes receiving a counting request from a base station while communicating in an idle mode with the base station and switching to an active mode for communicating with the base station.", "The method further includes responding to the counting request while in the active mode.", "In another aspect, an apparatus for broadcast communication with a base station is provided.", "The apparatus includes means for receiving a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station and means for switching to an active mode for communicating with the base station.", "The apparatus further includes means for responding to the counting request while in the active mode.", "In yet another aspect, an apparatus for wireless communication is provided that includes at least one processor configured to receive a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station, switch to an active mode for communicating with the base station, and respond to the counting request while in the active mode.", "The apparatus further includes a memory coupled to the at least one processor.", "Still, in another aspect, a computer-program product for broadcast communication with a base station is provided including a non-transitory computer-readable medium having code for causing at least one computer to receive a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station.", "The computer-readable medium further includes code for causing the at least one computer to switch to an active mode for communicating with the base station and code for causing the at least one computer to respond to the counting request while in the active mode.", "Moreover, in an aspect, an apparatus for broadcast communication with a base station is provided that includes a counting request receiving component for receiving a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station and a communication mode component for switching to an active mode for communicating with the base station.", "The apparatus further includes a counting request responding component for responding to the counting request while in the active mode.", "To the accomplishment of the foregoing and related ends, the one or more aspects comprise the features hereinafter fully described and particularly pointed out in the claims.", "The following description and the annexed drawings set forth in detail certain illustrative features of the one or more aspects.", "These features are indicative, however, of but a few of the various ways in which the principles of various aspects may be employed, and this description is intended to include all such aspects and their equivalents." ], [ "CLAIM OF PRIORITY UNDER 35 U.S.C.", "§ 119 The present Application for Patent is a divisional of U.S. patent application Ser.", "No.", "13/362,829 entitled “METHOD AND APPARARATUS FOR COUNTING DEVICES RELATED TO BROADCAST DATA SERVICES” filed Jan. 31, 2012, which claims priority to Provisional Application No.", "61/440,800, entitled “SYSTEMS AND METHODS FOR PROVIDING SERVICES IN A WIRELESS NETWORK” filed Feb. 8, 2011, and Provisional Application No.", "61/506,512, entitled “SYSTEMS AND METHODS FOR PROVIDING BROADCAST/MULTICAST SERVICES IN A WIRELESS NETWORK” filed Jul.", "11, 2011, all of which are assigned to the assignee hereof and hereby expressly incorporated by reference herein.", "BACKGROUND Field The following description relates generally to wireless network communications, and more particularly to broadcast/multicast services.", "Background Wireless communication systems are widely deployed to provide various types of communication content such as, for example, voice, data, and so on.", "Typical wireless communication systems may be multiple-access systems capable of supporting communication with multiple users by sharing available system resources (e.g., bandwidth, transmit power, .", ".", ".", ").", "Examples of such multiple-access systems may include code division multiple access (CDMA) systems, time division multiple access (TDMA) systems, frequency division multiple access (FDMA) systems, orthogonal frequency division multiple access (OFDMA) systems, and the like.", "Additionally, the systems can conform to specifications such as third generation partnership project (3GPP) (e.g., 3GPP LTE (Long Term Evolution)/LTE-Advanced), ultra mobile broadband (UMB), evolution data optimized (EV-DO), etc.", "Generally, wireless multiple-access communication systems may simultaneously support communication for multiple mobile devices.", "Each mobile device may communicate with one or more base stations via transmissions on forward and reverse links.", "The forward link (or downlink) refers to the communication link from base stations to mobile devices, and the reverse link (or uplink) refers to the communication link from mobile devices to base stations.", "Further, communications between mobile devices and base stations may be established via single-input single-output (SISO) systems, multiple-input single-output (MISO) systems, multiple-input multiple-output (MIMO) systems, and so forth.", "Base stations can also provide broadcast/multicast communications to one or more devices.", "In one example, the base stations can broadcast signals including data for multiple services within one or more subframes or other periods of time.", "In this example, the devices can obtain certain data from the signals; for example, the devices can subscribe to a service from the one or more base stations that broadcasts the signals, and in one example, can receive corresponding instructions for decoding the data from the signals.", "Examples of broadcast services can include Multimedia Broadcast and Multicast Service (MBMS), evolved MBMS (eMBMS), both of which are defined in LTE, and/or similar broadcast services for other network types.", "Furthermore, eMBMS for example, provides a network-based counting procedure to obtain a number of devices receiving or decoding the broadcast signals from a base station by requesting the base station to broadcast a Counting Request.", "The Counting Request can identify certain devices, for example, and the devices receiving the Counting Request and determining that the request relates to the device can respond with a Counting Response over a unicast channel.", "Based on the responses received, the base station can determine a count of devices receiving broadcast services from the base station, and can report the count to the network.", "The count, however, may not be accurate as it only measures devices in a connected mode.", "In addition, such a polling procedure may require devices to wake up during certain time intervals and expend radio resources to respond to the Counting Request.", "SUMMARY The following presents a simplified summary of one or more aspects in order to provide a basic understanding of such aspects.", "This summary is not an extensive overview of all contemplated aspects, and is intended to neither identify key or critical elements of all aspects nor delineate the scope of any or all aspects.", "Its sole purpose is to present some concepts of one or more aspects in a simplified form as a prelude to the more detailed description that is presented later.", "In accordance with one or more aspects and corresponding disclosure thereof, the present disclosure describes various aspects in connection with improving counting procedures for broadcast data services.", "For example, various counting procedures are described that consider idle mode devices, utilize radio resources more efficiently, and/or the like.", "In one example, a registration-based counting can be provided where a network counts devices upon receiving a registration for a broadcast service.", "In another example, the network can determine whether to enable counting and/or whether to send a counting request for refined counting based on the number of devices currently registered to receive the service.", "Moreover, for example, idle mode devices can report counting as part of a random access procedure, in response to a counting request, and/or the like.", "This can include deferring reporting until the device switches to an active mode (e.g., for a purpose other than responding to the counting request).", "In other examples, the counting can be based on other device-based behavior, such as activating/deactivating broadcast service, autonomous reporting by the devices, etc.", "According to an example, a method for counting devices related to broadcast data is provided.", "The method includes receiving a registration request for broadcast data related to a device and incrementing a registration count based in part on the receiving the registration request.", "The method further includes determining whether to enable counting of devices from one or more base stations based in part on the registration count.", "In another aspect, an apparatus for counting devices related to broadcast data is provided.", "The apparatus means for receiving a registration request for broadcast data related to a device and means for incrementing a registration count based in part on the registration request.", "The apparatus further includes means for determining whether to request counting of devices from one or more base stations based in part on the registration count.", "In yet another aspect, an apparatus for wireless communication is provided that includes at least one processor configured to receive a registration request for broadcast data related to a device, increment a registration count based in part on the registration request, and determine whether to request counting of devices from one or more base stations based in part on the registration count.", "The apparatus further includes a memory coupled to the at least one processor.", "Still, in another aspect, a computer-program product for counting devices related to broadcast data is provided including a non-transitory computer-readable medium having code for causing at least one computer to receive a registration request for broadcast data related to a device.", "The computer-readable medium further includes code for causing the at least one computer to increment a registration count based in part on the registration request and code for causing the at least one computer to determine whether to request counting of devices from one or more base stations based in part on the registration count.", "Moreover, in an aspect, an apparatus for counting devices related to broadcast data is provided that includes a registration information receiving component for receiving a registration request for broadcast data related to a device and a device counting component for incrementing a registration count based in part on the registration request.", "The apparatus further includes a counting request determining component for determining whether to request counting of devices from one or more base stations based in part on the registration count.", "According to another example, a method for responding to a counting request for multicast broadcast data is provided.", "The method includes receiving a counting request from a base station while communicating in an idle mode with the base station and switching to an active mode for communicating with the base station.", "The method further includes responding to the counting request while in the active mode.", "In another aspect, an apparatus for broadcast communication with a base station is provided.", "The apparatus includes means for receiving a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station and means for switching to an active mode for communicating with the base station.", "The apparatus further includes means for responding to the counting request while in the active mode.", "In yet another aspect, an apparatus for wireless communication is provided that includes at least one processor configured to receive a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station, switch to an active mode for communicating with the base station, and respond to the counting request while in the active mode.", "The apparatus further includes a memory coupled to the at least one processor.", "Still, in another aspect, a computer-program product for broadcast communication with a base station is provided including a non-transitory computer-readable medium having code for causing at least one computer to receive a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station.", "The computer-readable medium further includes code for causing the at least one computer to switch to an active mode for communicating with the base station and code for causing the at least one computer to respond to the counting request while in the active mode.", "Moreover, in an aspect, an apparatus for broadcast communication with a base station is provided that includes a counting request receiving component for receiving a counting request related to receiving broadcast data from a base station while communicating in an idle mode with the base station and a communication mode component for switching to an active mode for communicating with the base station.", "The apparatus further includes a counting request responding component for responding to the counting request while in the active mode.", "To the accomplishment of the foregoing and related ends, the one or more aspects comprise the features hereinafter fully described and particularly pointed out in the claims.", "The following description and the annexed drawings set forth in detail certain illustrative features of the one or more aspects.", "These features are indicative, however, of but a few of the various ways in which the principles of various aspects may be employed, and this description is intended to include all such aspects and their equivalents.", "BRIEF DESCRIPTION OF THE DRAWINGS The disclosed aspects will hereinafter be described in conjunction with the appended drawings, provided to illustrate and not to limit the disclosed aspects, wherein like designations denote like elements, and in which: FIG.", "1 is a multiple access wireless communication system according to an embodiment described herein.", "FIG.", "2 is a block diagram of a communication system according to an embodiment described herein.", "FIG.", "3 is a block diagram of a wireless communication network for providing broadcast data communication.", "FIG.", "4 is a block diagram of various components that may be utilized in a wireless communication system.", "FIG.", "5 is an example communication configuration from a base station communicating broadcast data.", "FIG.", "6 is an aspect of an example system for counting device registrations.", "FIG.", "7 is an aspect of an example system for counting devices registering to receive broadcast data.", "FIG.", "8 is an aspect of an example system for counting devices registering to receive broadcast data over hyper text transfer protocol.", "FIG.", "9 is an aspect of an example system for requesting a counting of devices receiving or interested in receiving broadcast data.", "FIG.", "10 is an aspect of an example system for responding to counting requests received in idle mode communications.", "FIG.", "11 is an aspect of an example system for counting devices receiving or interested in receiving broadcast data including idle mode devices.", "FIG.", "12 is an aspect of an example system for counting devices receiving or interested in receiving broadcast data based on activation/deactivation of the broadcast service.", "FIG.", "13 is an aspect of an example system for counting devices receiving or interested in receiving broadcast data by responding to counting requests after a period of time.", "FIG.", "14 is a flow chart of an aspect of a methodology for obtaining broadcast data.", "FIG.", "15 is a flow chart of an aspect of a methodology for determining whether to enable counting based on a registration count.", "FIG.", "16 is a flow chart of an aspect of a methodology for determining whether to activate multicast broadcast services based on a registration count.", "FIG.", "17 is a flow chart of an aspect of a methodology for responding to counting requests.", "FIG.", "18 is a block diagram of an example system that determines whether to enable counting based on a registration count.", "FIG.", "19 is a block diagram of an example system that responds to counting requests.", "FIG.", "20 is a block diagram of an aspect of an example mobile device in accordance with aspects described herein.", "FIG.", "21 is a block diagram of an aspect of a computer device in accordance with aspects described herein.", "FIG.", "22 is a block diagram of an example system in accordance with aspects described herein.", "DETAILED DESCRIPTION Various aspects are now described with reference to the drawings.", "In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of one or more aspects.", "It may be evident, however, that such aspect(s) may be practiced without these specific details.", "Described further herein are various considerations related to improving counting of devices that receive and/or would like to receive data from one or more broadcast services.", "For example, counting can be based on device registration for the broadcast service.", "Thus, for example, upon receiving a registration request from a device, a network can increment a count of devices (e.g., and/or can decrement the count upon receiving a deregistration request).", "In addition, the network can determine whether to obtain a more accurate count by transmitting counting requests based in part on the number of registered devices reported by the base station.", "In another example, devices communicating in an idle mode can be counted by allowing the devices to switch to an active mode and transmit a counting response.", "In an example, the device can switch to the active mode for another purpose, but can respond to a previous counting request while in active mode.", "Moreover, in other examples, devices can autonomously report counting to the base station, report counting when activating/deactivating receiving the broadcast data, and/or the like.", "As used in this application, the terms “component,” “module,” “system” and the like are intended to include a computer-related entity, such as but not limited to hardware, firmware, a combination of hardware and software, software, or software in execution, etc.", "For example, a component may be, but is not limited to being, a process running on a processor, a processor, an object, an executable, a thread of execution, a program, and/or a computer.", "By way of illustration, both an application running on a computing device and the computing device can be a component.", "One or more components can reside within a process and/or thread of execution and a component may be localized on one computer and/or distributed between two or more computers.", "In addition, these components can execute from various computer readable media having various data structures stored thereon.", "The components may communicate by way of local and/or remote processes such as in accordance with a signal having one or more data packets, such as data from one component interacting with another component in a local system, distributed system, and/or across a network such as the Internet with other systems by way of the signal.", "Furthermore, various aspects are described herein in connection with a terminal, which can be a wired terminal or a wireless terminal.", "A terminal can also be called a system, device, subscriber unit, subscriber station, mobile station, mobile, mobile device, remote station, remote terminal, access terminal, user terminal, terminal, communication device, user agent, user device, or user equipment (UE), etc.", "A wireless terminal may be a cellular telephone, a satellite phone, a cordless telephone, a Session Initiation Protocol (SIP) phone, a wireless local loop (WLL) station, a personal digital assistant (PDA), a handheld device having wireless connection capability, a computing device, a tablet, a smart book, a netbook, or other processing devices connected to a wireless modem, etc.", "Moreover, various aspects are described herein in connection with a base station.", "A base station may be utilized for communicating with wireless terminal(s) and may also be referred to as an access point, a Node B, evolved Node B (eNB), or some other terminology.", "Moreover, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or.” That is, unless specified otherwise, or clear from the context, the phrase “X employs A or B” is intended to mean any of the natural inclusive permutations.", "That is, the phrase “X employs A or B” is satisfied by any of the following instances: X employs A; X employs B; or X employs both A and B.", "In addition, the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean “one or more” unless specified otherwise or clear from the context to be directed to a singular form.", "The techniques described herein may be used for various wireless communication systems such as CDMA, TDMA, FDMA, OFDMA, SC-FDMA and other systems.", "The terms “system” and “network” are often used interchangeably.", "A CDMA system may implement a radio technology such as Universal Terrestrial Radio Access (UTRA), cdma2000, etc.", "UTRA includes Wideband-CDMA (W-CDMA) and other variants of CDMA.", "Further, cdma2000 covers IS-2000, IS-95 and IS-856 standards.", "A TDMA system may implement a radio technology such as Global System for Mobile Communications (GSM).", "An OFDMA system may implement a radio technology such as Evolved UTRA (E-UTRA), Ultra Mobile Broadband (UMB), IEEE 802.11 (Wi-Fi), IEEE 802.16 (WiMAX), IEEE 802.20, Flash-OFDM®, etc.", "UTRA and E-UTRA are part of Universal Mobile Telecommunication System (UMTS).", "3GPP Long Term Evolution (LTE) is a release of UMTS that uses E-UTRA, which employs OFDMA on the downlink and SC-FDMA on the uplink.", "UTRA, E-UTRA, UMTS, LTE/LTE-Advanced and GSM are described in documents from an organization named “3rd Generation Partnership Project” (3GPP).", "Additionally, cdma2000 and UMB are described in documents from an organization named “3rd Generation Partnership Project 2” (3GPP2).", "Further, such wireless communication systems may additionally include peer-to-peer (e.g., mobile-to-mobile) ad hoc network systems often using unpaired unlicensed spectrums, 802.xx wireless LAN, BLUETOOTH and any other short- or long-range, wireless communication techniques.", "Various aspects or features will be presented in terms of systems that may include a number of devices, components, modules, and the like.", "It is to be understood and appreciated that the various systems may include additional devices, components, modules, etc.", "and/or may not include all of the devices, components, modules etc.", "discussed in connection with the figures.", "A combination of these approaches may also be used.", "Referring to FIG.", "1, a multiple access wireless communication system according to one embodiment is illustrated.", "An access point 100 (AP) includes multiple antenna groups, one including 104 and 106, another including 108 and 110, and an additional including 112 and 114.In FIG.", "1, only two antennas are shown for each antenna group, however, more or fewer antennas may be utilized for each antenna group.", "Access terminal 116 (AT) is in communication with antennas 112 and 114, where antennas 112 and 114 transmit information to access terminal 116 over forward link 120 and receive information from access terminal 116 over reverse link 118.Access terminal 122 is in communication with antennas 104 and 106, where antennas 104 and 106 transmit information to access terminal 122 over forward link 126 and receive information from access terminal 122 over reverse link 124.In a frequency division duplex (FDD) system, communication links 118, 120, 124 and 126 may use different frequency for communication.", "For example, forward link 120 may use a different frequency then that used by reverse link 118.Each group of antennas and/or the area in which they are designed to communicate is often referred to as a sector of the access point.", "In some aspects, antenna groups each are designed to communicate to access terminals in a sector of the areas covered by access point 100.In communication over forward links 120 and 126, the transmitting antennas of access point 100 may utilize beamforming in order to improve the signal-to-noise ratio of forward links for the different access terminals 116 and 122.Also, an access point using beamforming to transmit to access terminals scattered randomly through its coverage causes less interference to access terminals in neighboring cells than an access point transmitting through a single antenna to all its access terminals.", "An access point may be a fixed station used for communicating with the terminals and may also be referred to as an access point, a Node B, evolved Node B (eNB), or some other terminology.", "An access terminal may also be called an access terminal, UE, device, a wireless communication device, terminal, access terminal or some other terminology.", "Moreover, an access point can be a macrocell access point, femtocell access point, picocell access point, and/or the like.", "In various embodiments, as described herein, one or more segments or one or more extension carriers can be linked to a regular carrier resulting in a composite bandwidth over which the UE can transmit information to, and/or receive information from, the eNB.", "FIG.", "2 shows an example wireless communication system 200.The wireless communication system 200 depicts one base station 210 and one mobile device 250 for sake of brevity.", "However, it is to be appreciated that system 200 can include more than one base station and/or more than one mobile device, wherein additional base stations and/or mobile devices can be substantially similar or different from example base station 210 and mobile device 250 described below.", "In addition, it is to be appreciated that base station 210 and/or mobile device 250 can employ the systems and methods described herein to facilitate wireless communication there between.", "For example, components or functions of the systems and/or methods described herein can be part of a memory 232 and/or 272 or processors 230 and/or 270 described below, and/or can be executed by processors 230 and/or 270 to perform the disclosed functions.", "At base station 210, traffic data for a number of data streams is provided from a data source 212 to a transmit (TX) data processor 214.According to an example, each data stream can be transmitted over a respective antenna.", "TX data processor 214 formats, codes, and interleaves the traffic data stream based on a particular coding scheme selected for that data stream to provide coded data.", "The coded data for each data stream can be multiplexed with pilot data using orthogonal frequency division multiplexing (OFDM) techniques.", "Additionally or alternatively, the pilot symbols can be frequency division multiplexed (FDM), time division multiplexed (TDM), or code division multiplexed (CDM).", "The pilot data is typically a known data pattern that is processed in a known manner and can be used at mobile device 250 to estimate channel response.", "The multiplexed pilot and coded data for each data stream can be modulated (e.g., symbol mapped) based on a particular modulation scheme (e.g., binary phase-shift keying (BPSK), quadrature phase-shift keying (QPSK), M-phase-shift keying (M-PSK), M-quadrature amplitude modulation (M-QAM), etc.)", "selected for that data stream to provide modulation symbols.", "The data rate, coding, and modulation for each data stream can be determined by instructions performed or provided by processor 230.The modulation symbols for the data streams can be provided to a TX MIMO processor 220, which can further process the modulation symbols (e.g., for OFDM).", "TX MIMO processor 220 then provides NT modulation symbol streams to NT transmitters (TMTR) 222a through 222t.", "In various embodiments, TX MIMO processor 220 applies beamforming weights to the symbols of the data streams and to the antenna from which the symbol is being transmitted.", "Each transmitter 222 receives and processes a respective symbol stream to provide one or more analog signals, and further conditions (e.g., amplifies, filters, and upconverts) the analog signals to provide a modulated signal suitable for transmission over the MIMO channel.", "Further, NT modulated signals from transmitters 222a through 222t are transmitted from NT antennas 224a through 224t, respectively.", "At mobile device 250, the transmitted modulated signals are received by NR antennas 252a through 252r and the received signal from each antenna 252 is provided to a respective receiver (RCVR) 254a through 254r.", "Each receiver 254 conditions (e.g., filters, amplifies, and downconverts) a respective signal, digitizes the conditioned signal to provide samples, and further processes the samples to provide a corresponding “received” symbol stream.", "An RX data processor 260 can receive and process the NR received symbol streams from NR receivers 254 based on a particular receiver processing technique to provide NT “detected” symbol streams.", "RX data processor 260 can demodulate, deinterleave, and decode each detected symbol stream to recover the traffic data for the data stream.", "The processing by RX data processor 260 is complementary to that performed by TX MIMO processor 220 and TX data processor 214 at base station 210.The reverse link message can include various types of information regarding the communication link and/or the received data stream.", "The reverse link message can be processed by a TX data processor 238, which also receives traffic data for a number of data streams from a data source 236, modulated by a modulator 280, conditioned by transmitters 254a through 254r, and transmitted back to base station 210.At base station 210, the modulated signals from mobile device 250 are received by antennas 224, conditioned by receivers 222, demodulated by a demodulator 240, and processed by a RX data processor 242 to extract the reverse link message transmitted by mobile device 250.Further, processor 230 can process the extracted message to determine which precoding matrix to use for determining beamforming weights.", "Processors 230 and 270 can direct (e.g., control, coordinate, manage, etc.)", "operation at base station 210 and mobile device 250, respectively.", "Respective processors 230 and 270 can be associated with memory 232 and 272 that store program codes and data.", "Moreover, processors 230 and 270 can assist in performing counting procedures, described further herein.", "For example, processors 230 and 270 can execute functions described with respect to such counting and/or memory 232 and 272 can store such functions and/or data related thereto.", "In an aspect, logical channels are classified into Control Channels and Traffic Channels.", "Logical Control Channels may include a Broadcast Control Channel (BCCH), which is a DL channel for broadcasting system control information; Paging Control Channel (PCCH), which is a DL channel that transfers paging information; and Multicast Control Channel (MCCH), which may include a Point-to-multipoint DL channel used for transmitting Multimedia Broadcast and Multicast Service (MBMS) scheduling and control information for one or several Multicast Traffic Channel (MTCHs).", "Generally, after establishing a Radio Resource Control (RRC) connection, this channel is used by UEs that receive MBMS.", "Dedicated Control Channel (DCCH) is a Point-to-point bi-directional channel that transmits dedicated control information and used by UEs having an RRC connection.", "In one aspect, Logical Traffic Channels includes a Dedicated Traffic Channel (DTCH), which is a Point-to-point bi-directional channel, dedicated to one UE, for the transfer of user information.", "Also, an MTCH for Point-to-multipoint DL channel for transmitting traffic data may be used.", "In an aspect, Transport Channels are classified into DL and UL.", "DL Transport Channels may include a Broadcast Channel (BCH), Downlink Shared Data Channel (DL-SDCH), and a Paging Channel (PCH).", "The PCH may be used for support of UE power saving functions (e.g., discontinuous reception, or DRX, cycle is indicated by the network to the UE), and may be broadcasted over an entire cell and mapped to PHY resources which can be used for other control/traffic channels.", "The UL Transport Channels may include a Random Access Channel (RACH), a Request Channel (REQCH), an Uplink Shared Data Channel (UL-SDCH) and plurality of PHY channels.", "The PHY channels may include a set of DL channels and UL channels.", "The DL PHY channels may include a: Common Pilot Channel (CPICH), Synchronization Channel (SCH), Common Control Channel (CCCH), Shared DL Control Channel (SDCCH), Multicast Control Channel (MCCH), Shared UL Assignment Channel (SUACH), Acknowledgement Channel (ACKCH), DL Physical Shared Data Channel (DL-PSDCH), UL Power Control Channel (UPCCH), Paging Indicator Channel (PICH), and Load Indicator Channel (LICH).", "The UL PHY Channels may include a: Physical Random Access Channel (PRACH), Channel Quality Indicator Channel (CQICH), Acknowledgement Channel (ACKCH), Antenna Subset Indicator Channel (ASICH), Shared Request Channel (SREQCH), UL Physical Shared Data Channel (UL-PSDCH), and Broadband Pilot Channel (BPICH).", "FIG.", "3 illustrates a block diagram of a network 300 for use in an LTE system.", "In some aspects, the network 300 includes an evolved UMTS Terrestrial Radio Access Network (E-UTRAN).", "The E-UTRAN may be used to provide wireless multimedia services, for example MBMSs, to one or more UEs.", "The MBMSs may include mobile television, provision of films or other audiovisual works, and the distribution of other content such as digital newspapers, for example.", "The E-UTRAN may be utilized to implement a Multicast Broadcast Single Frequency Network (MBSFN).", "In an MBSFN, identical waveforms may be transmitted at substantially the same time from multiple cells such that the waveforms are seen as a single transmission by UEs receiving the waveforms.", "In some aspects, the network 300 implements a mixed-carrier MBSFN.", "The network 300 may include an eNB 302.As discussed above, the eNB 302 may include an access point, for example the AP 100.The eNB may wirelessly communicate with one or more UEs, for example as illustrated in FIG.", "1.In this way, the MBMSs may be wirelessly transmitted to the UEs in communication with the network 300.Although a single eNB 302 is illustrated in FIG.", "3, a plurality of eNBs may be implemented in the network 300.The network 300 may further include a Multicell/Multicast Coordination Entity (MCE) 304 in communication with the eNB 302 via an interface M2.The MCE 304 manages MBMS content and resources.", "In some aspects, the MCE 304 determines a mode of delivering one or more MBMS.", "For example, the MCE 304 may optimize network resources such as by determining whether the eNB 302 provides an MBMS to a UE using point-to-point (p-t-p, also referred to herein as unicast) transmission or point-to-multipoint (p-t-m, also referred to herein as multicast) transmission, or when to transition between the two (e.g., which can be based on a count of devices requesting one or more services).", "Further, the MCE 304 may allocate time and frequency radio resources used by all eNBs in an MBSFN.", "Thus, a plurality of eNBs may be in communication with the MCE 304, for example over respective M2 interfaces.", "The M2 interface may include a control-plane interface, for example, that conveys session control signaling to the eNB 302.This signaling may include radio configuration data for multicell MBSFN transmission.", "The network 300 may further include a Mobility Management Entity (MME) 306 in communication with the MCE 304 via an interface M3.The MME 306 can be responsible for paging, including retransmission, and tracking UEs which are in an idle mode.", "The MME 306 may be used in the bearer activation/deactivation process and may also be responsible for choosing a serving gateway for UE at time of the UE initially attaching and at the time of handover.", "The MME 306 may further be responsible for authenticating users.", "The M3 interface may include a control-plane interface, for example that carries session control signaling.", "This signaling may include session start and stop messages.", "The network 300 may further include an MBMS Gateway (GW) 308 in communication with the eNB 302 via an interface M1.The MBMS GW 308 may broadcast packets to all eNBs within a service area.", "The MBMS GW 308 may further be responsible for MBMS session management.", "The M1 interface may include a user-plane interface.", "The M1 interface may use an IP multicast protocol for delivery of packets to the eNB.", "The network 300 may further include a Broadcast/Multicast Service Center (BM-SC) 312 in communication with the MBMS GW 308.The BM-SC 312 can serve as an entry point for content providers or other broadcast/multicast sources external to the network 300.In some aspects, the BM-SC 312 determines which UEs are allowed to register for a service, and stores a record of which UEs are currently registered for any given service, for example in a database of services.", "The BM-SC 312 may also schedule broadcast and multicast sessions and provide MBMS session announcements.", "In some aspects, the interfaces between the elements 302-312 of the network 300 (e.g., the M1-M3 interfaces, Sm, and SG interfaces) may be referred to as the network backend or backhaul.", "FIG.", "4 illustrates various components that may be utilized in a device 400 that may be employed within the wireless communication system described with respect to FIG.", "1 and/or the network 300 illustrated in FIG.", "3.The device 400 is an example of a device that may be configured to implement the various methods described herein.", "The wireless device 400 may implement any of the devices illustrated in FIGS.", "1-3.The device 400 may include a processor 404 which controls operation of the device 400.The processor 404 may also be referred to as a central processing unit (CPU).", "Memory 406, which may include both read-only memory (ROM) and random access memory (RAM), provides instructions and data to the processor 404.A portion of the memory 406 may also include non-volatile random access memory (NVRAM).", "The processor 404 may perform logical and arithmetic operations based on program instructions stored within the memory 406.The instructions in the memory 406 may be executable to implement the methods described herein.", "In some aspects, the processor 404 implements one or more of the TX data processor 214 or 238, TX MIMO processor 220, processor 230 or 270, demodulator 240, RX data processor 242 or 260, and modulator 280.The processor 404 may include or be a component of a processing system implemented with one or more processors.", "The one or more processors may be implemented with any combination of general-purpose microprocessors, microcontrollers, digital signal processors (DSPs), field programmable gate array (FPGAs), programmable logic devices (PLDs), controllers, state machines, gated logic, discrete hardware components, dedicated hardware finite state machines, or any other suitable entities that can perform calculations or other manipulations of information.", "The processing system may also include machine-readable media for storing software.", "Software shall be construed broadly to mean any type of instructions, whether referred to as software, firmware, middleware, microcode, hardware description language, or otherwise.", "Instructions may include code (e.g., in source code format, binary code format, executable code format, or any other suitable format of code).", "The instructions, when executed by the one or more processors, cause the processing system to perform the various functions described herein.", "The device 400 may also include a housing 408 that may include a transmitter 410 and a receiver 412 to allow transmission and reception of data or instructions, for example wirelessly and/or over one of the interfaces M1-M3 discussed above.", "The transmitter 410 and receiver 412 may be combined into a transceiver 414.In some aspects, a single or a plurality of transmit antennas may be attached to the housing 408 and electrically coupled to the transceiver 414.For example, when the device 400 is used to implement a UE or AT 116, or an AP 100 or eNB 302, the device 400 may include one or more antennas.", "The device 400 may also include (not shown) multiple transmitters, multiple receivers, and/or multiple transceivers.", "In some aspects, the transmitter 410 includes one or more of the transmitters 222 or 254 illustrated in FIG.", "2.In some aspects, the receiver 412 includes one or more of the receivers 222 or 254.In some aspects, the device 400 also includes a signal detector 418 that may be used in an effort to detect and quantify the level of signals received by the transceiver 414.The signal detector 418 may detect such signals and quantify the signals in terms of total energy, energy per subcarrier per symbol, power spectral density and other signals.", "The device 400 may also include a digital signal processor (DSP) 420 for use in processing signals.", "In some aspects, the DSP 420 implements one or more of the TX data processor 214 or 238, TX MIMO processor 220, demodulator 240, RX data processor 242 or 260, and modulator 280.The various components of the device 400 may be coupled together by a bus system 422, which may include a power bus, a control signal bus, and a status signal bus in addition to a data bus.", "The device 400 may further include other components or elements as will be understood by those having ordinary skill in the art.", "FIG.", "5 illustrates communications 502 between an eNB, such as the eNB 302 or the AP 100, and a UE, such as the AT 116 or 122.The communications 502 are illustrated as being transmitted over the MCCH.", "As discussed above, the MCCH may include a Point-to-multipoint DL channel used for transmitting MBMS scheduling and control information.", "Thus, the communications 502 may include information regarding wireless services for use by the UE.", "The communications may be used to indicate when a service is starting, for example, and the parameters of the service.", "In some aspects, the communications 502 include a Temporary Mobile Group Identity (TMGI), which may uniquely identify an MBMS Bearer Service.", "The TMGI may be allocated by the BM-SC 312 and sent to the MCE 304 for communication by the eNB 302 to UEs.", "The communications 502 may be broadcast or multicast periodically from the eNB.", "The period between each broadcast is referred to as the MCCH repetition period.", "When a network, such as the network 300, changes at least some of the MCCH information, it may notify UEs about the change during a first modification period.", "In the next modification period, the network may transmit the updated MCCH information.", "For example, the communications 502a may notify any receiving UEs about a change in MCCH information, for example regarding the availability of certain MBMSs or the delivery thereof.", "The information transmitted in the following MCCH period, however, can include different information.", "Thus, upon receiving a change notification in the communications 502a, a UE interested to receive MBMS services may acquire the new MCCH information from the communications 502b, e.g., immediately from the start of the modification period n+1.The UE may apply the previously acquired MCCH information until the UE acquires new MCCH information.", "In some aspects, indication of a radio network temporary identifier (RNTI), such as an MBMS RNTI (M-RNTI), on a Physical Downlink Control Channel (PDCCH) is used to inform UEs in an idle mode, such as RRC_IDLE for example, and UEs in a mode of being connected to the network, such as RRC_CONNECTED for example, about an MCCH information change.", "When receiving an MCCH information change notification, for example, in the communications 502a during MCCH modification period n, the UE knows that the MCCH information changes at the next modification period boundary, for example, the boundary with the MCCH modification period n+1.FIG.", "6 depicts an example wireless communication system 600 for counting devices to receive broadcast data in a wireless network.", "System 600 includes a device 602 that communicates with a base station 604, as described, to receive access to the wireless network.", "In addition, system 600 can include one or more core network components, such as a MCE 606, BM-SC 608, and/or other components not depicted (e.g., one or more gateways, MMEs, or other connection managing nodes), with which the base station 604 can communicate to provide the access.", "As described, base station 604 can broadcast signals related to one or more broadcast services, and the MCE 606, BM-SC 608, etc., can provide one or more functions related to providing the services.", "MCE 606 can include a registration information receiving component 610 for obtaining information regarding a device registration for broadcast data services, and a device counting component 612 for determining a count of devices registered to receive broadcast data services.", "MCE 606 can also optionally include a counting request determining component 614 for requesting counting from one or more base stations of devices receiving broadcast data services therefrom, and/or a broadcast determining component 616 for determining whether to provide a broadcast data service.", "BM-SC 608 can include a device registering component 618 for obtaining a registration request from a device for broadcast data services, and a registration information providing component 620 for communicating information regarding the registration request to one or more core network components.", "According to an example, device 602 can register to receive broadcast data from base station 604.For example, this can include communicating a registration request to the base station 604 for the broadcast data (e.g., for an MBMS), which can forward the request to one or more core network nodes, such as a BM-SC 608 for processing.", "In another example, device 602 can send a registration message to BM-SC 608 directly over a user plane that traverses multiple network nodes, such as a base station 604, MCE 606, etc.", "This can be based on receiving a service announcement of broadcast data over one or more radio bearers.", "In one example, the device 602 can send the registration request over a unicast channel, and/or in a hypertext transfer protocol (HTTP) POST message, which can include a current time, location, etc., as described herein.", "Device registering component 618 can obtain the request of the device 602, and can determine whether to allow the device 602 to receive the broadcast data (e.g., based on an authentication procedure, based on available radio resources, etc.).", "In one example, BM-SC 608 can grant or deny the registration request to the device 602.In addition, registration information providing component 620 can communicate one or more parameters related to the registration request to MCE 606.For example, this can be performed upon receiving the registration request, according to a timer or other event with one or more registration requests from other devices, and/or the like.", "Registration information receiving component 610 can obtain the one or more parameters related to the registration request.", "In one example, the one or more parameters can include an indication of a registration from a device, an identity of the device 602, the location of the device, an indication of the broadcast service for which registration is requested, the registration request itself, and/or the like.", "Thus, for example, registration information receiving component 610 can obtain the one or more parameters from the device 602 registration request, in one or more messages from the BM-SC during or following the registration request, and/or the like.", "Upon receiving the registration information, device counting component 612 can increment a registration count of devices related to the broadcast service for which registration is requested within the area.", "For example, device counting component 612 can store the registration count (e.g., in memory), and can increment the stored registration count.", "It is to be appreciated that other network devices can store the registration count, and device counting component 612 can cause the other network devices to increment the registration count.", "In any case, MCE 606 can utilize the registration count for various purposes, as described herein, such as a representative count of devices for a given broadcast data service, to determine whether to utilize unicast or multicast transmission, to determine whether to enable broadcast service counting requests (e.g., an eMBMS counting request procedure), and/or the like.", "In one example, based on the registration count, broadcast determining component 616 can determine whether to provide a multicast broadcast data service.", "For example, where the registration count is beyond a threshold, broadcast determining component 616 can instruct base station 604 and/or one or more other base stations to provide the multicast broadcast data service.", "Moreover, for example, counting request determining component 614 can determine whether to obtain a counting using counting requests based on a number of devices registered.", "For example, counting request determining component 614 can compare a count of the number of registered devices to a threshold.", "For example, this can occur based on a timer or an event (e.g., such as device registration and/or deregistration).", "Where the number of registered devices is at least at the threshold, for example, counting request determining component 614 can determine to obtain counting using counting requests.", "In this example, counting request determining component 614 can communicate counting requests to one or more base stations, such as base station 604, and the base stations can accordingly broadcast counting requests, receive counting responses from one or more devices, and provide the number of counting responses received to MCE 606.For example, this can correlate to a Counting Request and Counting Response in eMBMS, as described.", "There can be more than one threshold, in other examples, such that where the registration count is beyond a first threshold but below a second threshold, counting request determining component 614 can determine to obtain counting using counting requests, and counting request determining component 614 can accordingly communicate counting requests to one or more base stations.", "Based on the counting responses, broadcast determining component 616 can determine whether to provide a multicast broadcast data service.", "Where the registration count is beyond the second threshold, however, broadcast determining component 616 can determine that the number of registered devices is sufficient enough to provide a multicast broadcast data service without explicitly requesting counting via counting requests.", "In another example, device registering component 618 can similarly receive a request for deregistration of the broadcast data services from the device 602, and registration information providing component 620 can similarly inform MCE 606.Registration information receiving component 610 can obtain the information regarding deregistration, and device counting component 612 can accordingly decrement the count of devices receiving the broadcast data service for which deregistration is requested.", "In addition, broadcast determining component 616 can determine whether to switch base station 604 to unicast transmission based in part on the count as decremented.", "In one example, counting request determining component 614 can determine whether to initiate counting requests to verify the number of counting responses is below the threshold before switching to unicast transmission based on the number of device registrations decremented below a threshold.", "It is to be appreciated that BM-SC 608 or another network component can similarly include a device counting component 612 to maintain a count of devices registered to receive broadcast data services, and/or a counting request determining component 614 for determining whether counting request counting is desired (e.g., and/or indicating such to the MCE 606).", "FIG.", "7 illustrates a system 700 for counting apparatuses receiving or interested in a wireless service.", "System 700 includes a UE 702 that communicates with an eNB 704 (e.g., over a Uu interface) for receiving access to a wireless network, as described.", "In addition, system 700 includes core network components, such as an MCE 706, MME 708, MBMS-GW 710, and/or BM-SC 712 for managing access to broadcast data services.", "For example, eNB 704 communicates with MCE 706 over an M2 interface, and MCE 706 communicates with MME 708 over an M3 interface in LTE.", "In the system 700, a quantity of apparatuses that are receiving or interested in a service is determined based on UE registration for the service.", "Data that is kept at the BM-SC 712 regarding which UEs are registered for a given service may be sent to the MCE 706 for determination of the mode of transmitting the service instead of, or as an indicator for, the MCE 706 requesting a count from the eNB 704.For example, as shown, the UE 702 can read overhead messages to determine MBSFN subframes and MCCH information 714 for determining available eMBMSs.", "In addition, eNB 704 can provide a MBSFNAreaConfiguration 716 over the MCCH.", "The UE 702 receives a service announcement 718 over an MBMS bearer or over a unicast bearer, which can originate from BM-SC 712 and be broadcast by eNB 704.The UE 702 registers for the MBMS 720 with BM-SC 712, which can also include a request for a MBMS service key (MSK).", "The procedure of registering may be similar to the registration methods described herein.", "For example, the BM-SC 712 may be responsible for determining which MBMS services that UEs may register and may store a record of the registered UEs, for example in a database at the BM-SC 712.Registration may be required even for data flows that do not require security protection.", "The registration from UEs may be backed off for randomized time to avoid network congestions, and the registration message sent may include location information of the UE 702 that can be used by the network to estimate user density.", "In this example, BM-SC 712 sends registration information 722 to the MCE 706.In some aspects, the registration information for each UE is sent to MCE 706 as it is received or determined at the BM-SC 712.In other aspects, the BM-SC 712 can wait for a period of time or collect information for a plurality of registration events before sending the registration information to the MCE 706.The BM-SC 712 can also include the registration information in an MBMS session start request message which is sent from the BM-SC 712 to MCE 706 via MBMS-GW 710 and MME 708.In yet another aspect, the MCE 706 requests the registration information from the BM-SC 712.The information sent to the MCE 706 may include not only information regarding which UEs have registered for a service, but also regarding any UEs that have deregistered from a service.", "Registration or deregistration can happen at any time.", "The MCE 706 or BM-SC 712 may maintain a registration count of UEs that are registered for one or more of the services, as described.", "In response to the registration information, the registration count may be updated such that the count reflects the current number of UEs that are registered for a service within a service area or MBSFN area.", "In this way, registration (subscription) information may be used to derive an eMBMS counting result.", "In another example, based on the registration count, MCE 706 can determine whether to count UEs using over the air counting requests, as described.", "In another example, BM-SC 712 can make the determination and indicate a counting mode to MCE 706.The BM-SC 712 may send a session start request for the service 724.The MME 708 may send a tracking area update (TAU) 726 to the MCE 706.The TAU may include information regarding a location or location change of a UE 702.In addition, the MCE 706 makes a decision as to a mode of transmitting the service 728, and sends a session start request 730 to the eNB 704 indicating the mode.", "The eNB 704 may communicate the start of the session 732 to the registered UE 702 over the MCCH, for example using a MCCH change notification as described above with respect to FIG.", "5.When the service has ended, the session stop message may be sent over the MCCH.", "Further, a UE 702 may indicate that it does not wish to receive the service any more by deregistering, as described.", "Moreover, eNB 704 and MBMS-GW 710 can perform an Internet Group Management Protocol (IGMP) join 734, and BM-SC 712 can provide MBMS data 736 for broadcasting to UE 702.MBMS-GW 710 can receive the MBMS data 738 and can provide the MBMS data 738 to eNB 704 for broadcasting 740 to UE 702.In this system 700, the network backend (e.g., MCE 706, MME 708, MBMS-GW 710, and/or BM-SC 712) is used to collect a registration count.", "The count may be event driven, for example in response to each UE registration or deregistration.", "In this example, not only can UEs in both an idle mode and a connected mode be counted, legacy UEs that register for a service but do not have the functionality to respond to Counting Requests can also be counted since the counting is registration-based.", "Moreover, such counting can conserve radio resources and/or UE power as compared to responding to periodic polling messages.", "In some aspects, a UE 702 may provide the current time and/or location when registering for an MBMS.", "In one example, the current location may include the cell sector ID of the eNB 704 serving the UE 702.The network (e.g., the BM-SC 712 or other components) can use such information to determine whether the UE 702 is allowed to register for the MBMS, for example using the BM-SC 712 or based on information regarding the transmission of services from the MCE 706.In some aspects, the network controls the percentage of UEs that are allowed to register for a given broadcast data service.", "Therefore, when the UE 702 indicates that it intends to consume or terminate consumption of resources, by way of registering or deregistering, an eMBMS counting result may be determined based on the registration count and the network may decide whether registration is allowed.", "FIG.", "8 illustrates a system 800 for counting apparatuses receiving or interested in a wireless service.", "System 800 includes a UE 802 that communicates with an eNB 804 for receiving access to a wireless network, as described.", "In addition, system 800 includes core network components, such as an MCE 806, MME 808, MBMS-GW 810, and/or BM-SC 812 for managing access to broadcast data services.", "The system 800 includes communications similar to those shown in FIG.", "7, and may be used when the current time/location is included in the registration request.", "For example, as shown, the UE 802 can read overhead messages to determine MBSFN subframes and MCCH information 814 for determining available eMBMSs.", "In addition, eNB 804 can provide a MBSFNAreaConfiguration 816 over the MCCH.", "The UE 802 receives a service announcement 818 over an MBMS bearer or over a unicast bearer.", "The UE 802 sends a registration request 820 for the service, which is processed at least partially by the BM-SC 812.In some aspects, the registration request may be sent using a HTTP POST procedure.", "The registration request may include the current time and location of the UE.", "The BM-SC 812 or another element in the network may determine whether to register the UE 802 for the service based at least in part on the time and location.", "The MCE 806 may also determine whether the UE 802 should be allowed to register, as discussed below.", "The BM-SC may send a session start request 822 for the service.", "The BM-SC 812 sends registration information 824 to the MCE 806.As discussed above, the registration information may be used by the BM-SC 812 or MCE 806 to maintain a registration count of UEs that are registering for the service such that an eMBMS counting result may be determined.", "In some aspects, the BM-SC 812 sends the registration information to the MCE 806 at 824 for determining the registration count.", "The MCE 806 may receive a TAU 826 from the MME 808.The MCE 806 may determine whether the UE 802 should be allowed to register for the service 828, for example based on the time and location information in the registration request and/or the TAU received from the MME 808.The MCE 806 alerts the BM-SC 812, which completes the registration or denial or registration, as described.", "The UE 802 is informed whether it has been registered 830.In some aspects, the BM-SC 812 informs the UE 802 using a Registration Response sent via a HTTP POST procedure.", "The MCE 806 may determine a mode of transmitting the service, and sends a session start request 832 to the eNB 804 indicating the mode.", "The eNB 804 may communicate the start of the session 834 to the registered UE 802 over the MCCH, for example, using a MCCH change notification as described above with respect to FIG.", "5.When the service has ended, the session stop message may be sent over the MCCH.", "Further, a UE 802 may indicate that it does not wish to receive the service any more by deregistering.", "Moreover, eNB 804 and MBMS-GW 810 can perform an IGMP join 836, and BM-SC 812 can provide MBMS data 838 for broadcasting to UE 802.MBMS-GW 810 can receive the MBMS data 840 and can provide the MBMS data 840 to eNB 804 for broadcasting 842 to UE 802.The communications of system 800 include advantages similar to FIG.", "7 discussed above.", "Further, information about a UE 802 location at the time of registration allows the MCE 806 to assess how many UEs in the MBSFN coverage area are currently accessing the service over the MBMS bearer, or are interested in accessing the service over the MBMS bearer.", "This information can be used by the MCE 806 independently of, or complementary to, count reporting from eNBs 804 to make MBSFN activation/deactivation decisions.", "FIG.", "9 illustrates a system 900 of requesting counting of apparatuses receiving or interested in a wireless service.", "System 900 includes a UE 902 that communicates with an eNB 904 for receiving access to a wireless network, as described.", "In addition, system 900 includes core network components, such as an MCE 906, MME 908, MBMS-GW 910, and/or BM-SC 912 for managing access to broadcast data services.", "In the system 900, the MCE 906 can request counting information from the BM-SC 912, which can occur, for example, after registration information is received from the BM-SC 912 (e.g., as described in FIG.", "8 at 824), or anytime after an eMBMS session has started.", "For example, MCE 906 can transmit a MBMS service counting request 914 to the BM-SC 912 to request counting information related to apparatuses receiving or interested in a wireless service.", "In this example, the BM-SC 912 can respond with a MBMS service counting response 916, which can include a counting mode and registration information.", "The counting mode, for example, can specify whether to count a number of registered devices, whether to broadcast Counting Requests, and/or the like, as described.", "In addition, MME 908 can forward the MBMS service counting response at 918, which can include TAU information.", "For example, where the counting mode specifies that a count of registered devices can be used, MCE 906 can use a stored registration count, as described above, such that request/response counting can be avoided.", "MCE 906 can make a decision 920 regarding whether to continue the MBMS session or deactivate the session (e.g., based on a number of devices registered to receive the MBMS service, or a number of devices counted based on the specified counting mode); for example, the session can have been started similarly as in FIG.", "7 at 730, FIG.", "8 at 832, etc.", "For example, the decision 920 can be based at least in part on the received counting response(s).", "This can include at least one of whether responses are received, a number of apparatuses indicated in the response(s), other registration information or TAU information in the responses, and/or the like, for example.", "In the depicted example, the MCE 906 can optionally send a session stop request 922 based on the decision 920.For example, this can occur where responses to the counting request are not received and/or the responses indicate a number of apparatuses receiving or interested in the wireless service as below a threshold level.", "In this example, the eNB 904 can transmit a MBMS session stop 924 over MCCH to UEs that are in MBSFN area, such as UE 902.It is to be appreciated, however, the MCE 906 can determine 920 to continue the session based on received counting responses.", "Also, in this example, eNB 904 and MBMS-GW 910 can perform a IGMP leave 926 based on discontinuation of the MBMS.", "Moreover, as a result, eNB 904 can provide a related unicast service where one or more UEs are still registered to receive the service, as described.", "FIG.", "10 shows an example wireless communication system 1000 for reporting counting of one or more devices receiving or at least interested in receiving broadcast data services.", "System 1000 includes a device 1002 that communicates with a base station 1004 to receive access to a core wireless network (not shown), as described.", "For example, system 1000 can allow the device 1002 to report counting based on a request from base station 1004 where the device 1002 is communicating in idle mode.", "Idle mode communications, for example, can relate to device 1002 powering off or reducing power to a transceiver except during certain time intervals during which base station 1004 can page device 1002 to switch to an active mode to receive data from the base station 1004.Thus, in idle mode, the device 1002 conserves radio resources, and can resume active mode communications upon receiving a page and/or based on an indication from an application or other process on the device 1002 that network access is requested.", "Device 1002 can include a counting request receiving component 1006 for obtaining a counting request from a base station, a communication mode component 1008 for operating device 1002 in one or more communication modes, and a counting request responding component 1010 for responding to the counting request from the base station.", "Base station 1004 can include a counting component 1012 for requesting and obtaining counting information from one or more devices, and a count reporting component 1014 for providing a count of devices receiving or interested in receiving a broadcast data service to one or more core wireless network components.", "According to an example, communication mode component 1008 can operate device 1002 in an idle mode, as described above.", "Count reporting component 1014 can receive a request from the core wireless network to perform counting, as described herein, and counting component 1012 can accordingly communicate a counting request to device 1002.For example, the counting request can correspond to a Counting Request in an eMBMS configuration or other counting request broadcasted to the device 1002.In one example, counting component 1012 can transmit the counting request in a paging message so the device 1002 can receive the counting request when operating in idle mode.", "Counting request receiving component 1006 can obtain the counting request in the paging message, for example, and can determine whether to respond to the counting request.", "For example, counting request receiving component 1006 can decode the paging message to obtain the counting request.", "In one example, the counting request can indicate one or more devices that should respond to the counting request by indicating TMGIs or other identifiers that can be assigned to devices upon registering to receive a certain broadcast data service, and counting request responding component 1010 can determine whether to respond to the counting request based in part on whether device 1002 receives the indicated TMGI or other identifiers during registering to receive the broadcast data service.", "In another example, the counting request sent by the base station 1004 can indicate the broadcast data service to which the counting request relates, and counting request responding component 1010 can determine whether to respond to the counting request based on whether device 1002 has requested or otherwise indicated to receive the related broadcast data service.", "Where counting request responding component 1010 determines to respond to the counting request, this can occur immediately, within a period of time, based on communication mode component 1008 switching to active mode for another purpose, and/or the like.", "Moreover, the response can be part of an RRC Connection Request message or another messaging in an access procedure (e.g., a RACH procedure).", "For example, communication mode component 1008 can switch to active mode upon counting request responding component 1010 determining to respond to the counting request, and counting request responding component 1010 can accordingly respond to the counting request while in active mode communications with base station 1004.In another example, communication mode component 1008 can switch to active mode for another purpose, such as to respond to a paging signal from base station 1004, receive the broadcast data service from base station 1004, transmit data from an application on device 1002, and/or the like.", "Upon transitioning to active mode, counting request responding component 1010 can respond to the counting request.", "In one example, counting request responding component 1010 can respond to the counting request within an associated response time.", "For example, the response time can be received from the base station 1004 (e.g., in the counting request, as a parameter during registration to receive the broadcast data service, etc.", "), received in a configuration from the network, received as a hardcoded parameter, and/or the like.", "In an example, counting request responding component 1010 can wait for at least a portion of the duration of the response time for communication mode component 1008 to switch to active mode, and can accordingly transmit the response in this case.", "If the communication mode component 1008 does not switch to active mode within at least the portion of the duration, counting request responding component 1010 can cause communication mode component 1008 to switch to active mode, and can then transmit the response.", "In another example, counting request receiving component 1006 can obtain the request while communication mode component 1008 is operating device 1002 in active mode communications.", "In this example, counting request responding component 1010 can transmit a response to the counting request, where so determined, while communication mode component 1008 is operating device 1002 in the active mode.", "In another example, counting request receiving component 1006 can autonomously report counting to the base station when the device 1002 communicates with the base station 1004 in a connected mode for some other purposes, for example, receiving paging signals, originating a unicast data call, and/or the like.", "The autonomous reporting can also be based on updates of device's interested MBMS services or receiving MBMS services have been changed.", "In any case, counting component 1012 can receive the response or autonomous report from the device 1002 and/or other devices, and count reporting component 1014 can provide the count to the core wireless network, for example.", "FIG.", "11 illustrates a system 1100 for counting apparatuses receiving or interested in a wireless service.", "System 1100 includes a UE in idle mode 1102 and/or a UE in connected mode 1104 that communicate with an eNB 1106 for receiving access to a wireless network, as described.", "In addition, system 1100 includes core network components, such as an MCE 1108, MME 1110, MBMS-GW 1112, and/or BM-SC 1114 for managing access to broadcast data services.", "In the system 1100, UEs that are in an idle state initiate a change to a connected state in part to respond to a Counting Request.", "The accuracy of the count may therefore be improved because idle UEs can also be included in the count, as described above and further herein.", "For example, as shown, the UEs 1102 and/or 1104 can read overhead messages to determine MBSFN subframes and MCCH information 1116 for determining available eMBMSs.", "In addition, eNB 1106 can provide a MBSFNAreaConfiguration 1118 over the MCCH.", "The UEs 1102 and/or 1104 receive a service announcement 1120 over an MBMS bearer or over a unicast bearer, which can originate from BM-SC 1114 and be broadcast by eNB 1106.The UEs 1102 and/or 1104 register for the MBMS 1122 and 1124 with BM-SC 1114, which can also include a request for a MBMS service key (MSK).", "Moreover, BM-SC 1114 can send a session start request 1126 to MCE 1108 to indicate beginning of the MBMS session.", "Further, MCE 1108 can trigger counting procedures 1128 to eNB 1106, as described.", "In one aspect of the system 1100, the UE 1102 receives a Counting Request 1130 for one or more services, for example as described above.", "In one example, UE 1102 can receive the Counting Request in a paging signal from eNB 1106.If the UE 1102 determines that it is receiving the service or interested in the service, and that it is in an idle, the UE 1102 can initiate a change to a connected state.", "For example, a UE 1102 in an RRC IDLE mode may switch to a RRC_CONNECTED mode.", "To initiate the change, the UE 1102 may send a random access preamble 1132 over a random access channel to eNB 1106, such as the RACH.", "The preamble may be sent to contend for system resources, and may indicate that the UE 1102 desires to transmit a message.", "If the preamble is received by the eNB 1106 and resources have been received for the message transmission, the eNB 1106 may transmit a message 2 or other RACH response 1134 to the UE 1102 indicating resources over which UE 1102 can request radio resources from eNB 1106.In some aspects, the response can be received over a downlink shared channel (DL-SCH).", "The UE may respond with a connection request message 1136, for example an RRCConnectionReq transmitted over a common control channel (CCCH).", "The request message may be used to request a unicast connection to the eNB 1106 such that the UE 1102 may send a response to the Counting Request or for another purpose (e.g., in response to a paging message, in response to an application requesting network access, etc.).", "In an example, the connection request message can include the response to the counting message.", "If the connection request is granted, a connection setup message 1138 may be sent indicating the parameters of the unicast connection.", "Using these parameters—and where the connection request message does not include the response—the UE 1102 may transmit a Counting Response message 1140 to the eNB 1106.In some aspects, as described, UEs that were previously idle respond a random period of time after obtaining the Counting Request 1130, respond when the UE switches to an active mode for another purposes, respond at least within a specified period of time, etc.", "Some UEs may determine whether to respond after a random amount of time based on coin-toss with a pre-advertised probability threshold.", "If the UE 1102 is going to return to the idle state after transmitting the response, a connection release message 1142 may be transmitted to the UE 1102 to release the unicast connection.", "For example, this can occur where the UE 1102 switches to active mode for the purpose of sending the response to the Counting Request (e.g., where a period of time for sending the response is near expiration).", "In another example, UE 1104 can respond to the Counting Request 1130 with a Counting Response message 1144, for example over the DCCH.", "Moreover, in any case, eNB 1106 can send a MBMS Service Counting Result Report 1146 including the Counting Result from at least UE 1102 and/or 1104 to MCE 1108.In one example, MCE 1108 can determine that the counting results indicate to switch to multicast communications 1148.In this example, the MCE 1108 can send a session start request 1150 to eNB 1106.The eNB 1106 may communicate the start of the session 1152 to the registered UE 1104 over the MCCH, for example using a MCCH change notification as described above with respect to FIG.", "5.Moreover, eNB 1106 and MBMS-GW 1112 can perform an IGMP join 1154, and BM-SC 1114 can provide MBMS data 1156 for broadcasting to UE 1104.MBMS-GW 1112 can receive the MBMS data 1158 and can provide the MBMS data 1158 to eNB 1106 for broadcasting 1160 to UE 1104.Using the system 1100, idle UEs may change to a connected state and respond to the Counting Request.", "In this way, the counting result may be more accurate.", "Switching to a connected state, however, may decrease battery life and may increase the traffic in the network.", "In another aspect of the system 1100, idle UEs may respond to the Counting Request received at 1130 without fully establishing a unicast connection.", "This may reduce the overhead of initiating a change to a connected stated, while still allowing idle UEs to respond.", "In this aspect of the system 1100, a UE 1102 may include a response to the Counting Request with the connection request message 1134.For example, the RRCConnectionReq includes an establishmentCause field in many releases of LTE.", "The establishmentCause field may be set to a certain value to indicate that the UE 1102 is responding to the Counting Request and to indicate the response.", "For example, the value can be an enumerated value defined to indicate that the UE 1102 is responding, such as countingResponse, which can be added to the establishmentCause enumerations in LTE including emergency, highPriorityAccess, mt-Access, mo-Signalling, mo-Data, delayTolerantAccess-v1020, etc.", "In another example, the indication can use one or more spare enumerations in the establishmentCause element.", "When the eNB 1106 receives the connection request message and identifies that the value has been set to indicate a response to the Counting Request, the eNB 1106 may include the information indicated therein in the Counting Result Report message.", "The eNB 1106 may also determine that a unicast connection need not be established, and the transmissions 1138, 1140, and 1142 may be omitted.", "The eNB 1106 may therefore refrain from sending a further response to the UE 1102, in this example, and the UE 1102 may return to the idle state without receiving further communication from the eNB 1106 and without completing the connection procedure.", "In any case, the accuracy of eMBMS is increased without a substantial increase in resource utilization at the UE 1102 or the network.", "FIG.", "12 illustrates a system 1200 for counting apparatuses receiving or interested in a wireless service.", "System 1200 includes a UE 1202 that communicates with an eNB 1204 for receiving access to a wireless network, as described.", "In addition, system 1200 includes core network components, such as an MCE 1206, MME 1208, MBMS-GW 1210, and/or BM-SC 1212 for managing access to broadcast data services.", "Moreover, UE 1202, in this example, can notify a network when it activates a service to receive broadcast data services.", "For example, as shown, the UE 1202 can read overhead messages to determine MBSFN subframes and MCCH information 1214 for determining available eMBMSs.", "For example, such overhead messages can include system information block (SIB) messages, such as SIB2, SIB13 and/or similar messages.", "For example, from SIB2, UE 1202 can receive an indication of MBSFN subframes, and from SIB13, UE 1202 obtains MCCH info, the location of the PDCCH for MCCH change, etc.", "From PDCCH, UE 1202 can determine MCCH change notification.", "In addition, eNB 1204 can provide a MBSFNAreaConfiguration 1216 over the MCCH.", "The UE 1202 receives a service announcement 1218 over an MBMS bearer or over a unicast bearer.", "The UE 1202 sends a registration request 1220 for the service, which is processed at least partially by the BM-SC 1212.After registering for a service, the UE 1202 locally activates the service to receive and process broadcast signals from eNB 1204.In addition, however, the UE 1202 transmits a message 1222, for example a MBMS service activation/deactivation message, to the eNB 1204 to notify the eNB that the service has been activated.", "In some aspects, both idle UEs and connected UEs transmit this message to the eNB 1204.In some aspects, a connection to the eNB 1204 can be used in transmitting the message notifying the eNB 1204 of the activation.", "In such aspects, idle UEs may initiate a change from an idle mode to a connected mode as discussed above.", "An idle UE may fully establish a unicast connection, as discussed above, to notify the eNB 1204 of the service activation, or the idle UE may use the abbreviated procedure described above, including the notification in a field of the connection request where the remaining messages typically used to establish the connection are not sent.", "The UE 1202 may also notify the eNB 1204 when it deactivates a service.", "Thus, the message 1222 may notify the eNB 1204 of a service deactivation instead of service activation.", "When the eNB 1204 receives the notification of service activation or deactivation, the eNB 1204 may determine a Counting Result Report message and send the Report 1224 to the MCE 1206.In some aspects, the Report includes information regarding from where an activation or deactivation message is received.", "By monitoring activation/deactivation messages of the UE 1202, and from where the messages are sent, the network may determine the number of users in each cell related to a given MBMS, as described.", "The BM-SC 1212 can send a session start request 1226 to the MCE 1206 based on the counting result, as described.", "MCE can trigger counting procedures 1228 to eNB 1204, and can send session start request 1230.The eNB 1204 may communicate the start of the session 1232 to the UE 1202 over the MCCH, for example, using a MCCH change notification as described above with respect to FIG.", "5.Moreover, eNB 1204 and MBMS-GW 1210 can perform an IGMP join 1234, and BM-SC 1212 can provide MBMS data 1236 for broadcasting to UE 1202.MBMS-GW 1210 can receive the MBMS data 1238 and can provide the MBMS data 1238 to eNB 1204 for broadcasting 1240 to UE 1202.In some aspects, the eNB 1204 reports service activation/deactivation upon receiving notification form a UE.", "In other aspects, the eNB waits for a period of time and accumulates notifications from UEs before sending the Counting Result Report message to the MCE.", "In still other aspects, the UE 1202 sends the Report in response to a Counting Request received from the MCE 1206.The MCE 1206 may use the Counting Result Report message to determine a mode of service transmission, as described above.", "FIG.", "13 illustrates a system 1300 for counting apparatuses receiving or interested in a wireless service.", "System 1300 includes a UE 1302 that communicates with an eNB 1304 for receiving access to a wireless network, as described.", "In addition, system 1300 includes core network components, such as an MCE 1306, MME 1308, MBMS-GW 1310, and/or BM-SC 1312 for managing access to broadcast data services.", "In the system 1300, the UE 1302 periodically transmits a Counting Response message to the eNB 1304.This periodic transmission may be performed even in the absence of a Counting Request from the eNB 1304, for example.", "For example, as shown, the UE 1302 can read overhead messages to determine MBSFN subframes and MCCH information 1314 for determining available eMBMSs.", "In addition, eNB 1304 can provide a MBSFNAreaConfiguration 1316 over the MCCH.", "The UE 1302 receives a service announcement 1318 over an MBMS bearer or over a unicast bearer.", "The UE 1302 sends a registration request 1320 for the service, which is processed at least partially by the BM-SC 1312.When registering for a service 1320, the UE 1302 may set a report period timer.", "When the report period timer expires 1332, the UE 1302 generates and transmits a Counting Response message 1324.The report period timer may then be reset, and another Counting Response message sent upon the next expiration of the timer.", "In this way, a Counting Response message may be transmitted by the UE 1302 at a period that is substantially equal to the report period.", "In some aspects, the UE 1302 determines the report period based on a received overhead message.", "For example, the report period may be included in an MBSFN configuration message as a timer value, a time interval, one or more explicit times, and/or the like.", "In some aspects, the UE 1302 determines the report period based on information received during registration for the service 1320.For example, the BM-SC 1312 may determine the report period during registration and notify the UE 1302.In some aspects, the UE 1302 determines which services to include in the Counting Response message based on an overhead message, and/or on information received during registration.", "In other aspects, the UE 1302 is able to determine which services to report based on a type of the services, or the UE 1302 is programmed to report certain of the services.", "The Counting Response message may be periodically generated and sent by UEs in a connected state, as well as UEs in an idle state.", "If a UE is in an idle state, the UE may initiate a change to the connected state as described above with respect to the system 1300.When a UE is in the connected mode, the UE may piggy back the Counting Response message on a data upload, and thereafter reset the timer.", "In this way, a separate transmission would not be needed for the Counting Response message.", "After receiving the Counting Result 1324, the eNB 1304 may send a Counting Result Report message 1326 to the MCE 1306.The Report may be sent upon reception of the Counting Response message, or the MCE 1306 may wait for a period of time or may wait for a request from the MCE 1306, for example similar to the way in which the MCE 1306 operates to report the service activation/deactivation in FIG.", "12.The MCE 1306 may use the Counting Result Report message to determine a mode of transmission for the reported services.", "The BM-SC 1312 can send a session start request 1328 to the MCE 1306 based on the counting result, as described.", "MCE can send session start request 1330 to eNB 1304.The eNB 1304 may communicate the start of the session to the UE 1302 over the MCCH 1332, for example, using a MCCH change notification as described above with respect to FIG.", "5.Moreover, eNB 1304 and MBMS-GW 1310 can perform an IGMP join 1334, and BM-SC 1312 can provide MBMS data 1336 for broadcasting to UE 1302.MBMS-GW 1310 can receive the MBMS data 1338 and can provide the MBMS data 1338 to eNB 1304 for broadcasting 1340 to UE 1202.FIGS.", "14-17 illustrate example methodologies relating to counting devices requesting, interested in receiving, or otherwise related to broadcast data.", "While, for purposes of simplicity of explanation, the methodologies are shown and described as a series of acts, it is to be understood and appreciated that the methodologies are not limited by the order of acts, as some acts may, in accordance with one or more embodiments, occur concurrently with other acts and/or in different orders from that shown and described herein.", "For example, it is to be appreciated that a methodology could alternatively be represented as a series of interrelated states or events, such as in a state diagram.", "Moreover, not all illustrated acts may be required to implement a methodology in accordance with one or more embodiments.", "FIG.", "14 illustrates a method 1400 for use with wireless services such as MBMS.", "In some aspects, a UE such as the AT 116, 122 may perform the method 1400 to use an MBMS, for example based on information received over the MCCH as described above with respect to the communications 502 illustrated in FIG.", "5.At 1402, an MBMS user service registration is performed by a UE.", "The UE may accomplish this by transmitting a registration request to the network.", "The BM-SC may determine whether the UE is allowed to register for the MBMS, and send a grant message to the eNB to transmit to the UE in response.", "At 1404, a service announcement is received at the UE, for example over an MBMS bearer or a unicast bearer.", "At 1406, the UE locally activates the MBMS bearer service.", "The MBMS broadcast service activation procedure may not register the user in the network, however, and may be a local procedure.", "For example, an application executing on the UE can activate the MBMS bearer service, which can include activating a receiver to attempt to decode signals received over a corresponding frequency of the MBMS.", "Thus, there generally is no MBMS bearer service specific signalling exchanged between the UE and the network, and the broadcast service activation procedure does not establish MBMS UE contexts in the UE, MME, and MBMS GW.", "At 1408, the MBMS bearer service session starts, and the UE begins to receive MBMS data from the eNB.", "The UE may receive services in either an idle mode or a connected mode.", "The connected mode may require signal tracking, synchronization, and feedback.", "In the connected mode, the UE may transmit information back to the eNB using a unicast connection.", "In the idle mode, the UE may receive broadcasts of the MBMS without performing all of the functions discussed above with respect to the connected mode.", "In the idle mode, however, the UE cannot send information back to the eNB.", "At block 1410, an MBMS notification is received.", "Thereafter, at block 1412, data is transferred, which can include the UE receiving data from the eNB.", "After the data is transferred, in one example, the session can be stopped at block 1414, which can include receiving an indication of the stopped session from the eNB.", "For example, a BM-SC can command the eNB to stop the session based on one or more events (e.g., a response to a counting request received from the eNB specifying a number of UEs below a threshold).", "The MBMS bearer service may be subsequently deactivated at 1416.Similar to the activation, the deactivation is typically a local procedure.", "In this way, MBMS bearer services may be used by the UE.", "FIG.", "15 depicts an example methodology 1500 for counting registration requests to determine whether to request device counting in broadcast communications.", "At 1502, a registration request can be received for broadcast data related to a device.", "For example, the request can be for an eMBMS, and can include a user service registration request over a unicast channel.", "In another example, the request can be received from a BM-SC relating to a request for the broadcast data.", "At 1504, a registration count can be incremented based in part on the registration request.", "The registration count can be stored in a memory or other storage medium, and can be incremented for each registration request received.", "In some examples, an identification of the device can be verified with devices that have previously registered to prevent multiple registration counts for a single device.", "In another example, the registration count can be decremented for a deregistration request received.", "At 1506, it can be determined whether to request counting of devices from one or more base stations based in part on the registration count.", "For example, if the number of registrations is at least at a threshold, counting can be requested to determine a count of devices receiving or interested in receiving broadcast data.", "For example, the counting can include request/response counting (e.g., in eMBMS), as described.", "In another example, the registration count can be used for other purposes as well, such as to determine whether to use unicast or multicast communications for the broadcast data.", "Where the number of registrations is below the threshold, for example, counting need not be requested, as described herein.", "In another example, a broadcast mode can be activated/deactivated based on the number of registrations with respect to the threshold.", "FIG.", "16 depicts an example methodology 1600 for determining whether to activate MBSFN.", "At 1602, registration information and/or TAU information can be received from a BM-SC.", "For example, this can include receiving an indication of registration, parameters related to the registration, and/or the like.", "At 1604, it can be determined whether a threshold number of UEs are registered in an area.", "The area, for example, can be related to the TAU.", "If the number of UEs registered in the area is at least at the threshold, at 1606, MBSFN can be activated.", "This can include employing multiple base stations to communicate broadcast data to the UEs.", "If the number of UEs registered in the area does not achieve the threshold, a counting request can be sent at 1608 to one or more base stations to obtain a more accurate count of devices actually receiving the broadcast data.", "At 1610, it can be determined whether a threshold number (of devices) are indicated in responses.", "If so, at 1606, MBSFN can be activated.", "If not, MBSFN can be deactivated or not enabled at 1614.FIG.", "17 shows an example methodology 1700 for responding to counting requests received from a base station.", "At 1702, a counting request can be received from a base station while communicating in an idle mode with the base station.", "For example, the counting request can be received in a paging message from the base station, as described.", "At 1704, communication with the base station can be switched to an active mode.", "In one example, switching to the active mode can be based on receiving the counting request or for another purpose (e.g., based on a paging signal received from the base station, a request for network access from an application, etc.).", "In another example, the switch to the active mode can be based on determining expiration of a period of time from receiving the counting request during which the active mode is not utilized for communicating with the base station.", "In any case, once in the active mode, the counting request can be responded to at 1706.For example, this can include communicating a counting response to the base station over a unicast channel established for communication in the active mode.", "It will be appreciated that, in accordance with one or more aspects described herein, inferences can be made regarding determining whether to request counting and/or activate MBSFN based on a registration count, determining whether to switch to an active communication mode to respond to a counting request, and/or the like, as described.", "As used herein, the term to “infer” or “inference” refers generally to the process of reasoning about or inferring states of the system, environment, and/or user from a set of observations as captured via events and/or data.", "Inference can be employed to identify a specific context or action, or can generate a probability distribution over states, for example.", "The inference can be probabilistic—that is, the computation of a probability distribution over states of interest based on a consideration of data and events.", "Inference can also refer to techniques employed for composing higher-level events from a set of events and/or data.", "Such inference results in the construction of new events or actions from a set of observed events and/or stored event data, whether or not the events are correlated in close temporal proximity, and whether the events and data come from one or several event and data sources.", "FIG.", "18 illustrates a system 1800 for determining whether to enable device counting based on a registration count.", "For example, system 1800 can reside at least partially within a MCE, BM-SC, and/or the like.", "It is to be appreciated that system 1800 is represented as including functional blocks, which can be functional blocks that represent functions implemented by a processor, software, or combination thereof (e.g., firmware).", "System 1800 includes a logical grouping 1802 of electrical components that can act in conjunction.", "For instance, logical grouping 1802 can include an electrical component for receiving a registration request for broadcast data related to a device 1804.This can be the registration request of the device, related information received from a BM-SC, etc.", "Thus, the registration request can be received from the device in an uplink signal, from the BM-SC over a core network communication, and/or the like.", "Logical grouping 1802 can also include an electrical component for incrementing a registration count based in part on the registration request 1806.For example, the registration count can be stored in a memory (e.g., memory 1810) and can correspond to a number of devices registered to receive a MBMS.", "Thus, electrical component 1806 can increment the stored registration count upon receiving registration requests from devices for the MBMS.", "In addition, as described, electrical component 1806 can decrement the registration count based on electrical component 1804 receiving a deregistration request.", "Logical grouping 1802 can also include an electrical component for determining whether to request counting of devices from one or more base stations based in part on the registration count 1808.For example, this can be based on whether the stored registration count is at least at a threshold.", "If so, counting can be requested from the one or more base stations, which can include communicating an indication to request counting to the one or more base stations over a network connection, as described.", "For example, electrical component 1804 can include a registration information receiving component 610, and electrical component 1806 can include a device counting component 612, as described above.", "In addition, for example, electrical component 1808, in an aspect, can include a counting request determining component 614, as described above.", "Additionally, system 1800 can include a memory 1810 that retains instructions for executing functions associated with the electrical components 1804, 1806, and 1808.While shown as being external to memory 1810, it is to be understood that one or more of the electrical components 1804, 1806, and 1808 can exist within memory 1810.Electrical components 1804, 1806, and 1808, in an example, can be interconnected over a bus 1812 or similar connection to allow communication among the components.", "In one example, electrical components 1804, 1806, and 1808 can include at least one processor, or each electrical component 1804, 1806, and 1808 can be a corresponding module of at least one processor.", "Moreover, in an additional or alternative example, electrical components 1804, 1806, and 1808 can be a computer program product including a computer readable medium, where each electrical component 1804, 1806, and 1808 can be corresponding code.", "FIG.", "19 illustrates a system 1900 for responding to counting requests from a base station.", "For example, system 1900 can reside at least partially within a device or other receiver.", "It is to be appreciated that system 1900 is represented as including functional blocks, which can be functional blocks that represent functions implemented by a processor, software, or combination thereof (e.g., firmware).", "System 1900 includes a logical grouping 1902 of electrical components that can act in conjunction.", "For instance, logical grouping 1902 can include an electrical component for receiving a counting request from a base station while communicating in an idle mode with the base station 1904.For example, the counting request can be received in a paging message from the base station.", "Logical grouping 1902 can also include an electrical component for switching to an active mode for communicating with the base station 1906.In an example, electrical component 1906 can switch to the active mode to communicate the counting request or for another purpose, as described.", "Switching to active mode can include activating one or more radio interfaces for communication with the one or more base stations.", "Logical grouping 1902 can also include an electrical component for responding to the counting request while in the active mode 1908.The counting request can be responded to by transmitting a counting response to the one or more base stations over an uplink connection thereto.", "For example, electrical component 1904 can include a counting request receiving component 1006, and electrical component 1906 can include a communication mode component 1008, as described above.", "In addition, for example, electrical component 1908, in an aspect, can include a counting request responding component 1010.Additionally, system 1900 can include a memory 1910 that retains instructions for executing functions associated with the electrical components 1904, 1906, and 1908.While shown as being external to memory 1910, it is to be understood that one or more of the electrical components 1904, 1906, and 1908 can exist within memory 1910.Electrical components 1904, 1906, and 1908, in an example, can be interconnected over a bus 1912 or similar connection to allow communication among the components.", "In one example, electrical components 1904, 1906, and 1908 can include at least one processor, or each electrical component 1904, 1906, and 1908 can be a corresponding module of at least one processor.", "Moreover, in an additional or alternative example, electrical components 1904, 1906, and 1908 can be a computer program product including a computer readable medium, where each electrical component 1904, 1906, and 1908 can be corresponding code.", "FIG.", "20 is an illustration of a mobile device 2000 that facilitates responding to counting requests.", "Mobile device 2000 may include a receiver 2002 that receives a signal from, for instance, a receive antenna (not shown), performs typical actions on (e.g., filters, amplifies, downconverts, etc.)", "the received signal, and digitizes the conditioned signal to obtain samples.", "Receiver 2002 can include a demodulator 2004 that can demodulate received symbols and provide them to a processor 2006 for channel estimation.", "Processor 2006 can be a processor dedicated to analyzing information received by receiver 2002 and/or generating information for transmission by a transmitter 2008, a processor that controls one or more components of mobile device 2000, and/or a processor that both analyzes information received by receiver 2002, generates information for transmission by transmitter 2008, and controls one or more components of mobile device 2000.Mobile device 2000 can additionally include memory 2010 that is operatively coupled to processor 2006 and that can store data to be transmitted, received data, information related to available channels, data associated with analyzed signal and/or interference strength, information related to an assigned channel, power, rate, or the like, and any other suitable information for estimating a channel and communicating via the channel.", "Memory 2010 can additionally store protocols and/or algorithms associated with estimating and/or utilizing a channel (e.g., performance based, capacity based, etc.).", "It will be appreciated that the data store (e.g., memory 2010) described herein can be either volatile memory or nonvolatile memory, or can include both volatile and nonvolatile memory.", "By way of illustration, and not limitation, nonvolatile memory can include read only memory (ROM), programmable ROM (PROM), electrically programmable ROM (EPROM), electrically erasable PROM (EEPROM), or flash memory.", "Volatile memory can include random access memory (RAM), which acts as external cache memory.", "By way of illustration and not limitation, RAM is available in many forms such as synchronous RAM (SRAM), dynamic RAM (DRAM), synchronous DRAM (SDRAM), double data rate SDRAM (DDR SDRAM), enhanced SDRAM (ESDRAM), Synchlink DRAM (SLDRAM), and direct Rambus RAM (DRRAM).", "The memory 2010 of the subject systems and methods is intended to include, without being limited to, these and any other suitable types of memory.", "Processor 2006 can further be optionally operatively coupled to a counting request receiving component 2012, which can be similar to counting request receiving component 1006, a communication mode component 2014, which can be similar to communication mode component 1008, and/or a counting request responding component 2016, which can be similar to counting request responding component 1010.Mobile device 2000 still further includes a modulator 2018 that modulates signals for transmission by transmitter 2008 to, for instance, a base station, another mobile device, etc.", "Moreover, for example, mobile device 2000 can include multiple transmitters 2008 for multiple network interfaces, as described.", "Although depicted as being separate from the processor 2006, it is to be appreciated that the counting request receiving component 2012, communication mode component 2014, counting request responding component 2016, demodulator 2004, and/or modulator 2018 can be part of the processor 2006 or multiple processors (not shown)), and/or stored as instructions in memory 2010 for execution by processor 2006.FIG.", "21 illustrates a computer device 2100 that can include an MCE 304, 606, 706, 806, 906, 1108, 1206, 1306, etc., BW-SC 312, 612, 712, 812, 912, 1114, 1212, 1312, etc.", "Computer device 2100 includes a processor 2102 for carrying out processing functions associated with one or more of components and functions described herein.", "Processor 2102 can include a single or multiple set of processors or multi-core processors.", "Moreover, processor 2102 can be implemented as an integrated processing system and/or a distributed processing system.", "Computer device 2100 further includes a memory 2104, such as for storing local versions of applications being executed by processor 2102.Memory 2104 can include substantially any type of memory usable by a computer, such as random access memory (RAM), read only memory (ROM), tapes, magnetic discs, optical discs, volatile memory, non-volatile memory, and any combination thereof.", "Computer device 2100 also includes one or more components 2106-2122, which can be stored in memory 2104, executed by processor 2102 (e.g., based on instructions stored in memory 2104), be implemented within one or more processors 2102, and/or the like.", "Further, computer device 2100 includes a communications component 2106 that provides for establishing and maintaining communications with one or more parties utilizing hardware, software, and services as described herein.", "Communications component 2106 may carry communications between components on computer device 2100, as well as between computer device 2100 and external devices, such as devices located across a communications network and/or devices serially or locally connected to computer device 2100.For example, communications component 2106 may include one or more buses, and may further include transmit chain components and receive chain components associated with a transmitter and receiver, respectively, operable for interfacing with external devices.", "Additionally, computer device 2100 may further include a data store 2108, which can be any suitable combination of hardware and/or software, that provides for mass storage of information, databases, and programs employed in connection with aspects described herein.", "For example, data store 2108 may be a data repository for applications not currently being executed by processor 2102.Computer device 2100 may optionally include an interface component 2110 operable to receive inputs from a user of computer device 2100, and further operable to generate outputs for presentation to the user.", "Interface component 2110 may include one or more input devices, including but not limited to a keyboard, a number pad, a mouse, a touch-sensitive display, a navigation key, a function key, a microphone, a voice recognition component, any other mechanism capable of receiving an input from a user, or any combination thereof.", "Further, interface component 2110 may include one or more output devices, including but not limited to a display, a speaker, a haptic feedback mechanism, a printer, any other mechanism capable of presenting an output to a user, or any combination thereof.", "In another example, interface component 2110 can be an application programming interface (API) that can be accessed by one or more devices to perform functions on computer device 2100.In addition, in the depicted example, computer device 2100 can optionally include one or more of a registration information receiving component 2112, which can be similar to registration information receiving component 610, a device counting component 2114, which can be similar to device counting component 612, a counting request determining component 2116, which can be similar to counting request determining component 614, a broadcast determining component 2118, which can be similar to broadcast determining component 616, a device registering component 2120, which can be similar to device registering component 618, and/or a registration information providing component 2122, which can be similar to registration information providing component 620.Thus, these components 2112, 2114, 2116, 2118, 2120, and/or 2122 can utilize processor 2102 to execute instructions associated therewith, memory 2104 to store information associated therewith, communications component 2106 to carry out communications, and/or the like, as described.", "In addition, it is to be appreciated that computer device 2100 can include additional or alternative components described herein.", "FIG.", "22 is an illustration of a system 2200 that facilitates communicating with one or more devices using wireless communications.", "System 2200 comprises a base station 2202, which can be substantially any base station (e.g., a small base station, such as a femtocell, picocell, etc., mobile base station .", ".", ".", "), a relay, etc., having a receiver 2210 that receives signal(s) from one or more mobile devices 2204 through a plurality of receive antennas 2206 (e.g., which can be of multiple network technologies, as described), and a transmitter 2228 that transmits to the one or more mobile devices 2204 through a plurality of transmit antennas 2208 (e.g., which can be of multiple network technologies, as described).", "In addition, in one example, transmitter 2228 can transmit to the mobile devices 2204 over a wired front link.", "Receiver 2210 can receive information from one or more receive antennas 2206 and is operatively associated with a demodulator 2212 that demodulates received information.", "In addition, in an example, receiver 2210 can receive from a wired backhaul link.", "Moreover, though shown as separate antennas, it is to be appreciated that at least one transmit antenna 2208 can be combined with at least one receive antenna 2206 as a single antenna.", "Demodulated symbols are analyzed by a processor 2214 that can be similar to the processor described above with regard to FIG.", "20, and which is coupled to a memory 2216 that stores information related to estimating a signal (e.g., pilot) strength and/or interference strength, data to be transmitted to or received from mobile device(s) 2204 (or a disparate base station (not shown)), and/or any other suitable information related to performing the various actions and functions set forth herein.", "Processor 2214 is further optionally coupled to counting component 2218, which can be similar to counting component 1012, and/or a count reporting component 2220, which can be similar to count reporting component 1014.Moreover, for example, processor 2214 can modulate signals to be transmitted using modulator 2226, and transmit modulated signals using transmitter 2228.Transmitter 2228 can transmit signals to mobile devices 2204 over Tx antennas 2208.Furthermore, although depicted as being separate from the processor 2214, it is to be appreciated that the counting component 2218, count reporting component 2220, demodulator 2212, and/or modulator 2226 can be part of the processor 2214 or multiple processors (not shown), and/or stored as instructions in memory 2216 for execution by processor 2214.The various illustrative logics, logical blocks, modules, components, and circuits described in connection with the embodiments disclosed herein may be implemented or performed with a general purpose processor, a digital signal processor (DSP), an application specific integrated circuit (ASIC), a field programmable gate array (FPGA) or other programmable logic device, discrete gate or transistor logic, discrete hardware components, or any combination thereof designed to perform the functions described herein.", "A general-purpose processor may be a microprocessor, but, in the alternative, the processor may be any conventional processor, controller, microcontroller, or state machine.", "A processor may also be implemented as a combination of computing devices, e.g., a combination of a DSP and a microprocessor, a plurality of microprocessors, one or more microprocessors in conjunction with a DSP core, or any other such configuration.", "Additionally, at least one processor may include one or more modules operable to perform one or more of the steps and/or actions described above.", "An exemplary storage medium may be coupled to the processor, such that the processor can read information from, and write information to, the storage medium.", "In the alternative, the storage medium may be integral to the processor.", "Further, in some aspects, the processor and the storage medium may reside in an ASIC.", "Additionally, the ASIC may reside in a user terminal.", "In the alternative, the processor and the storage medium may reside as discrete components in a user terminal.", "In one or more aspects, the functions, methods, or algorithms described may be implemented in hardware, software, firmware, or any combination thereof.", "If implemented in software, the functions may be stored or transmitted as one or more instructions or code on a computer-readable medium, which may be incorporated into a computer program product.", "Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another.", "A storage medium may be any available media that can be accessed by a computer.", "By way of example, and not limitation, such computer-readable media can include RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code in the form of instructions or data structures and that can be accessed by a computer.", "Also, substantially any connection may be termed a computer-readable medium.", "For example, if software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium.", "Disk and disc, as used herein, includes compact disc (CD), laser disc, optical disc, digital versatile disc (DVD), floppy disk and Blu-ray disc where disks usually reproduce data magnetically, while discs usually reproduce data optically with lasers.", "Combinations of the above should also be included within the scope of computer-readable media.", "While the foregoing disclosure discusses illustrative aspects and/or embodiments, it should be noted that various changes and modifications could be made herein without departing from the scope of the described aspects and/or embodiments as defined by the appended claims.", "Furthermore, although elements of the described aspects and/or embodiments may be described or claimed in the singular, the plural is contemplated unless limitation to the singular is explicitly stated.", "Additionally, all or a portion of any aspect and/or embodiment may be utilized with all or a portion of any other aspect and/or embodiment, unless stated otherwise." ] ]
Patent_15875613
[ [ "ACCESS GUARDS FOR MULTI-TENANT LOGGING", "A multi-tenant logging system that allows a user to have an individual profile that controls the user's access to tenant logs is provided.", "The system includes a plugin that adds features of an access control list (ACL) to indexes of a logging stack based on a user's access role.", "The stack is an aggregate of logs for nodes that are stored globally in the system.", "When a user requests a particular index to logs in the logging stack, an authorization token associated with the user is provided.", "Before the user request is allowed to proceed, the access guard plugin performs access control on the stack by referencing the ACL using the authorization token to determine which tenants a user can access in view of the user's current access role.", "The plugin uses the token and the user identifier to construct the ACL to enable each user's access to the stack." ], [ "1.A method comprising: receiving, by a processing device, an access request to access a logging stack comprising application logs, wherein the access request identifies a user identifier; identifying, by the processing device, an authorization token associated with the user identifier, wherein the authorization token references an access role; and generating, by the processing device, an access control list identifying application logs of the logging stack that are accessible in view of the access role.", "2.The method of claim 1, further comprising providing a portion of the application logs associated with the execution of the application in view of the access role.", "3.The method of claim 1, wherein the authorization token is identified in view of a browser session associated with the access request.", "4.The method of claim 1, further comprising generating an index for the logging stack in view of the access request, the index to reference application logs accessible by the user identifier.", "5.The method of claim 4, further comprising providing access to the application logs in accordance with a service in a cloud environment.", "6.The method of claim 1, further comprising syncing a current access role associated with the user identifier with the access role referenced by the authorization token from the access control list.", "7.The method of claim 6, wherein the syncing comprises, responsive to detecting that a time threshold is satisfied, removing the access role referenced by the authorization token from the access control list.", "8.A system comprising: a memory storing an access control list; and a processing device, operatively coupled to the memory, to: receive an access request to access a logging stack comprising application logs, wherein the access request identifies a user identifier; identify an authorization token associated with the user identifier, wherein the authorization token references an access role; and generate an access control list identifying application logs of the logging stack that are accessible in view of the access role.", "9.The system of claim 8, wherein the processing device is further to provide a portion of the application logs associated with the execution of the application in view of the access role.", "10.The system of claim 8, wherein the authorization token is identified in view of a browser session associated with the access request.", "11.The system of claim 8, wherein the processing device is further to generate an index for the logging stack in view of the access request, the index to reference application logs accessible by the user identifier.", "12.The system of claim 11, wherein the processing device is further to provide access to the application logs in accordance with a service in a cloud environment.", "13.The system of claim 8, wherein the processing device is further to sync a current access role associated with the user identifier with the access role referenced by the authorization token from the access control list.", "14.The system of claim 13, wherein to sync, the processing device is further to, responsive to detecting that a time threshold is satisfied, remove the access role referenced by the authorization token from the access control list.", "15.A non-transitory computer-readable storage medium comprising executable instructions that when executed, by a processing device, cause the processing device to: receive, by the processing device, an access request to access a logging stack comprising application logs, wherein the access request identifies a user identifier; identify an authorization token associated with the user identifier, wherein the authorization token references an access role; and generate an access control list identifying application logs of the logging stack that are accessible in view of the access role.", "16.The non-transitory computer-readable storage medium of claim 15, wherein the processing device is further to provide a portion of the application logs associated with the execution of the application in view of the access role.", "17.The non-transitory computer-readable storage medium of claim 15, wherein the authorization token is identified in view of a browser session associated with the access request.", "18.The non-transitory computer-readable storage medium of claim 15, wherein the processing device is further to generate an index for the logging stack in view of the access request, the index to reference application logs accessible by the user identifier.", "19.The non-transitory computer-readable storage medium of claim 18, wherein the processing device is further to provide access to the application logs in accordance with a service in a cloud environment.", "20.The non-transitory computer-readable storage medium of claim 15, wherein the processing device is further to sync a current access role associated with the user identifier with the access role referenced by the authorization token from the access control list." ], [ "<SOH> BACKGROUND <EOH>A “tenant” in a multi-tenant system is a defined set of computing resources with access privileges provided to a set of users and protected from access by other users in the system.", "A typical multi-tenant system is comprised of tenants that can range in size from one instance of an application to a set of inter-related and replicated applications.", "The multi-tenant system is designed to allow the users to share resources for the applications within these tenants." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>The disclosure can be understood more fully from the detailed description given below and from the accompanying drawings of various implementations of the disclosure.", "The drawings, however, should not be taken to limit the disclosure to the specific implementations, but are for explanation and understanding only.", "The disclosure is illustrated by way of examples, and not by way of limitation, and may be more fully understood with references to the following detailed description when considered in connection with the figures, in which: FIG.", "1 is a block diagram of a network architecture in which implementations of the disclosure may operate.", "FIG.", "2 is a block diagram of a Platform-as-a-Service (PaaS) system architecture according to an implementation of the disclosure.", "FIG.", "3 illustrates a flow diagram of a method of providing access guards for multi-tenant logging according to an implementation of the disclosure.", "FIG.", "4 illustrates a flow diagram of a method to generate an access control list for multi-tenant logging according to an implementation of the disclosure.", "FIG.", "5 illustrates a block diagram illustrating a computer system in which implementations of the disclosure may be used.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "15/053,435, filed Feb. 25, 2016, the entirety of which is incorporated herein by reference.", "TECHNICAL FIELD The implementations of the disclosure relate generally to computing infrastructures and, more specifically, relate to access guards for multi-tenant logging.", "BACKGROUND A “tenant” in a multi-tenant system is a defined set of computing resources with access privileges provided to a set of users and protected from access by other users in the system.", "A typical multi-tenant system is comprised of tenants that can range in size from one instance of an application to a set of inter-related and replicated applications.", "The multi-tenant system is designed to allow the users to share resources for the applications within these tenants.", "BRIEF DESCRIPTION OF THE DRAWINGS The disclosure can be understood more fully from the detailed description given below and from the accompanying drawings of various implementations of the disclosure.", "The drawings, however, should not be taken to limit the disclosure to the specific implementations, but are for explanation and understanding only.", "The disclosure is illustrated by way of examples, and not by way of limitation, and may be more fully understood with references to the following detailed description when considered in connection with the figures, in which: FIG.", "1 is a block diagram of a network architecture in which implementations of the disclosure may operate.", "FIG.", "2 is a block diagram of a Platform-as-a-Service (PaaS) system architecture according to an implementation of the disclosure.", "FIG.", "3 illustrates a flow diagram of a method of providing access guards for multi-tenant logging according to an implementation of the disclosure.", "FIG.", "4 illustrates a flow diagram of a method to generate an access control list for multi-tenant logging according to an implementation of the disclosure.", "FIG.", "5 illustrates a block diagram illustrating a computer system in which implementations of the disclosure may be used.", "DETAILED DESCRIPTION The disclosure provides techniques for implementing access guards for multi-tenant logging.", "Many Platform-as-a-Service (PaaS) systems, such as OpenShift®, provide resources to host the execution of applications owned or managed by multiple users.", "A PaaS system provides a platform and environment that allow users to build applications and services over the network.", "A variety of PaaS system offerings exist that include software and/or hardware components for facilitating the execution of applications across many machines in a clustered compute environment (the “cloud”).", "Although implementations of the disclosure are described in accordance with a certain type of system, this should not be considered as limiting the scope or usefulness of the features of the disclosure.", "For example, the features and techniques described herein can be used with other types of multi-tenant systems.", "Some infrastructure systems allow users to share data and work for the applications within tenants.", "A “tenant” is a collection of resources for running inter-related applications.", "The system can host a plurality of tenants on a single cluster of nodes (e.g., virtual machines).", "The nodes of the multi-tenant system can run multiple applications that may be owned or managed by different users and/or organizations.", "When an application executes, the application may produce logs that can include, but are not limited to, relevant runtime information, such as transactional, environment and system information, data, debugging and/or private code, and other types of information.", "Some application owners may want to allow particular users access to these logs to perform certain tasks, while limiting access to other user of the tenant or users of different organizations.", "This type of limited access helps ensure the confidentiality and security of the logs.", "To enable access to logs, some multi-tenant systems can include a type of aggregated logging system that is implemented per tenant and/or globally within the entire system.", "For example, the PaaS system may utilize an object store, which is a collection of data along with metadata that describes the data and a tool, such as Elasticsearch™, to be able to examine this object store.", "The object store may comprise a logging stack (e.g., a data structure comprising logs and the metadata associated with the logs) that is indexed (e.g., a type of data organization that allows user to partition data a certain way) and made searchable.", "When the aggregated logging system is deployed on a cluster, it aggregates logs for all nodes and projects on that cluster into the logging stack.", "In some situations, a web-enabled UI (user interface), such as Kibana™, provides visualization capabilities on top of the indexed logs on this logging stack for users and/or administrators.", "The multi-tenant system, however, may be severely impacted by the overhead incurred to run the logging system for every individual tenant, as well as the administrative overhead sustained to manage logs by system administrators for each individual organization associated with the cluster.", "In accordance with the disclosure, a multi-tenant logging system is provided that allows a user to have an individual profile that controls the user's access to tenant logs.", "This multi-tenant logging system includes an access guard plugin (e.g., a modular component that can selectivity add new features to an existing system) to a multi-tenant system, such as the PaaS system.", "The plugin adds features of an access control list (ACL) to indexes of the logging stack in view of a user's access role.", "The logging stack is an aggregate of logs for all nodes and projects on a cluster that is stored in a globally accessible location in the PaaS system.", "In one implementation, when a user requests a particular index to logs in the logging stack, an authorization token associated with the user is provided.", "Before the user request is allowed to proceed, the access guard plugin performs access control on the logging stack by referencing the ACL using the authorization token to determine which tenants a user can access in view of the user's current access role.", "In some implementations, the access guard plugin uses the authorization token and the user identifier to construct the ACL to enable each user's access to the logging stack.", "An advantage of the access guard plugin is that logs remain isolated from one another in the logging system, while still providing a common location for accessing logs across an entire cluster in view of an access role of a user that can change over time.", "If the user uses a web-enabled UI to request the logs, the authorization token and user identifier are used to modify the request from the UI to perform access control on the logging stack.", "For example, the access guard plugin retrieves the user identifier and authorization token in view of the user's browser session, and then passes that information along as a request header with each request.", "As noted above, the access guard plugin uses this information to construct the logging system's ACL in view of the user's current role.", "In some implementations, the ACL is periodically flushed by the access guard plugin to ensure that it remains up to date with the current access roles of users defined in the multi-tenant system.", "FIG.", "1 is a block diagram of a network architecture in which implementations of the disclosure may operate.", "The network architecture 100 includes a cloud 130 that includes nodes 111, 112, 121, 122 to execute software and/or other processes.", "In some implementations these nodes are virtual machines (VMs) that are hosted on a physical machine, such as host 1 110 through host N 120, implemented as part of the cloud 130.In some implementations, the host machines 110, 120 are often located in a data center.", "For example, nodes 111 and 112 are hosted on physical machine 110 in cloud 130 provided by cloud provider 104.When nodes 111, 112, 121, 122 are implemented as VMs, they may be executed by OSs 115, 125 on each host machine 110, 120.In some implementations, the host machines 110, 120 are often located in a data center.", "Users can interact with applications executing on the cloud-based nodes 111, 112, 121, 122 using client computer systems, such as clients 160, 170 and 180, via corresponding web browser applications 161, 171 and 181.In other implementations, the applications may be hosted directly on hosts 1 through N 110, 120 without the use of VMs (e.g., a “bare metal” implementation), and in such an implementation, the hosts themselves are referred to as “nodes”.", "Clients 160, 170, and 180 are connected to hosts 110, 120 in cloud 130 and the cloud provider system 104 via a network 102, which may be a private network (e.g., a local area network (LAN), a wide area network (WAN), intranet, or other similar private networks) or a public network (e.g., the Internet).", "Each client 160, 170, 180 may be a mobile device, a PDA, a laptop, a desktop computer, a tablet computing device, a server device, or any other computing device.", "Each host 110, 120 may be a server computer system, a desktop computer or any other computing device.", "The cloud provider system 104 may include one or more machines such as server computers, desktop computers, etc.", "In one implementation, the cloud provider system 104 is coupled to a cloud controller 108 via the network 102.The cloud controller 108 may reside on one or more machines (e.g., server computers, desktop computers, etc.)", "and may manage the execution of applications in the cloud 130.In some implementations, cloud controller 108 receives commands from PaaS system controller 140.In view of these commands, the cloud controller 108 provides data (such as pre-generated images) associated with different applications to the cloud provider system 104.In some implementations, the data may be provided to the cloud provider 104 and stored in an image repository 106, in an image repository (not shown) located on each host 110, 120, or in an image repository (not shown) located on each VM 111, 112, 121, 122.This data may be used for the execution of applications for a multi-tenant PaaS system managed by the PaaS provider controller 140.In one implementation, the data used for execution of applications includes application images built from pre-existing application components and source code of users managing the application.", "An image refers to data representing executables and files of the application used to deploy functionality for a runtime instance of the application.", "The image build system may be provided on components hosted by cloud 130, on a server device external to the cloud 130, or even run on nodes 111, 112, 121, 122.The image build system generates an application image for an application by combining pre-existing ready-to-run application image corresponding to core functional components of the application (e.g., a web framework, database, etc.)", "with source code specific to the application provided by the user.", "The resulting application image may be pushed to an image repository (not shown) for subsequent use in launching instances of the application images for execution in the PaaS system.", "Upon receiving a command identifying specific data (e.g., application data and files, such as application images, used to initialize an application on the cloud) from the PaaS provider controller 140, the cloud provider 104 retrieves the corresponding data from the image repository 106, creates an instance of the image, and loads it to the host 110, 120 for execution by nodes 111, 112, 121, 122.In addition, a command may identify specific data to be executed on one or more of the nodes 111, 112, 121, 122.The command may be received from the cloud controller 108, from the PaaS system controller 140, or a user (e.g., a system administrator) via a console computer or a client machine.", "When the specific data, such as an application image, is executed at a particular node certain logs (e.g., logs 1 through N 151,152,153,154) may be produced.", "The logs 151,152,153,154 may comprise event data logged by an application or other types of processes.", "The format of these logs 151,152,153,154, can be application specific and contain relevant information regarding the runtime environment for the applications that produced the logs.", "In one implementation, the logs 151,152,153,154 for all the nodes 111, 112, 121, 122 may be aggregated into a logging stack 107.For example, the multi-tenant PaaS system managed by the PaaS provider controller 140 may collect these logs along with any metadata that describes the logs into a location that is globally accessible in the multi-tenant PaaS system.", "In some implementations, the logging stack 107 includes a plurality of indexes 103 that are in view of a plurality of different data types (e.g.", "categories of data).", "Some users (e.g., clients 160, 170, 180 and/or administrators) request access to the logging stack 107 in order to analyze logs, and to inspect events or system incidents or detect abnormalities in the runtime environments for applications of the user.", "In some implementations, the users are able to run queries directly against the logging stack 107 using one or a combination of two or more indexes 103 to analyze logs.", "In one implementation, the users may use a visualization interface 109.For example, the visualization interface 109 may include a web-enabled portal that provides users visualization capabilities to search and analyze logs.", "In one implementation, the multi-tenant PaaS system managed by the PaaS provider controller 140 may include a plugin, such as access guard component 145, that is installed to provide an individual profile for a user that controls the user's access to the logs of the logging stack 107.When a user requests access to a log on the logging stack 107, for example, by directly accessing the logging stack 107 or through the visualization interface 109, the access guard component 145 determines which indexes 103 of the stack 107 that the user is entitled to access in view of their current access role.", "For example, the access guard component 145 identifies an authorization token that corresponds to the user's credential (e.g., user id and password) in the system.", "This authorization token is used to check the current role of the user for accessing certain tenants (e.g., a collection of inter-related applications) as defined by the system.", "In this regard, the access guard component 145 communicates with the PaaS system controller 140 in order to add access control features for logs of the system as discussed in more detail further below with respect to FIGS.", "2 through 5.While various implementations are described in terms of the environment described above, the facility may be implemented in a variety of other environments including a single, monolithic computer system, as well as various other combinations of computer systems or similar devices connected in various ways.", "For example, the access guard component 145 may be running on a node of the PaaS system hosted by cloud 130, or may execute external to cloud 130 on a separate server device.", "In some implementations, the access guard component 145 may include more components than what is shown that operate in conjunction with the PaaS system.", "For example, the access guard component 145 may access an authentication utility to obtain the benefits of the techniques described herein.", "In another example, data from the application image may run directly on a physical host 110, 120 instead of being instantiated on nodes 111, 112, 121, 122.In some implementations, an environment other than a VM may be used to execute functionality of the PaaS applications.", "As such, in some implementations, a “node” providing computing functionality may provide the execution environment for an application of the PaaS system.", "The “node” may refer to a VM or any other type of computing environment.", "FIG.", "2 is a block diagram of a Platform-as-a-Service (PaaS) system architecture according to an implementation of the disclosure.", "The PaaS system architecture 200 allows users to launch software applications in a cloud computing environment, such as cloud computing environment provided in network architecture 100 described with respect to FIG.", "1.The PaaS system architecture 200, in one implementation, includes a client layer 210, a PaaS master layer 220, and a node layer 230.In one implementation, the components of the PaaS system architecture 200 are in communication with each other via a network (not shown).", "The network may include, for example, the Internet in one implementation.", "In other implementations, other networks, wired and wireless, such as an intranet, local area network (LAN), wide area network (WAN), or broadcast network may be used.", "In one implementation, the client layer 210 resides on a client machine, such as a workstation of a software developer, and provides an interface to a user of the client machine to the PaaS master layer 220 and the node layer 230 of the PaaS system 200.In one implementation, the client machine can be a client 160, 170, 180 described with respect to FIG.", "1.The PaaS master layer 220 may facilitate the creation and deployment on the cloud (via node layer 230) of software applications being developed by an end user at client layer 210.In one implementation, the client layer 210 includes a source code management system 212, sometimes referred to as “SCM” or revision control system.", "One example of such an SCM or revision control system is Git, available as open source software.", "Another example of an SCM or revision control system is Mercurial, also available as open source software.", "Git, Mercurial, and other such distributed SCM systems typically include a working directory for making changes, and a local software repository for storing the changes for each application associated with the end user of the PaaS system 200.The packaged software application can then be “pushed” from the local SCM repository to the node(s) 232a, 232b running the associated application.", "The client layer 210, in one implementation, also includes a set of command line tools 214 that a user can utilize to create, launch, and manage applications using a PaaS system.", "In one implementation, the command line tools 214 can be downloaded and installed on the user's client machine, and can be accessed via a command line interface or a graphical user interface, or some other type of interface.", "In one implementation, the command line tools 214 utilize an application programming interface (“API”) of the PaaS master layer 220 and perform other applications management tasks in an automated fashion using other interfaces, as is described in more detail further below in accordance with some implementations.", "In one implementation, the PaaS master layer 220 acts as middleware between the client layer 210 and the node layer 230.The node layer 230 includes the nodes 232a-b on which applications 235a− are provisioned and executed.", "In one implementation, each node 232a-b is a VM.", "In some implementations, the VMs are provisioned by an Infrastructure as a Service (IaaS) provider.", "In other implementations, the nodes 232a-c may be physical machines or VMs residing on a single physical machine.", "In one implementation, the PaaS master layer 220 is implemented on one or more machines, such as server computers, desktop computers, etc.", "In some implementations, the PaaS master layer 220 may be implemented on one or more machines separate from machines implementing each of the client layer 210 and the node layer 230, or may be implemented together with the client layer 210 and/or the node layer 230 on one or more machines, or some combination of the above.", "In implementations of the disclosure, the PaaS system architecture 200 of FIG.", "2 is a multi-tenant PaaS environment.", "In a multi-tenant PaaS environment, each node 232a-b runs multiple applications 235a-c that may be owned or managed by different users (e.g., owners) and/or organizations.", "As such, a first customer's deployed applications 235a-c may co-exist with any other customer's deployed applications on the same node 232 that is hosting the first customer's deployed applications 235a-c.", "In some implementations, portions of an application execute on multiple different nodes 232a-b.", "For example, as shown in FIG.", "2, components of application 1 235a run in both node 232a and node 232b.", "Similarly, components of application 2 235b may run in node 232a and node 232b.", "In one implementation, the PaaS master layer 220 includes a PaaS master component 222 that coordinates requests from the client layer 210 with actions to be performed at the node layer 230.Examples of the requests can include a request to create an application, a request to perform an action (e.g., creating, removing, and/or managing an application), a request to deploy source code of an application, a request to designate a system to host a remote SCM repository (e.g., an indication that a system has been designated by a user to host a remote SCM repository), etc.", "In one implementation, a user, using the command line tools 214 at client layer 210, can request the creation and/or execution of a new application 235a-c, deployment of source code of the application 235a-c, the designation of a system that hosts a remote SCM repository, etc.", "In response to receiving such a request, the PaaS master component 222 may first authenticate the user using an authentication service 224.The authentication service 224 determines an identity of the user making the request and creates a token, such as authorization token 260, for that user.", "The authorization token 260 may be text passed to end user for authentication purposes.", "In one implementation, the authentication service 224 may comprise custom authentication methods, or standard protocols such as SAML, Oauth, etc.", "Once the user has been authenticated and allowed access to the PaaS system by authentication service 224, the PaaS master component 222 collects information about the nodes 232a-b.", "For example, the PaaS master component 222 may aggregate logs associated with the application 235a-c on nodes 232a-b into the logging stack 107.In some implementations, the logging stack 107 comprises a globally-accessible object store in the multi-tenant PaaS system 200 for storing logs and metadata for the logs.", "In one implementation, the logging stack 107 may be indexed in view of a plurality of different data types (e.g.", "categories of data) and made searchable via the indexes.", "In one implementation, the multi-tenant PaaS system 200 provides a service for clients to access logs on logging stack 107 in the cloud environment.", "As noted above, the PaaS system 200 includes an access guard component 145 that allows users to access logs of the logging stack 107 in view of their current access role.", "In one implementation, the access guard component 145 utilizes the authorization token 260 associated with the user to construct an access control list (ACL) 223 for accessing the logs.", "The ACL 223 may be a data structure (e.g., an array, tree, list or other types of data structures) that stores a number of rows 221 with each row associated with a particular user.", "Each entry in a row may contain information related to that particular user, for example, the row may include an entry 225 storing a user identifier (e.g., user name), an entry 225 storing an authorization token associated with the user identifier, as well as an entry 227 to store other relevant information regarding the user's access role.", "Access roles of the users grant them various levels of access to the logs on the logging stack 107.For example, the access roles can define applications that a user can access, a time frame or date that the access is allowed, as well as certain permission levels associated with the user, such as whether the user has user-level access and/or administrative access to the applications.", "If the user requests a specific index to the logging stack 107 associated with an application, the access guard component 145 uses information about the requesting user to determine if the request should be allowed.", "For example, the authorization token 260 associated with the user is identified to determine which applications the user can access in view of their access role.", "As noted above, the authorization token 260 is generated by the authentication service 224 at a time when the user's credentials are authenticated.", "The access guard component 145 then updates the ACL 223 with this information before the request is allowed to proceed.", "In some implementations, the multi-tenant PaaS system 200 includes a visualization interface 109 that provides certain capabilities in addition to indexing the log content on the logging stack 107.In this regard, all request to access the logging 107 are handled by the access guard component 145 no matter whether the request originates from the visualization interface 109 or directly against the logging stack 107.If the visualization interface 109 is used to access the logs, the access guard component 145 retrieves the authorization token 260 and user identifier associated with the user's client (e.g., web browser session).", "For example, when a user provides credentials in the browser session to log into the PaaS system 200, the authorization token is identified by the PaaS system 200 in view of these credentials and passed along to the access guard component 145.In one implementation, the user request may be modified or otherwise rewritten to incorporate the access role of the user.", "For example, the access guard component 145, after determining the authorization token and user identifier associated with the request, appends this information to a request header associated with the request.", "The request is then transformed to use an index that is unique for the user requesting the logs and is separate from indices generated for other users.", "This index is in view of the particular user's access role profile that is identified by using the authorization token sent with the modified request.", "Then, the access guard component 145 applies the index to the logging stack 107 to select logs that correspond to applications the user is allowed to access in view of the information retrieved from the ACL 223.Thereupon, the portions of the logs associated with these applications are identified in the logging stack 107 and provided to the user for analyses.", "If the user requests an index that the user is not allowed to access, the access guard component 145 may generate an error message indicating that the request is denied.", "To ensure that the ACL 223 is kept up-to-date with the current access roles of the users, the access guard component 145 may at times remove certain entries 221 from the ACL 223.In some implementations, the ACL 223 is periodically cleared out by the access guard component 145 to ensure it remains up to date or otherwise in sync with the access roles of users defined in the PaaS system 200.For example, the ACL 223 is constructed as users make request to view certain logs although some users (e.g., administrators) may be persistently added to the ACL.", "If the same user keeps making requests, that user's information remains on the ACL 223.If a certain period of time is passed (e.g., a time threshold of minutes, hours, days etc.)", "since the user made a request that added their authorization to the ACL 223, the access guard component 145 may remove the user's information from the ACL 223.If the user's access role has been changed (e.g., by an administrator or tenant owner), the next time the user makes a request to access the logs the user's updated role is reflected on the ACL 223 when the user's updated authorization token is added.", "Thus, the user may no longer have access to logs that they were able to previously access or they may now have access to additional logs in view of their new access role.", "FIG.", "3 illustrates a flow diagram illustrating a method of providing access guards for multi-tenant logging according to an implementation of the disclosure.", "In one implementation, the access guard component 145 of FIG.", "1 may perform method 300.The method 300 may be performed by processing logic that may comprise hardware (circuitry, dedicated logic, etc.", "), software (e.g., software executed by a general purpose computer system or a dedicated machine), or a combination of both.", "In alternative implementations, some or all of the method 300 may be performed by other components of a multi-tenant system.", "It should be noted that blocks depicted in FIG.", "3 can be performed simultaneously or in a different order than that depicted.", "Method 300 begins at block 310 where a request to access a logging stack comprising application logs associated with an execution of an application is received.", "For example, a client associated with client layer 210 of FIG.", "2 may request access to the logging stack 107 of Paas System 200.In block 320, a user identifier and an authorization token related to the user identifier is identified in response to the access request.", "For example, this information may be identified in view of a browser session associated with the client layer 210.A modified request is generated in block 330 in view of the access request.", "For example, the access request received in block 310 can be rewritten to include the user identifier and authorization token.", "In this regard, the authorization token references an access role for the user identifier from an access control list.", "In block 340, the modified request is applied to the logging stack to identify the application logs from the logging stack that are accessible in view of the access role.", "FIG.", "4 illustrates a flow diagram of a method to generate an access control list for multi-tenant logging according to an implementation of the disclosure.", "In one implementation, the access guard component 145 of FIG.", "1 may perform method 400.The method 400 may be performed by processing logic that may comprise hardware (circuitry, dedicated logic, etc.", "), software (e.g., software executed by a general purpose computer system or a dedicated machine), or a combination of both.", "In alternative implementations, some or all of the method 400 may be performed by other components of a PaaS system.", "It should be noted that blocks depicted in FIG.", "4 can be performed simultaneously or in a different order than that depicted.", "Method 400 begins at block 410 where a request to access a logging stack comprising application logs associated with an execution of an application is processed.", "An authorization token that is associated with a user identifier and access role is obtained in view of the request in block 420.A determination as to whether the application logs from the logging stack are accessible in view of the access role is made in block 430.In block 440, an access control list to access the logging stack in view of the determination is produced.", "In this regard, the access control list comprises a reference to the authorization token.", "FIG.", "5 illustrates a diagrammatic representation of a machine in the example form of a computer system 500 within which a set of instructions, for causing the machine to perform any one or more of the methodologies discussed herein, may be executed.", "In alternative implementations, the machine may be connected (e.g., networked) to other machines in a LAN, an intranet, an extranet, or the Internet.", "The machine may operate in the capacity of a server or a client device in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment.", "The machine may be a personal computer (PC), a tablet PC, a set-top box (STB), a Personal Digital Assistant (PDA), a cellular telephone, a web appliance, a server, a network router, switch or bridge, or any machine capable of executing a set of instructions (sequential or otherwise) that specify actions to be taken by that machine.", "Further, while only a single machine is illustrated, the term “machine” shall also be taken to include any collection of machines that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methodologies discussed herein.", "The computer system 500 includes a processing device 502, a main memory 504 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) (such as synchronous DRAM (SDRAM) or DRAM (RDRAM), etc.", "), a static memory 506 (e.g., flash memory, static random access memory (SRAM), etc.", "), and a data storage device 518, which communicate with each other via a bus 508.Processing device 502 represents one or more general-purpose processing devices such as a microprocessor, central processing unit, or the like.", "More particularly, the processing device may be complex instruction set computing (CISC) microprocessor, reduced instruction set computer (RISC) microprocessor, very long instruction word (VLIW) microprocessor, or processor implementing other instruction sets, or processors implementing a combination of instruction sets.", "Processing device 502 may also be one or more special-purpose processing devices such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), network processor, or the like.", "The processing device 502 is to execute the processing logic 526 for performing the operations and steps discussed herein.", "The computer system 500 may further include a network interface device 522 communicably coupled to a network 564.The computer system 500 also may include a video display unit 510 (e.g., a liquid crystal display (LCD) or a cathode ray tube (CRT)), an alphanumeric input device 512 (e.g., a keyboard), a cursor control device 514 (e.g., a mouse), and a signal generation device 516 (e.g., a speaker).", "The data storage device 518 may include a non-transitory machine-accessible storage medium 524 on which is stored software 526 embodying any one or more of the methodologies of functions described herein.", "The software 526 may also reside, completely or at least partially, within the main memory 504 as instructions 526 and/or within the processing device 502 as processing logic 526 during execution thereof by the computer system 500; the main memory 504 and the processing device 502 also constituting machine-accessible storage media.", "The non-transitory machine-readable storage medium 524 may also be used to store instructions 526 to implement an access guard component 145 to provide access guards for multi-tenant logging in a system, such as the PaaS system described herein, and/or a software library containing methods that call the above applications.", "While the machine-accessible storage medium 524 is shown in an example implementation to be a single medium, the term “machine-accessible storage medium” should be taken to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated caches and servers) that store the one or more sets of instructions.", "The term “machine-accessible storage medium” shall also be taken to include any medium that is capable of storing, encoding or carrying a set of instruction for execution by the machine and that cause the machine to perform any one or more of the methodologies of the disclosure.", "The term “machine-accessible storage medium” shall accordingly be taken to include, but not be limited to, solid-state memories, and optical and magnetic media.", "It is to be understood that the above description is intended to be illustrative, and not restrictive.", "Many other implementations are apparent upon reading and understanding the above description.", "The scope of the disclosure should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.", "In the above description, numerous details are set forth.", "It is apparent, however, that the disclosure may be practiced without these specific details.", "In some instances, structures and devices are shown in block diagram form, rather than in detail, in order to avoid obscuring the disclosure.", "Some portions of the detailed descriptions above are presented in terms of algorithms and symbolic representations of operations on data bits within a computer memory.", "These algorithmic descriptions and representations are the means used by those skilled in the data processing arts to most effectively convey the substance of their work to others skilled in the art.", "An algorithm is here, and generally, conceived to be a self-consistent sequence of steps leading to a desired result.", "The steps are those requiring physical manipulations of physical quantities.", "Usually, though not necessarily, these quantities take the form of electrical or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated.", "It has proven convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like.", "It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities.", "Unless specifically stated otherwise, as apparent from the following discussion, it is appreciated that throughout the description, discussions utilizing terms such as “monitoring”, “receiving”, “determining”, “identifying”, “generating”, “applying”, “processing” or the like, refer to the action and processes of a computer system, or similar electronic computing device, that manipulates and transforms data represented as physical (electronic) quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system memories or registers or other such information storage, transmission or display devices.", "The disclosure also relates to an apparatus for performing the operations herein.", "This apparatus may be specially constructed for the required purposes, or it may comprise a general purpose computer selectively activated or reconfigured by a computer program stored in the computer.", "Such a computer program may be stored in a computer readable storage medium, such as, but not limited to, any type of disk including floppy disks, optical disks, CD-ROMs, and magnetic-optical disks, read-only memories (ROMs), random access memories (RAMs), EPROMs, EEPROMs, magnetic or optical cards, or any type of media suitable for storing electronic instructions, each coupled to a computer system bus.", "The algorithms and displays presented herein are not inherently related to any particular computer or other apparatus.", "Various general-purpose systems may be used with programs in accordance with the teachings herein, or it may prove convenient to construct more specialized apparatus to perform the required method steps.", "The required structure for a variety of these systems appears as set forth in the description below.", "In addition, the disclosure is not described with reference to any particular programming language.", "It is appreciated that a variety of programming languages may be used to implement the teachings of the disclosure as described herein.", "The disclosure may be provided as a computer program product, or software, that may include a machine-readable medium having stored thereon instructions, which may be used to program a computer system (or other electronic devices) to perform a process according to the disclosure.", "A machine-readable medium includes any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer).", "For example, a machine-readable (e.g., computer-readable) medium includes a machine (e.g., a computer) readable storage medium (e.g., read only memory (“ROM”), random access memory (“RAM”), magnetic disk storage media, optical storage media, flash memory devices, etc.", "), a machine (e.g., computer) readable transmission medium (electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.", ")), etc.", "It is to be understood that the above description is intended to be illustrative, and not restrictive.", "Many other implementation examples are apparent upon reading and understanding the above description.", "Although the disclosure describes specific examples, it is recognized that the systems and methods of the disclosure are not limited to the examples described herein, but may be practiced with modifications within the scope of the appended claims.", "Accordingly, the specification and drawings are to be regarded in an illustrative sense rather than a restrictive sense.", "The scope of the disclosure should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled." ] ]
Patent_15875614
[ [ "AIRCRAFT SYSTEM", "An aircraft capable of operating at a variety of speeds includes a power plant and an auxiliary turbine.", "The auxiliary turbine can be a ram air turbine used to expand and cool an airflow and provide work.", "The cooled airflow from the auxiliary turbine can be used in a heat exchange device such as, but not limited to, a fuel/air heat exchanger.", "In one embodiment the cooled airflow can be used to exchange heat with a compressor airflow being routed to cool a turbine.", "Work developed from the auxiliary turbine can be used to power a heating device and rotate a device to add work to a shaft of the aircraft power plant.", "In one form the aircraft power plant is a gas turbine engine and the work developed from the auxiliary turbine is used to heat a combustor flow or to drive a shaft that couples a turbine and a compressor." ], [ "1.An apparatus comprising: an aircraft having a gas turbine engine capable of generating thrust for forward flight, the aircraft also having a thermal and power system that includes a component separate from the gas turbine engine, the thermal and power system structured to provide a thermodynamic effect to the gas turbine engine, the thermal and power system including: a bypass passage structured to receive and bypass an airflow to avoid a combustion of the gas turbine engine; an air turbine in fluid communication with the bypass passage, the air turbine operable to reduce a temperature and pressure of the airflow from the bypass passage; a power component rotatingly coupled with and operable to receive power from the air turbine; and wherein the thermal and power system is structured to affect a thermodynamic process of the gas turbine engine.", "2.The apparatus of claim 1, wherein the thermal and power system is structured to: (1) deliver a heat to a combustor air of the gas turbine engine; (2) provide power to a gas turbine engine spool; or (3) exchange heat between a flow of air and a compressor air routed to a turbine of the gas turbine engine; and wherein the thermal and power system further includes a heat producing component in thermal communication with a mass flow of air downstream of a compressor of the gas turbine engine.", "3.The apparatus of claim 1, which further includes a second air turbine downstream of the air turbine.", "4.The apparatus of claim 1, which further includes a fuel/air heat exchanger structured to transfer heat with a fuel used for the gas turbine engine.", "5.The apparatus of claim 1, which further includes a heat exchanger having a first passage for the airflow and a second passage for a compressor airflow from the gas turbine engine, the compressor airflow routed to cool a turbine of the gas turbine engine; and wherein a heater is positioned downstream of the air turbine operable to deliver a heat to the airflow.", "6.The apparatus of claim 1, wherein the power component is a shaft that couples a compressor and turbine of the gas turbine engine.", "7.A method comprising: operating an aircraft power plant to provide thrust to an aircraft; turning a ram air turbine as a consequence of operating the aircraft; extracting work from the ram air turbine and generating power; and imparting thermodynamic work or heat to the aircraft power plant as a result of turning the ram air turbine.", "8.The method of claim 7, wherein the aircraft power plant is a gas turbine engine, wherein the turning includes cooling an airflow through the ram air turbine and the imparting includes cooling a turbine coolant flow from a compressor of the gas turbine engine; and which further includes distributing power to a heater, and dumping heat via the heater to an airflow downstream of the ram air turbine.", "9.The method of claim 7, wherein the imparting includes adding heat to an airflow downstream of a compressor of the aircraft power plant.", "10.The method of claim 7, which further includes delivering a power to a shaft of the aircraft power plant.", "11.The method of claim 7, wherein the turning includes flowing a ram air turbine airflow through the ram air turbine, and which further includes expanding the ram air turbine airflow through a turbine downstream of the ram air turbine, the expanding affecting the generating power.", "12.An apparatus comprising: a gas turbine engine structured to provide power to an aircraft to achieve supersonic flight, the gas turbine engine including a compressor, a combustor configured to receive a compressed airflow from the compressor, a turbine coupled to the compressor and configured to receive a stream of combustion products from the combustor, and a bypass passage structured to receive and bypass an auxiliary turbine airflow to avoid the combustor of the gas turbine engine; an auxiliary turbine configured to receive the auxiliary turbine airflow, extract work from the auxiliary turbine airflow, and discharge the auxiliary turbine airflow in response to the auxiliary turbine airflow traversing through the auxiliary turbine during operation of the gas turbine engine; and a fuel/air heat exchanger in fluid communication with the bypass and the auxiliary turbine and configured to receive the auxiliary turbine airflow from one of the bypass and the auxiliary turbine.", "13.The apparatus of claim 12, further comprising an electric generator coupled to the auxiliary turbine and configured to be driven by the auxiliary turbine.", "14.The apparatus of claim 12, wherein the fuel/air heat exchanger is in fluid communication with the auxiliary turbine and located downstream from the auxiliary turbine such that the auxiliary turbine airflow traverses through the fuel/air heat exchanger during operation of the gas turbine engine.", "15.The apparatus of claim 14, further comprising a second auxiliary turbine in fluid communication with the auxiliary turbine and located downstream from the auxiliary turbine such that the auxiliary turbine airflow traverses through the second auxiliary turbine during operation of the gas turbine engine.", "16.The apparatus of claim 15, wherein the fuel/air heat exchanger is located fluidly between the auxiliary turbine and the second auxiliary turbine.", "17.The apparatus of claim 15, further comprising an electric generator coupled to the second auxiliary turbine and configured to be driven by the second auxiliary turbine.", "18.The apparatus of claim 12, wherein the fuel/air heat exchanger is located fluidly between the bypass and the auxiliary turbine.", "19.The apparatus of claim 18, further comprising an electric generator coupled to the auxiliary turbine and configured to be driven by the auxiliary turbine.", "20.The apparatus of claim 19, wherein the electric generator is configured to provide power to a shaft of the gas turbine engine during operation of the gas turbine engine." ], [ "<SOH> BACKGROUND <EOH>Gas turbine engines are used to power aircraft, watercraft, power generators, and the like.", "Gas turbine engines typically include a compressor, a combustor, and a turbine.", "The compressor compresses air drawn into the engine and delivers high pressure air to the combustor.", "In the combustor, fuel is mixed with the high pressure air and is ignited.", "Products of the combustion reaction in the combustor are directed into the turbine where work is extracted to drive the compressor and, sometimes, an output shaft.", "Left-over products of the combustion are exhausted out of the turbine and may provide thrust in some applications.", "Providing aircraft with an ability to cool components and/or configure an aircraft power plant to interact with an auxiliary air turbine remains an area of interest.", "Some existing systems have various shortcomings relative to certain applications.", "Accordingly, there remains a need for further contributions in this area of technology." ], [ "<SOH> SUMMARY <EOH>The present disclosure may comprise one or more of the following features and combinations thereof.", "One embodiment of the present disclosure is a unique aircraft power plant coupled with a thermal and power system that includes an auxiliary air turbine.", "Other embodiments include apparatuses, systems, devices, hardware, methods, and combinations for providing a cold heat sink for cooling devices aboard an aircraft or engine when a fuel sink has been exhausted and there are no cold air streams, which is typical of supersonic flight.", "These and other features of the present disclosure will become more apparent from the following description of the illustrative embodiments." ], [ "PRIORITY CLAIM This application is a continuation of U.S. patent application Ser.", "No.", "14/204,638 filed Mar.", "11, 2014, which claims priority to and the benefit of U.S.", "Provisional Application Ser.", "No.", "61/799,853, filed Mar.", "15, 2013, each of which is now expressly incorporated by reference herein.", "FIELD OF THE DISCLOSURE The present disclosure relates generally to aircraft systems, and more specifically, but not exclusively, to aircraft power plants and auxiliary systems.", "BACKGROUND Gas turbine engines are used to power aircraft, watercraft, power generators, and the like.", "Gas turbine engines typically include a compressor, a combustor, and a turbine.", "The compressor compresses air drawn into the engine and delivers high pressure air to the combustor.", "In the combustor, fuel is mixed with the high pressure air and is ignited.", "Products of the combustion reaction in the combustor are directed into the turbine where work is extracted to drive the compressor and, sometimes, an output shaft.", "Left-over products of the combustion are exhausted out of the turbine and may provide thrust in some applications.", "Providing aircraft with an ability to cool components and/or configure an aircraft power plant to interact with an auxiliary air turbine remains an area of interest.", "Some existing systems have various shortcomings relative to certain applications.", "Accordingly, there remains a need for further contributions in this area of technology.", "SUMMARY The present disclosure may comprise one or more of the following features and combinations thereof.", "One embodiment of the present disclosure is a unique aircraft power plant coupled with a thermal and power system that includes an auxiliary air turbine.", "Other embodiments include apparatuses, systems, devices, hardware, methods, and combinations for providing a cold heat sink for cooling devices aboard an aircraft or engine when a fuel sink has been exhausted and there are no cold air streams, which is typical of supersonic flight.", "These and other features of the present disclosure will become more apparent from the following description of the illustrative embodiments.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 depicts one embodiment of the present application.", "FIG.", "2 depicts one embodiment of the present application.", "FIG.", "3 depicts one embodiment of the present application.", "FIG.", "4 depicts one embodiment of the present application.", "FIG.", "5 depicts one embodiment of the present application.", "DETAILED DESCRIPTION OF THE DRAWINGS For the purposes of promoting an understanding of the principles of the disclosure, reference will now be made to a number of illustrative embodiments illustrated in the drawings and specific language will be used to describe the same.", "With reference to FIG.", "1, an aircraft 50 is shown having a gas turbine engine 52 in the form of a gas turbine engine and an auxiliary turbine 54 which can take the form of a ram air turbine.", "As used herein, the term “aircraft” includes, but is not limited to, helicopters, airplanes, unmanned space vehicles, fixed wing vehicles, variable wing vehicles, rotary wing vehicles, unmanned combat aerial vehicles, tailless aircraft, hover crafts, and other airborne and/or extraterrestrial (spacecraft) vehicles such as dual-stage to orbit vehicles having an air breathing first stage.", "The aircraft 50 may operate at a variety of speeds and includes a sensor 56 and controller 58.The sensor 56 measures or estimates aircraft flight condition such as speed and altitude, to set forth just two non-limiting examples, and can output any variety of data whether sensed, calculated, or otherwise.", "For example, the sensor 56 can sense and output conditions such as static temperature, static pressure, total temperature, and/or total pressure, among possible others.", "In addition, the sensor 56 can output calculated values such as, but not limited to, equivalent airspeed, altitude, and Mach number.", "Any number of other sensed conditions or calculated values can also be output.", "The sensor 56 provides data to the controller 58 and can output values in either analog or digital form.", "The controller 58 is provided to monitor and control engine operations.", "The controller 58 can be comprised of digital circuitry, analog circuitry, or a hybrid combination of both of these types.", "Also, the controller 58 can be programmable, an integrated state machine, or a hybrid combination thereof.", "The controller 58 can include one or more Arithmetic Logic Units (ALUs), Central Processing Units (CPUs), memories, limiters, conditioners, filters, format converters, or the like which are not shown to preserve clarity.", "In one form, the controller 58 is of a programmable variety that executes algorithms and processes data in accordance with operating logic that is defined by programming instructions (such as software or firmware).", "Alternatively or additionally, operating logic for the controller 58 can be at least partially defined by hardwired logic or other hardware.", "In one particular form, the controller 58 is configured to operate as a Full Authority Digital Engine Control (FADEC); however, in other embodiments it may be organized/configured in a different manner as would occur to those skilled in the art.", "Though only one controller 58 is depicted, some embodiments can include more than one controller 58 which can be capable of interacting with any of the other controllers 58.It should be appreciated that controller 58 can be exclusively dedicated to functions related to the aircraft 50, gas turbine engine 52, and/or the auxiliary turbine 54, or the interactions between and among any of them.", "Though the power plant 52 is depicted as a gas turbine engine in the illustrative embodiment, different embodiments can take other aircraft power plant forms including, but not limited to, turbine based combined cycle engines.", "The gas turbine engine 52 depicted in FIG.", "1 is shown as a single spool turbojet engine, but in other embodiments the gas turbine engine 52 can take on a variety of other forms.", "To set forth just another non-limiting example, the gas turbine engine 52 can take on the form of a turbofan engine.", "The gas turbine engine can have any number of spools and can be a variable cycle or adaptive cycle engine.", "The aircraft 50 in the illustrative embodiment also includes the auxiliary turbine 54 that is capable of rotating and generating a power as an airflow is passed through it.", "The aircraft 50 is capable of operating at a variety of speeds to produce a movement of incoming air 60 relative to the aircraft 50.The incoming air 60 can be used within the gas turbine engine 52 and the auxiliary turbine 54.The incoming air 60 can be delivered to the gas turbine engine 52 and auxiliary turbine 54 through separate inlets of the aircraft 50, but in some embodiments the incoming air 60 can be provided through a single inlet.", "The auxiliary turbine 54 receives air from the inlet and in one form includes air that has passed through a passage that bypasses the gas turbine engine 52.In just a few non-limiting examples, the auxiliary turbine 54 can be configured to receive an airflow from a compressor of the power plant 52 or via a passage that delivers an airflow that has not been compressed by the power plant 52 such as would be the case, for example, with ram air.", "Accordingly, reference may be made in this application to a ram air turbine 54 which will be interpreted as an auxiliary turbine capable of receiving an air that has not been compressed by a compressor and/or capable of receiving air that has flowed through and been compressed by a power plant compressor.", "In some non-limiting forms, a valve can be used to provide airflow from a compressor of the power plant 52 to the auxiliary turbine 54 at some aircraft speeds and then provide ram air to the auxiliary turbine at other aircraft speeds.", "The valve can include one or more valves operating to achieve the overall effect of switching sources of air for the auxiliary turbine 54.In some applications a valve could provide compressor air to the auxiliary turbine 54 up to about Mach 2 or 3, or up to Mach numbers lower than 2 or 3, and then switch over to supply ram air to the auxiliary turbine 54 subsequent to that.", "In some applications the switch over can be lower than Mach 2 or 3.Such compressor air could come from any stage from the compressor, and in one non-limiting form is compressor discharge air.", "Though not depicted in FIG.", "1, in some embodiments the auxiliary turbine 54 is capable of interacting with the gas turbine engine 52, as will be described in various embodiments below.", "The auxiliary turbine coupled with other power devices in the embodiments herein serve to provide a thermal and power system useful, in some forms, to provide a cold heat sink for cooling devices aboard an aircraft or engine when a fuel sink has been exhausted and there are no cold air streams, which is typical of supersonic flight.", "Some embodiments, however, may continue use of the fuel as a fuel sink during operation when sufficient heat sink reserve remains in the fuel.", "Turning now to FIG.", "2, one embodiment showing an interaction between the gas turbine engine 52 and the auxiliary turbine 54 is depicted.", "The embodiment shown of the gas turbine engine 52 is simplified and omits some features for convenience and ease and depiction.", "In particular, the gas turbine engine 52 is shown having a compressor 62 coupled with a turbine 64 via an engine shaft 66.A combustor is not explicitly depicted in the figure but will be understood to receive an airflow from the compressor 62 and deliver a stream to the turbine 64.The auxiliary turbine 54 is positioned to receive an auxiliary turbine airflow 68 via a passage 70 upon which the auxiliary turbine airflow 68 is expanded and, therefore, the auxiliary turbine 54 is caused to rotate.", "Rotation of the auxiliary turbine 54 extracts work from the airflow, resulting in a temperature reduction of airflow 68.The extracted work can be used in the aircraft 50 and/or gas turbine engine 52.The auxiliary turbine 54 can be structured to extract a varying amount of work depending on the auxiliary turbine airflow 68, configuration of the auxiliary turbine 54 as well as demands for the work extracted from the auxiliary turbine 54, and/or the amount of cooled air required, among other possible reasons.", "Although only one auxiliary turbine 54 is shown, multiple auxiliary turbines 54 can be used.", "In the illustrative embodiment the auxiliary turbine 54 interacts with the gas turbine engine 52 by providing the expanded auxiliary turbine airflow 68 to a heat exchange device 72 useful to cool an airflow from the gas turbine engine 52.In other embodiments, however, the auxiliary turbine airflow 68 can be used elsewhere to cool other components of the aircraft 50 and/or power plant 52.In one non-limiting example the auxiliary turbine airflow 68 can be used within environmental control systems to act as a heat sink, to cool a cockpit of the aircraft 50 or avionics, or used as a heating air in a fuel/air heat exchanger, used as sump buffer air, used for sump heat loads such as bearings and gears, used in exhaust nozzle cooling, or as a heat sink for turbine cooling air.", "Combinations of the uses mentioned above are also contemplated.", "The form depicted in FIG.", "2 uses the expanded airflow 68 to cool compressor airflow 74.The compressor airflow 74 can be a compressor discharge air in some embodiments, but in other embodiments can originate elsewhere in a compressor of the gas turbine engine 52.The heat exchange device 72 provides thermal communication between the expanded airflow 68 and the compressor airflow 74 and can take a variety of forms, structures, and configurations.", "In one mode of operation the expanded airflow 68 is relatively cooler than the compressor airflow 74 prior to the heat exchange device 72.Upon exiting the heat exchange device 72 the compressor airflow 74 can have a lower temperature relative to before it entered the heat exchange device 72.The cooled compressor airflow 74 is used in the illustrative embodiment to cool the turbine 64.In some embodiments the cooled compressor airflow 74 is used to cool turbine blades within the turbine 64.Other embodiments can use the cooled compressor airflow 74 for cooling aircraft or other components of the gas turbine engine 52.The auxiliary turbine 54 provides work via a shaft 76 structured to rotate with the auxiliary turbine 54.Such work derived from the auxiliary turbine 54 can be used for a variety of purposes.", "In the illustrative embodiment the auxiliary turbine 54 drives an electrical device 78 which takes the form of an electric generator.", "In other embodiments the electrical device 78 can be a motor/generator.", "In some forms the electrical device can be used to supply electrical power to aircraft needs.", "As will be appreciated the electrical device 78 can be used to power a variety of devices, but in the illustrative embodiment is used to power a heater 80 disposed in a flowpath 82 of the aircraft 50 and/or the engine 52.In other embodiments the heater 80 can be used in the combustor, turbine, or fan bypass, or to drive the shaft 66.The heater 80, as well as any other heater herein, can be used as an inter-turbine heater.", "The heater 80 is configured as a resistive heating device but in other embodiments can take on other devices capable of providing heat to or heating a medium such as the airflow 68.The heater can be disposed within or around the flowpath 82 among other possible variations and can be capable of generating heat at 4000° F. In some forms the heater 80 can include iridium coated rhenium tubes or wires.", "In some embodiments the tubes can be supported with ceramic inserts to increase strength.", "The heater can be an induction heater, in one non-limiting embodiment.", "Multiple heaters 80 can be used to transfer heat with the working fluid in the flowpath 82.The heater can be used to transfer heat to 82 by radiant, convective, or a combination of the two.", "In short, the heater can take on a variety of configurations.", "The flowpath 82 provides a passage for the conveyance of a variety of working fluids, but in the illustrative embodiment provides passage for the auxiliary turbine airflow 68 after it has been used with the heat exchange device 72.The flowpath 82 can take a variety of forms and can have a variety of cross sectional areas.", "In some embodiments more than one flowpath 82 can be used.", "The flowpath 82 can lead to a discharge from the aircraft 50 in some embodiments such that the expanded airflow 68 heated by the heater 80 is dumped overboard.", "In other embodiments, however, the flowpath 82 can lead to other locations of the aircraft, such as other locations for use in the gas turbine engine 52 (e.g.", "after the compressor, after the high pressure turbine, etc.).", "Turning now to FIG.", "3, another embodiment of the interaction between the gas turbine engine 52 and the auxiliary turbine 54 is shown.", "The auxiliary turbine 54 receives an auxiliary turbine airflow 68 from an inlet of the gas turbine engine 52 in the illustrative embodiment.", "After extracting work from the airflow 68 the auxiliary turbine is used to turn the shaft 76 and rotate the electrical device 78 while also providing a cooler airstream at 88.In one non-limiting mode of operation the aircraft 50 can be operating at a condition 84 characterized by an altitude of 52,000 an ambient temperature of −70° F., ambient pressure of 1.5 psia, and flying a speed of Mach 4.Condition 86 upstream of the auxiliary turbine 54 includes total temperature of the auxiliary turbine airflow 68 of 1140° F. and a flow rate of the airflow 68 can be 1 pound per second.", "Assuming that efficiency of the auxiliary turbine 54 is 0.9, after the auxiliary turbine 54 extracts work the condition 88 of airflow 68 is at a pressure of 6 psia and a temperature of 240° F., with an available heat sink of 200 kW (which assumes a heating to 1000° F.).", "The electrical power generated in this mode is depicted at reference numeral 92 and is 240 kW assuming that generator efficiency is 0.95.The auxiliary turbine airflow 68 at condition 88 can be used to cool a variety of components and/or objects, including but not limited to a heat exchanger like a fuel/air heat exchanger, air/air heat exchanger, etc.", "In still further embodiments, a heat exchanger like a fuel/air heat exchanger, air/air heat exchanger, etc., can alternatively and/or additionally be placed upstream of the auxiliary turbine 54.The purpose of these heat exchangers is to lower the air temperature going into the auxiliary turbine so that the turbine exit air is even cooler or exits at a higher pressure.", "Other variations and combinations are also contemplated as described herein.", "The embodiments depicted and discussed in relation to FIG.", "3, or also in relation to FIG.", "2, can represent a situation in which a fuel sink can no longer accept high heat loads.", "Such a situation may occur in a high speed aircraft that has operated for long durations such that its fuel can no longer be used as a heat sink.", "In some applications the work extracted by the auxiliary turbine 54 is used with the gas turbine engine 52, as described above.", "To set forth just a few more non-limiting examples, the shaft 76 can be configured to be rotatingly coupled with the engine shaft 66 of the gas turbine engine 52.Though only one shaft 76 is depicted, the auxiliary turbine and associated equipment can be used to drive multiple shafts 76.In one mode of operation the shaft 76 is used to provide a supplemental power to the engine shaft 66.The shaft 76 can also be coupled directly with the engine shaft 66, or can be coupled through one or more mechanical devices such as gears, gearboxes, and/or clutches.", "In another non-limiting example, the electrical device 78 can be used to drive other electrical devices.", "For example, the electrical device 78 can produce electrical power that is used to drive a motor that is coupled with the engine shaft 66.In one mode of operation the motor can be used to provide supplemental power to the engine shaft 66.Such a motor can be coupled to the engine shaft 66 directly or through mechanical devices such as gears, gearboxes, and/or clutches.", "In yet another non-limiting example, the electrical device 78 can be used to provide power to a heater in thermal communication with a flow stream of the gas turbine engine.", "In one non-limiting example, the heater can be in thermal communication with a flow stream downstream of the compressor 62.In a further non-limiting embodiment such a heater can be used to heat air for a combustor and/or turbine section of the gas turbine engine 52.In those embodiments where the gas turbine engine is in the form of a turbofan, the heater can also be used to provide heat to a fan bypass duct.", "The heater can be located in a number of locations including external and internal to a flow path and can include one or more heaters.", "The heater can take on any of the forms/configurations/etc.", "discussed with respect to the heater 80 above.", "Turning now to FIG.", "4, another embodiment of the interaction between the gas turbine engine 52 and the auxiliary turbine 54 is shown.", "The auxiliary turbine 54 receives an auxiliary turbine airflow 68 from an inlet of the gas turbine engine 52 in the illustrative embodiment.", "After work has been initially extracted the airflow 68 is used with a heat exchange device 90 to exchange heat with another body and/or fluid.", "In the illustrative embodiment the heat exchange device 90 is a fuel/air heat exchanger in which the heat exchange device 90 can be used to transfer heat from the airflow 68 with a fuel in the fuel/air heat exchanger.", "After the airflow 68 has been used with the heat exchange device 90 it is expanded through another auxiliary turbine 54 which can be connected to the first auxiliary turbine 54.In some embodiments the auxiliary turbines 54 in FIG.", "4 rotate at the same rate.", "Both auxiliary turbines 54 can be used to provide power to the electrical device 78 (e.g.", "a generator).", "Furthermore, the mass flow rate can, but need not, be the same between the upstream turbine 54 and downstream turbine 54.Differences can be created by any number of causes, for example flow leakage, valving, etc.", "In one non-limiting embodiment, air can be bled off either before the heat exchange device 90 or after for cooling components.", "The remaining air would then proceed to be routed as described and depicted herein.", "In one mode of operation (non-limiting just as in the other modes of operation) the aircraft 50 can be operating at a condition 84 characterized by an altitude of 52,000 feet, an ambient temperature of −70° F., an ambient pressure of 1.5 psia, and a speed of Mach 4.Condition 86 upstream of the auxiliary turbine 54 includes a total pressure of 157 psia of the auxiliary airflow 68, total temperature of 1140° F., and a flow rate of one (1) pound per second.", "Assuming that efficiency of the auxiliary turbine 54 is 0.9, after the auxiliary turbine 54 extracts work the condition 88 of airflow 68 is at a pressure of 6 psia and a temperature of 10° F., with an available heat sink of 280 kW (which assumes a heating to 1000° F.).", "Alternatively, this cool airstream can be used for the aircraft environmental control system, on board inert gas generator system, on board oxygen generator system, avionics, electrical cooling, etc.", "The electrical power generated in this mode is depicted at reference numeral 92 and is 200 kW assuming that generator and/or motor efficiencies are 0.95.In some applications the work extracted by the auxiliary turbine 54 is used with the gas turbine engine 52, as described above.", "To set forth just a few more non-limiting examples, the shaft 76 can be configured to be rotatingly coupled with the engine shaft 66 of the gas turbine engine 52.Though only one shaft 76 is depicted, the auxiliary turbine and associated equipment can be used to drive multiple shafts 76.In one mode of operation the shaft 76 is used to provide a supplemental power to the engine shaft 66.The shaft 76 can be coupled directly with the engine shaft 66, or can be coupled through one or more mechanical devices such as gears, gearboxes, and/or clutches.", "In another non-limiting example, the electrical device 78 can be used to drive other electrical devices.", "For example, the electrical device 78 can used to drive a motor that is coupled with the engine shaft 66.In one mode of operation the motor can be used to provide supplemental power to the engine shaft 66.Such a motor can be coupled to the engine shaft 66 directly or through mechanical devices such as gears, gearboxes, and/or clutches.", "In yet another non-limiting example, the electrical device 78 can be used to provide power to a heater in thermal communication with a flow stream of the gas turbine engine.", "In one non-limiting example, the heater can be in thermal communication with a flow stream downstream of the compressor 62.In a further non-limiting embodiment such a heater can be used to heat an air for a combustor of the gas turbine engine 52.The heater can be located in a number of locations including external and internal to a flow path and can include one or more heaters.", "In addition, the heater can operate on radiant, convective, or electromagnetic principles, among potential others.", "The heater can take on any of the forms/configurations/etc.", "discussed with respect to the heater 80 above.", "FIG.", "5 discloses yet another embodiment.", "The depiction is similar to that in FIG.", "3 with the exception that a heat exchanger 90 is added.", "The heat exchanger can be a fuel/air heat exchanger in which heat can be transferred to the fuel.", "The heat exchanger 90 can have the same variations as those discussed above in any of the various other embodiments.", "One aspect of the present application provides an apparatus comprising an aircraft capable of operating over a range of Mach numbers, an aircraft power plant structured to provide power to the aircraft and having a combustor, the aircraft power plant characterized by a thermodynamic cycle, and a ram air turbine that receives a working fluid and that rotates to produce a power when the working fluid traverses therethrough, wherein the ram air turbine is structured to extract work from the working fluid and provide one of heat or work to the thermodynamic cycle of the aircraft power plant.", "A feature of the present application provides a power device structured to receive power from the ram air turbine.", "Another feature of the present application provides wherein the power device is an electric generator.", "Yet another feature of the present application provides wherein the aircraft power plant is a gas turbine engine having a compressor and a turbine, and wherein the working fluid discharged from the ram air turbine is used to cool a compressor airflow that has been compressed by the aircraft power plant and that is provided to cool the turbine of the aircraft power plant.", "Still another feature of the present application provides a generator structured to provide electrical energy to power a heating device, the heating device positioned to provide heat to the working fluid downstream of the ram air turbine.", "A further feature of the present application provides a heat source located to heat an airflow downstream of an aircraft power plant compressor or turbine, the heat source powered by the electric generator.", "A still further feature of the present application provides wherein the power device is coupled to a shaft of the aircraft power plant and provides power thereto.", "Yet a still further feature of the present application provides a second turbine positioned to receive the working fluid from the ram air turbine, the second turbine in power communication with the power device.", "Another aspect of the present application an apparatus comprising an aircraft having a gas turbine engine capable of generating thrust for forward flight, the aircraft also having a thermal and power system that includes a component separate from the gas turbine engine, the thermal and power system structured to provide a thermodynamic effect to the gas turbine engine, the thermal and power system including a bypass passage structured to receive and bypass an airflow to avoid a combustion of the gas turbine engine, an air turbine in fluid communication with the bypass passage, the air turbine operable to reduce a temperature and pressure of the airflow from the bypass passage, a power component rotatingly coupled with and operable to receive power from the air turbine, and wherein the thermal and power system is structured to affect a thermodynamic process of the gas turbine engine.", "A feature of the present application provides wherein the thermal and power system is structured to: (1) deliver a heat to a combustor air of the gas turbine engine; (2) provide power to a gas turbine engine spool; or (3) exchange heat between a flow of air and a compressor air routed to a turbine of the propulsion power plant.", "Another feature of the present application provides which further includes a second air turbine downstream of the air turbine.", "Still another feature of the present application provides a fuel/air heat exchanger structured to transfer heat with a fuel used for the gas turbine engine.", "Yet still another feature of the present application provides a heat exchanger having a first passage for the airflow and a second passage for a compressor airflow from the gas turbine engine, the compressor airflow routed to cool a turbine of the gas turbine engine.", "A further feature of the present application provides wherein a heater is positioned downstream of the air turbine operable to deliver a heat to the airflow.", "Still a further feature of the present application provides wherein the thermal and power system further includes a heat producing component in thermal communication with a mass flow of air downstream of a compressor of the gas turbine engine.", "Yet still a further feature of the present application provides wherein the power component is a shaft that couples a compressor and turbine of the gas turbine engine.", "Yet another aspect of the present application an apparatus comprising a gas turbine engine having a compressor coupled to a turbine via a shaft and a combustor disposed between the compressor and turbine, the gas turbine engine coupled to an aircraft to provide propulsive power to the aircraft, a ram air turbine separate from the gas turbine engine and having an inlet that accepts an airflow, and means for transferring energy between the ram air turbine and a cycle of the gas turbine engine.", "Still another aspect of the present application a method comprising operating an aircraft power plant to provide thrust to an aircraft, turning a ram air turbine as a consequence of operating the aircraft, extracting work from the ram air turbine and generating power, and imparting thermodynamic work or heat to the aircraft power plant as a result of turning the ram air turbine.", "A feature of the present application provides wherein the aircraft power plant is a gas turbine engine, wherein the turning includes cooling an airflow through the ram air turbine and the imparting includes cooling a turbine coolant flow from a compressor of the gas turbine engine.", "Another feature of the present application provides distributing power to a heater, and which further includes dumping heat via the heater to an airflow downstream of the ram air turbine.", "Yet another feature of the present application provides wherein the imparting includes adding heat to an airflow downstream of a compressor of the aircraft power plant.", "Still yet another feature of the present application provides delivering a power to a shaft of the aircraft power plant.", "A further feature of the present application provides wherein the turning includes flowing a ram air turbine airflow through the ram air turbine, and which further includes expanding the ram air turbine airflow through a turbine downstream of the ram air turbine, the expanding affecting the generating power.", "One further aspect of the present application provides an apparatus comprising an aircraft capable of operating over a range of Mach numbers, an aircraft power plant structured to provide power to the aircraft and having a combustor, the aircraft power plant characterized by a thermodynamic cycle, and a thermal and power system including the ram air turbine and that receives the working fluid to produce the power when the working fluid traverses therethrough as well as an attendant drop in temperature of the working fluid, wherein the thermal and power system is structured to provide cooling when a fuel heat sink has been consumed.", "Any theory, mechanism of operation, proof, or finding stated herein is meant to further enhance understanding of embodiment of the present disclosure and is not intended to make the present disclosure in any way dependent upon such theory, mechanism of operation, proof, or finding.", "In reading the claims, it is intended that when words such as “a,” “an,” “at least one,” or “at least one portion” are used there is no intention to limit the claim to only one item unless specifically stated to the contrary in the claim.", "Further, when the language “at least a portion” and/or “a portion” is used the item can include a portion and/or the entire item unless specifically stated to the contrary.", "While the disclosure has been illustrated and described in detail in the foregoing drawings and description, the same is to be considered as exemplary and not restrictive in character, it being understood that only illustrative embodiments thereof have been shown and described and that all changes and modifications that come within the spirit of the disclosure are desired to be protected." ] ]
Patent_15875616
[ [ "FIBER OPTIC ENCLOSURE WITH INTERNAL CABLE SPOOL", "A fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "A method of paying out a fiber optic cable from a fiber optic enclosure includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "A termination module is disposed on the cable spool." ], [ "1.A fiber optic enclosure comprising: a housing including a cover, the housing defining an interior region; a spool mountable within the housing; a fiber optic cable coiled about a spooling portion of the spool, the fiber optic cable including at least one optical fiber, the fiber optic cable including a first portion coiled in a first coil about the spooling portion of the spool, the fiber optic cable also including a second portion coiled in a second coil at a slack storage location of the spool; the spool including a curved wall surface that surrounds at least a portion of the second coil, the curved wall surface being part of an outer guide wall disposed at a peripheral edge of the spool; a fiber optic connector coupled to the at least one optical fiber of the fiber optic cable; and the first portion of the fiber optic cable being payable from the spool while the spool is positioned within the housing, wherein the spool rotates relative to the housing about an axis of rotation as the first portion of the fiber optic cable is paid out from the spool, and wherein the fiber optic connector rotates in concert with the spool as the fiber optic cable is paid out from the spool.", "2.The fiber optic enclosure of claim 1, further comprising at least one cable management finger that projects from the curved wall surface for assisting in retaining the second coil on the spool.", "3.The fiber optic enclosure of claim 1, wherein the curved wall surface projects from an axial end of the spool in a direction parallel to the axis of rotation of the spool.", "4.The fiber optic enclosure of claim 1, further comprising at least one cable finger that projects from the outer guide wall for assisting in retaining the second coil on the spool.", "5.The fiber optic enclosure of claim 1, wherein the housing has a front and a rear, wherein the rear of the housing is adapted to face toward a wall when the housing is mounted to a wall, wherein the spool has a front axial end that faces in a direction away from the rear of the housing when the spool is mounted in the housing, and wherein the second coil is located at the front axial end.", "6.The fiber optic enclosure of claim 1, wherein the fiber optic connector is supported on the spool when the first portion of the fiber optic cable is paid out from the spool.", "7.The fiber optic enclosure of claim 1, further comprising a fiber optic adapter coupled to the fiber optic connector, wherein the fiber optic adapter rotates in concert with the spool as the first portion of the fiber optic cable is paid out from the spool.", "8.The fiber optic enclosure of claim 1, wherein the fiber optic cable includes a plurality of optical fibers, wherein a plurality of fiber optic connectors terminate the plurality of optical fibers of the fiber optic cable, and wherein the plurality of fiber optic connectors rotate in concert with the spool as the first portion of the fiber optic cable is paid out from the spool.", "9.The fiber optic enclosure of claim 8, further comprising a plurality of fiber optic adapters, wherein the plurality of fiber optic connectors are secured within the plurality of fiber optic adapters when the first portion of the fiber optic cable is paid out.", "10.The fiber optic enclosure of claim 9, wherein the plurality of fiber optic adapters are arranged in a termination module, and wherein the termination module is carried with the spool so as to be rotatable with the spool when the first portion of the fiber optic cable is paid out.", "11.The fiber optic enclosure of claim 1, wherein the fiber optic cable is routed from the spooling portion to the slack storage location through an opening in the spool.", "12.The fiber optic enclosure of claim 11, wherein the opening in the spool is through an axial end flange of the spool.", "13.A fiber optic enclosure comprising: a housing including a cover, the housing defining an interior region; a spool mountable within the interior region of the housing; a fiber optic cable coiled about a spooling portion of the spool, the fiber optic cable including at least one optical fiber, the fiber optic cable including a first portion coiled in a first coil about the spooling portion of the spool, the fiber optic cable also including a second portion coiled in a second coil at a slack storage location of the spool; the spool including a cable management finger that assists in retaining the second coil at the slack storage location; a fiber optic connector coupled to the optical fiber of the fiber optic cable; and the first portion of the fiber optic cable being payable from the spool while the spool is positioned within the interior of the housing, wherein the spool rotates relative to the housing about an axis of rotation as the first portion of the fiber optic cable is paid out from the spool, and wherein the fiber optic connector rotates in concert with the spool as the fiber optic cable is paid out from the spool.", "14.The fiber optic enclosure of claim 13, wherein the housing has a front and a rear, wherein the rear of the housing is adapted to face toward a wall when the housing is mounted to a wall, wherein the spool has a front axial end that faces in a direction away from the rear of the housing when the spool is mounted in the housing, and wherein the second coil is located at the front axial end.", "15.The fiber optic enclosure of claim 13, wherein the fiber optic connector is supported on the spool when the first portion of the fiber optic cable is paid out from the spool.", "16.The fiber optic enclosure of claim 13, further comprising a fiber optic adapter coupled to the fiber optic connector, wherein the fiber optic adapter rotates in concert with the spool as the first portion of the fiber optic cable is paid out from the spool.", "17.The fiber optic enclosure of claim 13, wherein the fiber optic cable includes a plurality of optical fibers, wherein a plurality of fiber optic connectors terminate the plurality of optical fibers of the fiber optic cable, and wherein the plurality of fiber optic connectors rotate in concert with the spool as the first portion of the fiber optic cable is paid out from the spool.", "18.The fiber optic enclosure of claim 17, further comprising a plurality of fiber optic adapters, wherein the plurality of fiber optic connectors are secured within the plurality of fiber optic adapters when the first portion of the fiber optic cable is paid out from the spool.", "19.The fiber optic enclosure of claim 18, wherein the plurality of fiber optic adapters are arranged in a termination module, and wherein the termination module is carried with the spool so as to be rotatable with the spool when the first portion of the fiber optic cable is paid out from the spool.", "20.The fiber optic enclosure of claim 13, wherein the fiber optic cable is routed from the spooling portion to the slack storage location through an opening in the spool.", "21.The fiber optic enclosure of claim 20, wherein the opening in the spool is through an axial end flange of the spool.", "22.The fiber optic enclosure of claim 13, further comprising a manual lock to retain the spool in a fixed rotational position relative to the housing, the manual lock being actuable even when some of the first portion of the fiber optic cable remains on the spool.", "23.The fiber optic enclosure of claim 16, further comprising a manual lock to retain the spool in a fixed rotational position relative to the housing, the manual lock being actuable even when some of the first portion of the fiber optic cable remains on the spool and without moving the fiber optic adapter relative to the spool." ], [ "<SOH> BACKGROUND <EOH>As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable." ], [ "<SOH> SUMMARY <EOH>An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of application Ser.", "No.", "15/470,311, filed Mar.", "27, 2017, which is a continuation of application Ser.", "No.", "14/979,870, filed Dec. 28, 2015, now U.S. Pat.", "No.", "9,606,319, which is a continuation of application Ser.", "No.", "14/539,459, filed Nov. 12, 2014, now U.S. Pat.", "No.", "9,261,666, which is a continuation of application Ser.", "No.", "14/132,691, filed Dec. 18, 2013, now U.S. Pat.", "No.", "8,891,931, which is a continuation of application Ser.", "No.", "13/924,191, filed Jun.", "21, 2013, now U.S. Pat.", "No.", "8,705,929, which is a continuation of application Ser.", "No.", "13/479,015, filed May 23, 2012, now U.S. Pat.", "No.", "8,494,333, which is a continuation of application Ser.", "No.", "13/032,337, filed Feb. 22, 2011, now U.S. Pat.", "No.", "8,189,984, which is a continuation of application Ser.", "No.", "12/793,556, filed Jun.", "3, 2010, now U.S. Pat.", "No.", "7,894,701, which is a continuation of application Ser.", "No.", "12/182,705, filed Jul.", "30, 2008, now U.S. Pat.", "No.", "7,756,379, which application claims the benefit of provisional application Ser.", "Nos.", "61/029,248, filed Feb. 15, 2008 and 60/954,214, filed Aug. 6, 2007, which applications are incorporated herein by reference in their entirety.", "TECHNICAL FIELD The present disclosure relates to fiber optic enclosure, and more particularly, to a fiber optic enclosure with cable payout.", "BACKGROUND As demand for telecommunications increases, fiber optic networks are being extended in more and more areas.", "In facilities such as multiple dwelling units, apartments, condominiums, businesses, etc., fiber optic enclosures are used to provide a subscriber access point to the fiber optic network.", "These fiber optic enclosures are connected to the fiber optic network through subscriber cables connected to a network hub.", "However, the length of subscriber cable needed between the fiber optic enclosure and the network hub varies depending upon the location of the fiber optic enclosure with respect to the network hub.", "As a result, there is a need for a fiber optic enclosure that can effectively manage varying lengths of subscriber cable.", "SUMMARY An aspect of the present disclosure relates to a fiber optic enclosure assembly for enclosing optical fiber connections.", "The fiber optic enclosure assembly includes a housing having an interior region and a bearing mount disposed in the interior region of the housing.", "A cable spool is connectedly engaged with the bearing mount such that the cable spool selectively rotates within the housing.", "A termination module is disposed on the cable spool so that the termination module rotates in unison with the cable spool.", "Another aspect of the present disclosure relates to a method of paying out a fiber optic cable from a fiber optic enclosure.", "The method includes rotating a cable spool, which has a subscriber cable coiled around a spooling portion of the cable spool, about an axis of a housing of the fiber optic enclosure until a desired length of subscriber cable is paid out.", "The cable spool is disposed in an interior region of the fiber optic enclosure and a termination module is disposed on the cable spool.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based.", "DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic representation of a fiber optic network that includes a fiber optic enclosure having features that are examples of inventive aspects in accordance with the principles of the present disclosure.", "FIG.", "2 is an isometric view of the fiber optic enclosure of FIG.", "1.FIG.", "3 is an isometric view of the fiber optic enclosure of FIG.", "2 with a cover in an open position.", "FIG.", "4 is a front view of the fiber optic enclosure of FIG.", "2 with the cover in the open position.", "FIG.", "5 is an exploded isometric view of the fiber optic enclosure of FIG.", "2.FIG.", "6 is a perspective view of a fiber optic adapter suitable for use within the fiber optic enclosure of FIG.", "2.FIG.", "7 is a cross-sectional view of the fiber optic adapter taken on line 7-7 of FIG.", "6.FIG.", "8 is an isometric view of another embodiment of a fiber optic enclosure.", "FIG.", "9 is a front view of the fiber optic enclosure of FIG.", "8.FIG.", "10 is a top view of the fiber optic enclosure of FIG.", "8.FIG.", "11 is a side view of the fiber optic enclosure of FIG.", "8.FIG.", "12 is an isometric view of the fiber optic enclosure of FIG.", "8, showing cables entering and exiting the enclosure.", "FIG.", "13 is an isometric view of the fiber optic enclosure of FIG.", "12 without the cover.", "FIG.", "14 is a front view of the fiber optic enclosure of FIG.", "13.FIG.", "15 is an exploded isometric view of the fiber optic enclosure of FIG.", "13.FIG.", "16 is an isometric view of the cable spool of the fiber optic enclosure of FIG.", "13.FIG.", "17 is a further isometric view of the fiber optic enclosure of FIG.", "12, with the cover in the pivoted open position.", "FIG.", "18 is an exploded view of a shipping container in which is disposed the fiber optic enclosure of FIG.", "8.DETAILED DESCRIPTION Reference will now be made in detail to the exemplary aspects of the present disclosure that are illustrated in the accompanying drawings.", "Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like structure.", "Referring now to FIG.", "1, a schematic representation of a fiber optic network, generally designated 11, in a facility 13 (e.g.", "individual residence, apartment, condominium, business, etc.)", "is shown.", "The fiber optic network 11 includes a feeder cable 15 from a central office (not shown).", "The feeder cable 15 enters a feeder cable input location 17 (e.g., a fiber distribution hub, a network interface device, etc.)", "having one or more optical splitters (e.g., 1-to-8 splitters, 1-to-16 splitters, or 1-to-32 splitters) that generate a number of individual fibers.", "In the subject embodiment, and by way of example only, the fiber distribution hub 17 is located on a lower level 19 of the facility 13.Each unit in the facility 13 includes a fiber optic enclosure, generally designated 21, with a subscriber cable 22 extending from each of the fiber optic enclosures 21 to the fiber distribution hub 17.The subscriber cable 22 extending between the fiber distribution hub 17 and the fiber optic enclosure 21 typically includes multiple optical fibers.", "Referring now to FIGS.", "2-5, the fiber optic enclosure 21 will now be described.", "The fiber optic enclosure 21 includes a housing, generally designated 23, having a cover 25.The housing 23 includes a base 27, a first sidewall 29, and an oppositely disposed second sidewall 31.The first and second sidewalls 29, 31 extend outwardly from the base 27 such that the base 27 and the first and second sidewalls 29, 31 cooperatively define an interior region 33.In the subject embodiment, the cover 25 is hingedly engaged with a sidewall 35 that is connected to the base 27 and the first and second sidewalls 29, 31.It will be understood, however, that the scope of the present disclosure is not limited to the cover 25 being hingedly engaged the sidewall 35.A cable spool, generally designated 37, is disposed in the interior region 33 of the fiber optic enclosure 21.The cable spool 37 includes a spooling portion 39, around which subscriber cable 22 is coiled (shown schematically in FIG.", "1).", "The cable spool 37 further includes an axial end 41.In the subject embodiment, the axial end 41 of the cable spool 37 defines a termination area 43 (shown as a dashed line in FIG.", "5).", "Disposed in the termination area 43 is a termination module, generally designated 45.The termination module 45 of the fiber optic enclosure 21 serves as the dividing line between the incoming fibers and the outgoing fibers.", "In the subject embodiment, the termination module 45 includes an adapter plate 47.The adapter plate 47 is an L-shaped bracket having a first side 49 (shown in FIG.", "4) and a second side 51.The first side 49 defines a plurality of mounting holes 53 while the second side 51 defines an adapter slot 55.It will be understood, however, that the scope of the present disclosure is not limited to the adapter plate 47 being an L-shaped bracket.", "The first side 49 of the adapter plate 47 is rigidly mounted (i.e., non-rotatable) to the axial end 41 of the cable spool 37 through a plurality of fasteners 57 (e.g., bolts, screws, rivets, etc.)", "which are inserted through the mounting holes 53 in the first side 49 and in connected engagement with the axial end 41 of the cable spool 37.The adapter slot 55 in the second side 51 of the adapter plate 47 is adapted to receive a plurality of adapters, generally designated 401.In the subject embodiment, the adapters 401 are SC-type adapters 401, although it will be understood that the scope of the present disclosure is not limited to the use of SC-type adapters 401.Similar SC-type adapters 401 have been described in detail in commonly owned U.S. Pat.", "No.", "5,317,663, the disclosure of which is incorporated herein by reference.", "Referring now to FIGS.", "6 and 7, the SC-type adapter 401 includes a main body 403 with a pair of tabs 405, 407 located on the exterior of the main body 403.The tabs 405, 407 serve to support the adapter 401 in the adapter slot 55.The adapter 401 further includes a pair of retaining clips 409, 411, with one retaining clip 409, 411 associated with each tab 405, 407.A front side 413 of the adapter 401 is inserted into the adapter slot 55.As the adapter 401 is inserted through the adapter slot 55, the retaining clips 409, 411 compress against the main body 403.The adapter 401 is inserted into the adapter slot 55 until the tabs 405, 407 abut the adapter plate 47.With the tabs 405, 407 abutting the adapter plate 47, the retaining clips 409, 411 decompress on the opposite side of the adapter plate 47, thereby retaining the adapter plate 47 between the retaining clips 409, 411 and the tabs 405, 407.In an alternate embodiment, the termination module includes a plurality of sliding adapter modules.", "Similar sliding adapter modules have been described in detail in commonly owned U.S. Pat.", "Nos.", "5,497,444; 5,717,810, 6,591,051 and U.S. Pat.", "Pub.", "No.", "2007/0025675, the disclosures of which are incorporated herein by reference.", "Referring now to FIGS.", "3-5, the axial end 41 of the cable spool 37 further defines a slack storage area 59.The slack storage area 59 includes a cable management spool 61 disposed on the axial end 41 of the cable spool 37.The cable management spool 61 is sized such that an outer radius of the cable management spool 61 is larger than the minimum bend radius of the optical fibers so as to avoid attenuation damage to the optical fibers during storage.", "The cable management spool 61 and the axial end 41 of the cable spool 37 cooperatively define a cable passage 63 that extends axially through the cable management spool 61 and through the axial end 41 of the cable spool 37.The cable passage 63 allows connectorized ends of incoming optical fibers to pass from the spooling portion 39 of the cable spool 37 to the slack storage area 59.The connectorized ends of the incoming optical fibers are then routed from the slack storage area 59 to the front sides 413 of the adapters 401 in the termination area 43.Referring now to FIG.", "5, the fiber optic enclosure 21 further includes a bearing mount, generally designated 71.In the subject embodiment, the bearing mount 71 is disposed on the base 27 of the housing 23.An outer surface 73 of the bearing mount 71 is adapted for a bearing 75 (shown as cross-hatching).", "In the subject embodiment, the bearing 75 is a needle bearing.", "However, it will be understood that the scope of the present disclosure is not limited to the bearing 75 being a needle bearing as the bearing 75 could also include a bushing, low-friction coating, etc.", "In one embodiment, the bearing 75 is engaged with an inner diameter of a central hole of the cable spool 37.In another embodiment, a rotary plain bearing is formed between the outer surface 73 of the bearing mount 71 and the inner diameter of the central hole of the cable spool 37.In this embodiment, the outer diameter of the bearing mount 71 is sized to fit within an inner diameter of a central hole of the spooling portion 39.The engagement of the bearing mount 71 and the spooling portion 39 of the cable spool 37 allows the cable spool 37 to rotate about the central axis 77 of the bearing mount 71.Referring now to FIGS.", "1 and 5, the subscriber cable 22, which includes multiple optical fibers, is coiled around the spooling portion 39 of the cable spool 37.In order to protect the subscriber cable 22 from attenuation resulting from the coiling of the subscriber cable 22 around the spooling portion 39, the cable spool 37 has an outer circumferential surface having a radius that is greater than the minimum bend radius of the subscriber cable 22.The subscriber cable 22 includes a first end having connectorized ends, which are inserted through the cable passage 63 and connectedly engaged with the first ends 413 of the adapters 401.A second end of the subscriber cable 22 is configured for connectivity with the fiber distribution hub 17.However, as shown in FIG.", "1, the length of subscriber cable 22 needed between each of the fiber optic enclosures 21 in the facility 13 and the fiber distribution hub 17 will vary depending upon the location of each fiber optic enclosure 21 with respect to the fiber distribution hub 17.A method of installing and using the fiber optic enclosure 21 to account for the varying lengths of subscriber cable 22 needed between the fiber optic enclosure 21 and the fiber distribution hub 17 will now be described.", "The fiber optic enclosure 21 provides dual functionality by serving as a storage location for the subscriber cable 22 and by selectively paying out a desired length of the subscriber cable 22.A first length of subscriber cable 22 is stored in the fiber optic enclosure 21 by coiling the length of subscriber cable 22 around the cable spool 37.The first length of subscriber cable 22 includes an installation length, which is sufficiently long to extend from the mounting location of the enclosure 28 to the fiber distribution hub 17, and an excess length, which is the length of subscriber cable 22 remaining on the cable spool 37 after the installation length has been paid out.", "In one embodiment, the first length is greater than or equal to about 100 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 200 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 300 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 400 feet.", "In another embodiment, the first length of subscriber cable 22 is greater than or equal to about 500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 2,000 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 100 to about 1,500 feet.", "In another embodiment, the first length of subscriber cable 22 is in the range of about 500 to about 1,500 feet.", "In a preferred embodiment, the first length of subscriber cable 22, which is coiled around the cable spool 89, is in the range of 100 to 500 feet.", "In one embodiment, a second length, or the excess length, of subscriber cable 22 is stored around the cable spool 37 after the first length of subscriber cable 22 has been paid out.", "If the first length of subscriber cable 22 is greater than the installation length of subscriber cable 22, the second length, or excess length, is stored around the cable spool 37.The second function of the fiber optic enclosure 21 involves the selective payout of the subscriber cable 22.With the cable spool 37 mounted to the bearing mount 71, the first end of the subscriber cable 22 in connected engagement with the front sides 413 of the adapters 401 and the outgoing optical fibers disengaged from the back sides of the adapters 401, the subscriber cable 22 can be paid out through fiber ports 79 disposed in the first and second sidewalls 29, 31.The subscriber cable 22 is paid out of the fiber optic enclosure 21 by selectively rotating the cable spool 37 with respect to the housing 23 about the central axis 77 of the bearing mount 71.As the termination module 45 is disposed on the axial end 41 of the cable spool 37, the selective rotation of the cable spool 37 with respect to the housing 23 results in the selective rotation of the termination module 45.Since the termination module 45 rotates unitarily with or in unison with the cable spool 37, the second end of the subscriber cable 22 can be paid out without the first end of the subscriber cable 22 being pulled out of the termination module 45.Once the desired length of subscriber cable 22 has been paid out, the rotation of the cable spool 37 is ceased.", "At this point, the position of the cable spool 37 can be fixed such that it does not rotate relative to the housing 23.In one embodiment, a pin is inserted through an opening in the axial end 41 of the cable spool 37 and through a corresponding opening in the base 27 of the housing 23 to fix the position of the cable spool 37 with respect to the housing 23.It will be understood, however, that the scope of the present disclosure is not limited to the use of a pin to fix the position of the cable spool 37 with respect to housing 23.An alternate method of selectively paying-out subscriber cable 22 from the fiber optic enclosure 21 will now be described.", "With the fiber optic enclosure 21 positioned near the fiber distribution hub 17, the second end of the subscriber cable 22 is unwound from the cable spool 37.In one embodiment, the second end is optically connected to the fiber distribution hub 17.With the second end of the subscriber cable 22 optically connected to the fiber distribution hub 17 and the first end of the subscriber cable 22 in connected engagement with the termination module 45, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17.In one embodiment, the fiber optic enclosure 21 is carried away from the fiber distribution hub 17 by an installer.", "In another embodiment, the fiber optic enclosure 21 is transported away from the fiber distribution hub 17 in a wheeled cart (e.g., dolly, 4-wheeled cart, etc.).", "In a preferred embodiment, the fiber optic enclosure is disposed in a packaging enclosure (e.g., a box) during transport.", "As the fiber optic enclosure 21 is transported away from the fiber distribution hub 17, the subscriber cable 22 unwinds from the cable spool 37 causing the cable spool 37 to rotate within the interior region 33 of the housing 23, which is disposed in the packaging enclosure.", "When the fiber optic enclosure 21 has been transported to its mounting location, the fiber optic enclosure 21 is removed from the packaging enclosure, mounted to the mounting location.", "The cable spool 37 can be fixed in position relative to the housing 23 to prevent inadvertent rotation of the cable spool 37.Referring now to FIGS.", "8-18, an alternate embodiment of a fiber optic enclosure 121 is shown.", "The fiber optic enclosure 121 includes a housing 123 and a hinged cover 125.The housing 123 includes a base wall 120, a first sidewall 127 and an oppositely disposed second sidewall 128.The first and second sidewalls 127, 128 extend outwardly from the base wall 120 such that the base wall 120 and the first and second sidewalls 127, 128 cooperatively define an interior region 130.In the subject embodiment, the first sidewall 127 of the housing 123 defines a first port 131 while the second sidewall 128 defines a second port 132.The subscriber cable 122 enters/exits the fiber optic enclosure 121 at the first port 131 or at the second port 132.In the subject embodiment, both of the first and second ports 131, 132 are provided as knockout portions.", "A cable spool 137 is positioned within the interior region 130 of enclosure 121.In the subject embodiment, the cable spool 137 is adapted for rotation within the interior region 130 of the enclosure 121.In the subject embodiment, the cable spool 137 includes a first axial end 136, an oppositely disposed second axial end 138 and a spool portion 139.The spool portion 139 is disposed between the first and second axial ends 136, 138 of the cable spool 137.The spool portion 139 is adapted to receive a subscriber cable 122 coiled around or spooled on the spool portion 139.With the subscriber cable 122 spooled on the spool portion 139, the subscriber cable 122 can be selectively paid out by rotating the cable spool 137.As the cable spool 137 is rotated, the subscriber cable 122 is unwound from the spool portion 139 of the cable spool 137.After a desired length of subscriber cable 122 has been paid out, pin openings 141 can be used with a pin to fix the position of cable spool 137 relative to housing 123.The subscriber cable 122 is shown with a connectorized end 144 (e.g., MTP connector) for connecting to the fiber distribution hub 17 or other equipment.", "An opposite end of the subscriber cable 122 passes through an opening 145 disposed in the first axial end 136 of the cable spool 137.After passing through the opening 145, the subscriber cable 122 is routed to a fanout 147 disposed on the first axial end 136 of the cable spool 137 where the cable is broken out into individual fibers 124 having connectorized ends 146 (e.g., SC connectors).", "A cable management spool 161 is also disposed on the first axial end 136 of the cable spool 137.The cable management spool 161 manages fibers 124.In the subject embodiment, the cable management spool 161 includes a plurality of fingers 162 disposed on an end of the cable management spool 161.The fingers 162 assist with cable retention.", "The first axial end 136 of the cable spool 137 further includes an outer guide wall 163.In the subject embodiment, the outer guide wall 163 is disposed at a portion of the peripheral edge of the first axial end 136 adjacent to the cable management spool 161.In the subject embodiment, the outer guide wall 163 extends outwardly in a direction that is generally perpendicular to the first axial end 136.The outer guide wall 163 includes with a cable finger 164 disposed at an end of the outer guide wall 163 that is opposite the end engaged with the first axial end 136 of the cable spool 137.The cable finger 164 assists with retention and protection of the fibers 124.An adapter plate 149 is disposed on the first axial end 136 of the cable spool 137.In the subject embodiment, the adapter plate 149 includes separate openings 151.Each of the separate openings 151 is adapted to receive two adapters 401.In the depicted embodiment of FIG.", "16, the cable management spool 161, the outer guide wall 163 and the adapter plate 149 are integrally formed with the first axial end 136 of the cable spool 137.In the subject embodiment, the first axial end 136 of the cable spool 137 is formed from plastic.", "In another embodiment, the first and second axial ends 136, 138, the spool portion 139, the adapter plate 149, the cable management spool 161 and the outer guide wall 163 are integrally formed from a plastic material.", "Referring now to FIGS.", "13 and 14, the fiber optic enclosure 121 is shown connected to a second subscriber cable 126.After the subscriber cable 122 is paid out and cable spool 137 fixed in position relative to the housing 123, individual connectorized ends of the second subscriber cables 126 can be connected to the fibers 124 at adapters 401 of adapter plate 149.The second subscriber cables 126 exit the fiber optic enclosure 121 at a port 136 in a side 165 of the housing 123.In the illustrated embodiment, a slotted foam member 138 is disposed in the port 136.The slotted foam member 138 includes a plurality of slots through which the second subscriber cables 126 can be inserted in order to prevent or reduce the risk of ingress of environmental contamination (e.g., dust, water, etc.).", "While the fiber optic enclosure 121 is shown mounted to a mounting location 183 (e.g., wall, pole, etc.)", "in FIGS.", "1 and 17, it will be understood that the subscriber cable 122 can be paid out from the fiber optic enclosure 121 while the fiber optic enclosure 121 is either mounted to a mounting location 183 or removed from the mounting location 183.As shown in FIG.", "18, the subscriber cable 122 could be paid out while the fiber optic enclosure 121 is still packaged in a shipping container 179 provided there is an opening 181 in the shipping container 179 through which the subscriber cable 122 can be pulled.", "After the subscriber cable 122 has been paid out, the fiber optic enclosure 121 can be removed from the shipping container 179 and mounted to the mounting location 183.Various modifications and alterations of this disclosure will become apparent to those skilled in the art without departing from the scope and spirit of this disclosure, and it should be understood that the inventive scope of this disclosure is not to be unduly limited to the illustrative embodiments set forth herein." ] ]
Patent_15875620
[ [ "ANTIMICROBIAL COMPOUNDS AND COMPOSITIONS, AND USES THEREOF", "A method of enhancing the growth of an animal is provided.", "The method includes causing the animal to ingest or absorb an effective amount of one or more Fe III complex compounds, including but not limited to Fe III complexes comprising ligands bound to the iron centre selected from amino acids or α-hydroxy acids, o-hydroxy benzoic acids or pyridine-2-carboxylic acids, such as ferric quinate, ferric tyrosine, ferric DOPA and ferric phenylalanine.", "Compounds which are structural and/or functional variants, derivatives and/or analogs of the foregoing compounds, as further described herein are also disclosed.", "Methods for inhibiting, reducing, or preventing biofilm formation or buildup on a surface; the treatment of, inhibition of growth of, and inhibition of colonization by, bacteria, both in biological and non-biological environments; disinfecting surfaces, potentiating the effects of antibiotics and other anti-microbial agents, and increasing the sensitivity of bacteria and other microorganisms, to anti-microbial agents are also provided." ], [ "1.A method of inhibiting biofilm buildup, and/or disrupting a pre-existing biofilm, in or on an article or surface in need thereof, the method comprising administering to the article or surface a composition comprising one or more compounds, wherein the compound is an Fe III complex having the structure of: Formula A: or a salt and/or hydrate thereof, wherein: X, X1 and X2 are independently selected from the group consisting of NH2, OH, CO2—, CO2H, OR3, NR3H, NR3R4, R3ONO2, R3NO2, SH, SR3, and X, X1 and X2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Y, Y1 and Y2 are independently selected from the group consisting of O, NH, NH2, NR3, NR3R4, SH, OR3, OH, and Y, Y1 and Y2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Z, Z1 and Z2 are independently selected from the group consisting of: O, S, NH, NR3, and Z, Z1 and Z2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; R, R′, R1, R1′, R2, and R2′ are independently selected from the group consisting of H, CH3, CH2SH, CH2CO2H, CH2CH2CO2H, CH2C6H5, CH2C3H3N2, CH(CH3)CH2CH3, (CH2)4NH2, CH2CH(CH3)2, CH2CH2SCH3, CH2CONH2, (CH2)4NHCOC4H5NCH3, CH2CH2CH2, CH2CH2CONH2, (CH2)3NHC(NH)NH2, CH2OH, CH(OH)CH3, CH2SeH, CH(CH3)2, CH2CH6N, CH2C6H4OH, and CH2C6H3(OH)2, and R, R′, R1, R1′, R2, and R2′ may all be the same or they may all be different, or, alternatively, up to five may be the same and one or more may be different; or any relevant pair of R and R′, R1 and R1′, and R2 and R2′ are linked to form a substituted or unsubstituted cycloalkyl ring group; and R3 and R4 can independently be alkyl, alkenyl, alkynyl, phenyl, aryl, halo- and hydroxy-substituted radicals, hydroxyl radicals, nitrogen-substituted radicals, oxygen-substituted radicals, or hydrogen, optionally wherein the one or more compounds are in combination with one or more coccidiostats, antimicrobial agents and one or more excipients, carriers and/or additives.", "2.The method of claim 1, wherein the article is a dental device, an implantable medical device, a wound dressing, or an ocular device, a surgical instruments, cardiac and urinary catheters, ultrasound probes; or a household item.", "3.The method of claim 1, wherein the one or more compounds is applied on the surface of the article in the form of a spray, an aerosol, or a foam; or imbibed into a suitable cloth suitable for wiping down a surface to be disinfected; or incorporated into the surface.", "4.The method of claim 2, wherein the device is selected from the group consisting of catheters, icentral venous catheters, urinary catheters, dialysis catheters, indwelling catheters, cardiac implants including mechanical heart valves, stents, ventricular assist devices, pacemakers, cardiac rhythm management (CRM) devices, cardiac resynchronization therapy devices (CRTs), implantable cardioverter defibrillators (ICDs), synthetic vascular grafts, arteriovascular shunts, cerebral spinal fluid shunts, cochlear devices, prosthetic joints, orthopedic implants, internal fixation devices, bone cements, percutaneous sutures, surgical mesh and surgical patches including hernia repair meshes and patches, breast reconstruction meshes and patches, meshes and patches for breast and face lifts, slings, and meshes and patches for pelvic floor reconstruction, tracheal and ventilator tubing, wound dressings, biological implants (including allografts, xenografts and autografts), penile implants, intrauterine devices, endotracheal tubes, and contact lenses.", "5.The method of claim 1, wherein R3 and R4 are independently C1-4 alkyl, C1-4 alkenyl, phenyl or benzyl, which latter four groups are optionally substituted by one or more halo or hydroxyl groups.", "6.The method of claim 1, wherein one, two or all three of the ligands bound to the iron center are selected from amino acids or α-hydroxy acids.", "7.The method of claim 1, wherein the compound is in an effective disrupt preexist bacterial biofilm, the compound having a structure selected from the group consisting of: 8.The method of claim 6, wherein one, two or all three of the ligands bound to the iron center are α-hydroxy acids.", "9.The method of claim 1, wherein the one or more compounds are in combination with one or more coccidiostats, antimicrobial agents and one or more excipients, carriers and/or additives.", "10.The method of claim 1, in a unit dosage form comprising one or more compounds of claim 1 in an amount of up to, or at least, about 1 ng, 10 ng, 50 ng, 100 ng, 500 ng, 1 μg, 10 μg, 50 μg, 100 μg, 500 μg, 1 mg, 10 mg, 100 mg, 500 mg, 1 g, 2 g, 3 g, 4 g, or 5 g. 11.The method of claim 7, in the form of a coating, optionally conjugated to hydroxyapatite.", "12.The method of claim 8, wherein the composition is in the form of an emulsion, lotion, cream, ointment, wound dressing, patch, salve, gel, tablet, solution, suspension, foam, a spray, an aerosol, or imbibed into a suitable cloth.", "13.The method of claim 1, wherein R, R′, R1, R1′, R2, and R2′ are independently selected from the group consisting of H, CH3, CH2SH, CH2CO2H, CH2CH2CO2H, CH2C6H5, CH(CH3)CH2CH3, (CH2)4NH2, CH2CH(CH3)2, CH2CH2SCH3, CH2CONH2, (CH2)4NHCOC4H5NCH3, CH2CH2CH2, CH2OH, CH(OH)CH3, CH2SeH, CH(CH3)2, CH2C8H6N, CH2C6H4OH, and CH2C6H3(OH)2, and optionally, wherein the compound is not FeQ, FeTyr or FeDOPA.", "14.The method of claim 1 wherein the biofilm is produced by an S. epidermidis, E. faecalis, E. coli, S. aureus, Campylobacter spp.", "H. pylori and Pseudomonas, alone, or in combination.", "15.The method of claim 1, wherein the compound is in a at a concentration range of about 1 μM to about 1M." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>A biofilm is an accumulation of microorganisms (bacteria, fungi, and/or protozoa, with associated bacteriophages and other viruses) embedded in a polysaccharide matrix and adherent to solid biological or non-biotic surfaces.", "Biofilms are medically important, accounting for over 80 percent of hospital-acquired microbial infections in the body.", "Examples include infections of the: oral soft tissues, teeth and dental implants; middle ear; gastrointestinal tract; urogenital tract; airway/lung tissue; eye; urinary tract prostheses; peritoneal membrane and peritoneal dialysis catheters, indwelling catheters for hemodialysis and for chronic administration of chemotherapeutic agents (Hickman catheters); cardiac implants such as pacemakers, prosthetic heart valves, ventricular assist devices, and synthetic vascular grafts and stents; prostheses, internal fixation devices, percutaneous sutures; and tracheal and ventilator tubing.", "The microorganisms tend to be far more resistant to antimicrobial agents and to be particularly difficult for the host immune system to render an appropriate response.", "Several bacterial pathogens have been shown to associate with, and in some cases, grow in biofilms, including Legionella pneumophila, S. aureus, Listeria monocytogenes, Campylobacter spp., E. coli O157:H7, Salmonella typhimurium, Pseudomonas, Vibrio cholerae, S. epidermidis, E. faecalis , and Helicobacter pylori.", "Biofilms are remarkably difficult to treat with antimicrobials.", "Antimicrobials may be readily inactivated or fail to penetrate into the biofilm.", "In addition, bacteria within biofilms have increased (up to 1,000-fold higher) resistance to antimicrobial compounds, even though these same bacteria are sensitive to these agents if grown under planktonic conditions.", "In addition, bacteria embedded within biofilms are resistant to both immunological and non-specific defense mechanisms of the body.", "Contact with a solid surface triggers the expression of a panel of bacterial enzymes, which catalyze the formation of sticky polysaccharides that promote colonization and protection.", "The structure of biofilms is such that immune responses may be directed only at those antigens found on the outer surface of the biofilm, and antibodies and other serum or salivary proteins often fail to penetrate into the biofilm.", "In addition, phagocytes are unable to effectively engulf a bacterium growing within a complex polysaccharide matrix attached to a solid surface.", "This causes the phagocyte to release large amounts of pro-inflammatory enzymes and cytokines, leading to inflammation and destruction of nearby tissues.", "Conventional therapy is characteristically ineffective against biofilms, as the minimum inhibitory concentration (MIC) of antimicrobial agents has been shown to be 10 to 1000 fold greater than for planktonic organisms (Hoiby, et al., Int J Antimicrob Agents, 35(4):322-32 (2010).", "It is an object of the present invention to provide compositions and methods for inhibiting or preventing biofilm formation or promoting biofilm dissolution from surfaces of interest.", "It is still an object of the present invention to provide methods for reducing the transmission of pathogens in biofilm.", "It is a further object of the present invention to provide methods to treat antibiotic resistant bacteria.", "It is yet a further object to provide compositions to improve growth performance." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The present inventors have identified a class of compounds, as described further below in Section III.A of this application, that have surprisingly been found to provide a broad range of activity, particularly against a diverse array of bacteria.", "The present invention provides numerous uses for, and methods involving, the compounds, particularly in the formation of compositions.", "The present invention also provides compositions, articles and products comprising one or more of the compounds, as described further below.", "The present invention also provides products produced by the application of the numerous uses and methods of the present invention, as well as downstream products produced therefrom.", "In one embodiment, the present invention provides compounds as described further below in Section III.A of this application and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for inhibiting, reducing, or preventing biofilm formation or buildup on a surface or to removing, dispersing, reducing, or eradicating biofilm on a surface.", "Accordingly, compositions for inhibiting, reducing, or removing biofilm buildup in a subject and/or on an article or other item are provided.", "An exemplary compounds and composition include an effective amount of one or more compound selected from Ferric Quinate (Fe-QA, also referred to herein as FeQ), and ferric complexes with L-tyrosine (Fe-Tyr), L-DOPA (Fe-DOPA), L-phenylalanine (Fe-Phe) and hydrates, salts, or derivatives thereof.", "See Formulas IX, VIII and VII, as defined further below, respectively.", "The compositions are effective against biofilms produced by a wide range of microbial species including, without limitation, S. epidermidis, E. faecalis, E. coli, S. aureus, Campylobacter spp.", "H. pylori and Pseudomonas , alone, or in combination.", "In an embodiment, an article or product, including medical devices having on the surface or dispersed therein one or more of the compounds as described further below in Section III.A of this application, or composition comprising the one or more compounds, for example of Formula IX (Fe-QA, or also referred to as FeQ), Formula VII (Fe-DOPA also referred to as FeDOPA) and Formula VIII (Fe-Tyr), or Fe-Phe, are prepared to prevent or reduce the formation of a biofilm on the article, or product, such as to prevent or reduce the formation of a biofilm on the medical device after implantation.", "The surface may be a biological surface (such as a surface of a living human, animal or plant surface, or the surface of a dead or harvested animal or plant), or a non-biological surface including for example, plastics, polymers, biomaterials, and metals.", "The present invention also provides products treated according to this embodiment.", "In another embodiment, the invention provides compounds as described further below in Section III.A of this application and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for the treatment of, inhibition of growth of, and inhibition of colonization by, bacteria, both in biological and non-biological environments.", "In a further embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for disinfecting surfaces, both in biological and non-biological environments, and products that have been coated with, or treated by, one or more of the compounds and/or compositions of the present invention.", "In another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for potentiating the effects of one or more antibiotics, increasing the sensitivity of bacteria (including antibiotic-resistant bacteria) to one or more antibiotics, and also to reversing antibiotic resistance in bacteria.", "In yet another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for enhancing the growth of animals and their efficiency of feed utilization, in particular by oral administration of feed and drink compositions.", "Also provided are methods of treating microbial infections in a subject by inhibiting, reducing, or removing biofilm buildup in the subject and methods for treating subjects with microbial infections that are resistant to antibiotics.", "One method includes administering to the subject an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to compounds according to Formula A or B as described therein, one or more compounds that bind to major outer membrane proteins (MOMPs) or FlaA of Campylobacter , a mimetic or synthetic human histo-blood group antigen or a synthetic sugar.", "In one embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula I, Formula II, Formula III, Formula IV, Formula V, and Formula VI.", "In a preferred embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula IX (ferric quinate, Fe-QA also designated as FeQ), Formula VII (Fe-DOPA), Formula VIII (Fe-Tyr), or Fe-Phe, and hydrates, salts, or derivatives thereof.", "In another preferred embodiment, the one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as descried therein, one or more compounds of Formula I-IX or X-XIV, or compositions comprising one or more of said compounds, may be used to cure, treat, or prevent symptoms of or associated with a variety of conditions as described herein, such as arterial damage, gastritis, urinary tract infections, biliary tract infections, pyelonephritis, cystitis, sinus infections, ear infections, otitis media, otitis externa, leprosy, tuberculosis, conjunctivitis, bloodstream infections, benign prostatic hyperplasia, chronic prostatits, lung infections including chronic lung infections of humans with cystic fibrosis, osteomyelitis, catheter infections, bloodstream infections, skin infections, acne, rosacea, dental caries, periodontitis, gingivitis, nosocomial infections, arterial damage, endocarditis, periprosthetic joint infections, open or chronic wound infections, venous stasis ulcers, diabetic ulcers, arterial leg ulcers, pressure ulcers, endocarditis, pneumonia, orthopedic prosthesis and orthopedic implant infections, peritoneal dialysis peritonitis, cirrhosis, and any other acute or chronic infection that involves or is associated with a biofilm.", "In a preferred embodiment for treating antibiotic- (or other antimicrobial-) resistant microbial infections, the method includes administering to the subject an effective amount of an antibiotic or other antimicrobial agent (which may be the antibiotic/antimicrobial to which the microbial infection is resistant) and an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, or one or more compounds represented by any of Formulae I to XIV, such as Formula I, Formula II, Formula III, Formula IV, Formula V, and Formula VI or, preferably, an effective amount of one or more compound represented by Formula IX, Formula VII and Formula VIII and hydrates, salts, or derivatives thereof.", "The antibiotic/antimicrobial agent may be administered before the one or more compounds in accordance with the present invention, however, in a particularly preferred embodiment the antibiotic/antimicrobial agent is administered simultaneously (such as formulated in the same composition, or administered simultaneously in separate compositions) or after the administration of the compounds of the present invention as described further below in Section III.A of this application, including but not limited to compounds represented by Formula I, Formula II, Formula III, Formula IV, Formula V, Formula VI, Formula VII, Formula VIII, and Formula IX, Formula X, Formula XI, Formula XII, Formula XIII and Formula XIV.", "The antibiotic/antimicrobial agent and/or the one or more compounds of the invention may also be incorporated into a medical device for delivery.", "Also provided are methods for inhibiting biofilm buildup on a surface or for reducing or removing biofilm from a surface.", "The method includes contacting the surface with an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, compounds that bind to major outer membrane proteins (MOMPs) or FlaA of Campylobacter , a mimetic or synthetic human histo-blood group antigen or a synthetic sugar, to inhibit or reduce biofilm buildup or to reduce or remove biofilm from the surface.", "In a preferred embodiment, the method includes administering to the subject an effective amount of one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, to interfere with bacteria binding to the surface or each other.", "The surface to be treated may be contacted with the compounds by coating the surface with the one or more compounds.", "In some embodiments, the surface is contacted by immersing the article to be treated in a composition comprising the one or more compounds of the present invention, or flushing, spraying, irrigating, or wiping the surface with a carrier containing the one or more compounds of the present invention.", "The disclosed methods and uses are useful for inhibiting biofilm build up (or reducing or removing biofilm) produced by microbial species including S. epidermidis, E. faecalis, E. coli, S. aureus, Campylobacter spp.", "H. pylori and Pseudomonas , alone, or in combination, on/in a subject.", "The methods are thus also useful in treating disease conditions caused by these and other microorganisms that are associated with biofilm buildup.", "With respect to surfaces, the disclosed methods and uses employing one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, are useful for inhibiting biofilm formation, dispersing biofilms and disinfecting articles, including but not limited to dental instruments, teeth, dentures, dental retainers, dental braces including plastic braces (such as Invisalign), medical instruments, medical devices, contact lenses and lens cases, catheters, surfaces (e.g., tabletop, countertop, bathtub, tile, filters, membranes, etc.", "), tubing, drains, pipes including gas pipes, oil pipes, drilling pipes, fracking pipes, sewage pipes, drainage pipes, hoses, fish tanks, showers, children's toys, boat hulls, and cooling towers.", "A further embodiment of the present invention provides articles treated in accordance with the foregoing methods and uses.", "In further embodiments of the present invention, one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, may be used in methods to make antifouling coatings, liquid, spray and towelette dispersants, and wound irrigation solutions.", "In further embodiments of the present invention, one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, may also be used in cosmetic formulations, including skin treatments, acne treatments, toothpaste, and mouth rinse formulations.", "The compounds disclosed herein may also be applied to the bristles of toothbrushes, dental flosses, and the like, for oral healthcare.", "Also disclosed are compositions comprising one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, and methods using such compositions, for improving growth performance of animal such as livestock (including poultry, cattle, sheep, swine and goats) and other animals as discussed further below in section II.A, and as feed and formula supplements for such animals, in place of, or in combination with, existing bacteriostatic or bactericidal or growth enhancing compounds.", "In a preferred embodiment the compositions may be administered to animals, such as livestock, to increase growth performance.", "The compositions may also be used to decrease mortality adjusted feed conversion ratios (MFCR).", "In a preferred embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula IX, Formula VII and Formula VIII, or a hydrate, salt, or derivative thereof.", "In a particularly preferred embodiment the compositions may be administered to chicken and other animals to promote growth.", "Further related disclosure is provided in section II.A of this application, below." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a division of U.S. Ser.", "No.", "14/823,340 filed Aug. 11, 2015, which claims the benefit of and priority to U.S. Ser.", "No.", "62/188,183 filed Jul.", "2, 2015, U.S. Ser.", "No.", "62/171,081, filed Jun.", "4, 2015, U.S. Ser.", "No.", "62/138,499, filed Mar.", "26, 2015, U.S. Ser.", "No.", "62/137,630, filed Mar.", "24, 2015 and U.S. Ser.", "No.", "62/036,790, filed Aug. 13, 2014, all of which are incorporated by reference in their entirety.", "FIELD OF THE INVENTION The present inventors have identified a class of compounds that has a broad range of antimicrobial and other activities, particularly against bacteria, and has developed numerous uses for, and methods involving, the compounds.", "In one aspect, the invention is generally directed to compositions, methods and uses for inhibiting, reducing, or preventing biofilm formation or buildup on a surface or to removing, dispersing, reducing, or eradicating biofilm on a surface.", "In another embodiment, the invention is also generally relates to compositions, methods and uses for the treatment of, inhibition of growth of, and inhibition of colonization by, bacteria, both in biological and non-biological environments.", "In a further embodiment, the invention also relates to compositions, methods and uses for disinfecting surfaces, both in biological and non-biological environments, and products that have been coated with, or treated by, the compounds or compositions of the present invention.", "In another embodiment, the invention also relates to compositions, methods and uses for potentiating the effects of antibiotics and other anti-microbial agents, and increasing the sensitivity of bacteria and other microorganisms, including antibiotic-resistant bacteria, to antibiotics and/or other anti-microbial agents, and also to reversing antibiotic resistance in bacteria.", "In yet another embodiment, the invention also relates to compositions, methods and uses for enhancing the growth of animals and their efficiency of feed utilization, in particular by oral administration of feed and/or drink compositions.", "BACKGROUND OF THE INVENTION A biofilm is an accumulation of microorganisms (bacteria, fungi, and/or protozoa, with associated bacteriophages and other viruses) embedded in a polysaccharide matrix and adherent to solid biological or non-biotic surfaces.", "Biofilms are medically important, accounting for over 80 percent of hospital-acquired microbial infections in the body.", "Examples include infections of the: oral soft tissues, teeth and dental implants; middle ear; gastrointestinal tract; urogenital tract; airway/lung tissue; eye; urinary tract prostheses; peritoneal membrane and peritoneal dialysis catheters, indwelling catheters for hemodialysis and for chronic administration of chemotherapeutic agents (Hickman catheters); cardiac implants such as pacemakers, prosthetic heart valves, ventricular assist devices, and synthetic vascular grafts and stents; prostheses, internal fixation devices, percutaneous sutures; and tracheal and ventilator tubing.", "The microorganisms tend to be far more resistant to antimicrobial agents and to be particularly difficult for the host immune system to render an appropriate response.", "Several bacterial pathogens have been shown to associate with, and in some cases, grow in biofilms, including Legionella pneumophila, S. aureus, Listeria monocytogenes, Campylobacter spp., E. coli O157:H7, Salmonella typhimurium, Pseudomonas, Vibrio cholerae, S. epidermidis, E. faecalis, and Helicobacter pylori.", "Biofilms are remarkably difficult to treat with antimicrobials.", "Antimicrobials may be readily inactivated or fail to penetrate into the biofilm.", "In addition, bacteria within biofilms have increased (up to 1,000-fold higher) resistance to antimicrobial compounds, even though these same bacteria are sensitive to these agents if grown under planktonic conditions.", "In addition, bacteria embedded within biofilms are resistant to both immunological and non-specific defense mechanisms of the body.", "Contact with a solid surface triggers the expression of a panel of bacterial enzymes, which catalyze the formation of sticky polysaccharides that promote colonization and protection.", "The structure of biofilms is such that immune responses may be directed only at those antigens found on the outer surface of the biofilm, and antibodies and other serum or salivary proteins often fail to penetrate into the biofilm.", "In addition, phagocytes are unable to effectively engulf a bacterium growing within a complex polysaccharide matrix attached to a solid surface.", "This causes the phagocyte to release large amounts of pro-inflammatory enzymes and cytokines, leading to inflammation and destruction of nearby tissues.", "Conventional therapy is characteristically ineffective against biofilms, as the minimum inhibitory concentration (MIC) of antimicrobial agents has been shown to be 10 to 1000 fold greater than for planktonic organisms (Hoiby, et al., Int J Antimicrob Agents, 35(4):322-32 (2010).", "It is an object of the present invention to provide compositions and methods for inhibiting or preventing biofilm formation or promoting biofilm dissolution from surfaces of interest.", "It is still an object of the present invention to provide methods for reducing the transmission of pathogens in biofilm.", "It is a further object of the present invention to provide methods to treat antibiotic resistant bacteria.", "It is yet a further object to provide compositions to improve growth performance.", "SUMMARY OF THE INVENTION The present inventors have identified a class of compounds, as described further below in Section III.A of this application, that have surprisingly been found to provide a broad range of activity, particularly against a diverse array of bacteria.", "The present invention provides numerous uses for, and methods involving, the compounds, particularly in the formation of compositions.", "The present invention also provides compositions, articles and products comprising one or more of the compounds, as described further below.", "The present invention also provides products produced by the application of the numerous uses and methods of the present invention, as well as downstream products produced therefrom.", "In one embodiment, the present invention provides compounds as described further below in Section III.A of this application and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for inhibiting, reducing, or preventing biofilm formation or buildup on a surface or to removing, dispersing, reducing, or eradicating biofilm on a surface.", "Accordingly, compositions for inhibiting, reducing, or removing biofilm buildup in a subject and/or on an article or other item are provided.", "An exemplary compounds and composition include an effective amount of one or more compound selected from Ferric Quinate (Fe-QA, also referred to herein as FeQ), and ferric complexes with L-tyrosine (Fe-Tyr), L-DOPA (Fe-DOPA), L-phenylalanine (Fe-Phe) and hydrates, salts, or derivatives thereof.", "See Formulas IX, VIII and VII, as defined further below, respectively.", "The compositions are effective against biofilms produced by a wide range of microbial species including, without limitation, S. epidermidis, E. faecalis, E. coli, S. aureus, Campylobacter spp.", "H. pylori and Pseudomonas, alone, or in combination.", "In an embodiment, an article or product, including medical devices having on the surface or dispersed therein one or more of the compounds as described further below in Section III.A of this application, or composition comprising the one or more compounds, for example of Formula IX (Fe-QA, or also referred to as FeQ), Formula VII (Fe-DOPA also referred to as FeDOPA) and Formula VIII (Fe-Tyr), or Fe-Phe, are prepared to prevent or reduce the formation of a biofilm on the article, or product, such as to prevent or reduce the formation of a biofilm on the medical device after implantation.", "The surface may be a biological surface (such as a surface of a living human, animal or plant surface, or the surface of a dead or harvested animal or plant), or a non-biological surface including for example, plastics, polymers, biomaterials, and metals.", "The present invention also provides products treated according to this embodiment.", "In another embodiment, the invention provides compounds as described further below in Section III.A of this application and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for the treatment of, inhibition of growth of, and inhibition of colonization by, bacteria, both in biological and non-biological environments.", "In a further embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for disinfecting surfaces, both in biological and non-biological environments, and products that have been coated with, or treated by, one or more of the compounds and/or compositions of the present invention.", "In another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for potentiating the effects of one or more antibiotics, increasing the sensitivity of bacteria (including antibiotic-resistant bacteria) to one or more antibiotics, and also to reversing antibiotic resistance in bacteria.", "In yet another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for enhancing the growth of animals and their efficiency of feed utilization, in particular by oral administration of feed and drink compositions.", "Also provided are methods of treating microbial infections in a subject by inhibiting, reducing, or removing biofilm buildup in the subject and methods for treating subjects with microbial infections that are resistant to antibiotics.", "One method includes administering to the subject an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to compounds according to Formula A or B as described therein, one or more compounds that bind to major outer membrane proteins (MOMPs) or FlaA of Campylobacter, a mimetic or synthetic human histo-blood group antigen or a synthetic sugar.", "In one embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula I, Formula II, Formula III, Formula IV, Formula V, and Formula VI.", "In a preferred embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula IX (ferric quinate, Fe-QA also designated as FeQ), Formula VII (Fe-DOPA), Formula VIII (Fe-Tyr), or Fe-Phe, and hydrates, salts, or derivatives thereof.", "In another preferred embodiment, the one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as descried therein, one or more compounds of Formula I-IX or X-XIV, or compositions comprising one or more of said compounds, may be used to cure, treat, or prevent symptoms of or associated with a variety of conditions as described herein, such as arterial damage, gastritis, urinary tract infections, biliary tract infections, pyelonephritis, cystitis, sinus infections, ear infections, otitis media, otitis externa, leprosy, tuberculosis, conjunctivitis, bloodstream infections, benign prostatic hyperplasia, chronic prostatits, lung infections including chronic lung infections of humans with cystic fibrosis, osteomyelitis, catheter infections, bloodstream infections, skin infections, acne, rosacea, dental caries, periodontitis, gingivitis, nosocomial infections, arterial damage, endocarditis, periprosthetic joint infections, open or chronic wound infections, venous stasis ulcers, diabetic ulcers, arterial leg ulcers, pressure ulcers, endocarditis, pneumonia, orthopedic prosthesis and orthopedic implant infections, peritoneal dialysis peritonitis, cirrhosis, and any other acute or chronic infection that involves or is associated with a biofilm.", "In a preferred embodiment for treating antibiotic- (or other antimicrobial-) resistant microbial infections, the method includes administering to the subject an effective amount of an antibiotic or other antimicrobial agent (which may be the antibiotic/antimicrobial to which the microbial infection is resistant) and an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, or one or more compounds represented by any of Formulae I to XIV, such as Formula I, Formula II, Formula III, Formula IV, Formula V, and Formula VI or, preferably, an effective amount of one or more compound represented by Formula IX, Formula VII and Formula VIII and hydrates, salts, or derivatives thereof.", "The antibiotic/antimicrobial agent may be administered before the one or more compounds in accordance with the present invention, however, in a particularly preferred embodiment the antibiotic/antimicrobial agent is administered simultaneously (such as formulated in the same composition, or administered simultaneously in separate compositions) or after the administration of the compounds of the present invention as described further below in Section III.A of this application, including but not limited to compounds represented by Formula I, Formula II, Formula III, Formula IV, Formula V, Formula VI, Formula VII, Formula VIII, and Formula IX, Formula X, Formula XI, Formula XII, Formula XIII and Formula XIV.", "The antibiotic/antimicrobial agent and/or the one or more compounds of the invention may also be incorporated into a medical device for delivery.", "Also provided are methods for inhibiting biofilm buildup on a surface or for reducing or removing biofilm from a surface.", "The method includes contacting the surface with an effective amount of one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, compounds that bind to major outer membrane proteins (MOMPs) or FlaA of Campylobacter, a mimetic or synthetic human histo-blood group antigen or a synthetic sugar, to inhibit or reduce biofilm buildup or to reduce or remove biofilm from the surface.", "In a preferred embodiment, the method includes administering to the subject an effective amount of one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, to interfere with bacteria binding to the surface or each other.", "The surface to be treated may be contacted with the compounds by coating the surface with the one or more compounds.", "In some embodiments, the surface is contacted by immersing the article to be treated in a composition comprising the one or more compounds of the present invention, or flushing, spraying, irrigating, or wiping the surface with a carrier containing the one or more compounds of the present invention.", "The disclosed methods and uses are useful for inhibiting biofilm build up (or reducing or removing biofilm) produced by microbial species including S. epidermidis, E. faecalis, E. coli, S. aureus, Campylobacter spp.", "H. pylori and Pseudomonas, alone, or in combination, on/in a subject.", "The methods are thus also useful in treating disease conditions caused by these and other microorganisms that are associated with biofilm buildup.", "With respect to surfaces, the disclosed methods and uses employing one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, are useful for inhibiting biofilm formation, dispersing biofilms and disinfecting articles, including but not limited to dental instruments, teeth, dentures, dental retainers, dental braces including plastic braces (such as Invisalign), medical instruments, medical devices, contact lenses and lens cases, catheters, surfaces (e.g., tabletop, countertop, bathtub, tile, filters, membranes, etc.", "), tubing, drains, pipes including gas pipes, oil pipes, drilling pipes, fracking pipes, sewage pipes, drainage pipes, hoses, fish tanks, showers, children's toys, boat hulls, and cooling towers.", "A further embodiment of the present invention provides articles treated in accordance with the foregoing methods and uses.", "In further embodiments of the present invention, one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, may be used in methods to make antifouling coatings, liquid, spray and towelette dispersants, and wound irrigation solutions.", "In further embodiments of the present invention, one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, may also be used in cosmetic formulations, including skin treatments, acne treatments, toothpaste, and mouth rinse formulations.", "The compounds disclosed herein may also be applied to the bristles of toothbrushes, dental flosses, and the like, for oral healthcare.", "Also disclosed are compositions comprising one or more compounds as described further below in Section III.A of this application, including but not limited to one or more compounds according to Formula A or B as described therein, and more preferably one or more compound selected from Fe-QA, Fe-Tyr, Fe-DOPA, and Fe-Phe, and hydrates, salts, or derivatives thereof, and methods using such compositions, for improving growth performance of animal such as livestock (including poultry, cattle, sheep, swine and goats) and other animals as discussed further below in section II.A, and as feed and formula supplements for such animals, in place of, or in combination with, existing bacteriostatic or bactericidal or growth enhancing compounds.", "In a preferred embodiment the compositions may be administered to animals, such as livestock, to increase growth performance.", "The compositions may also be used to decrease mortality adjusted feed conversion ratios (MFCR).", "In a preferred embodiment, the method includes administering to the subject an effective amount of a compound represented by Formula IX, Formula VII and Formula VIII, or a hydrate, salt, or derivative thereof.", "In a particularly preferred embodiment the compositions may be administered to chicken and other animals to promote growth.", "Further related disclosure is provided in section II.A of this application, below.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1A is a bar graph showing biofilm formation by Enterococcus faecalis at time T=0 in the presence of absence of different concentrations of Fe-QA.", "FIG.", "1B is a bar graph showing biofilm formation by Enterococcus faecalis at time T=24 h in the presence or absence of different concentrations of Fe-QA.", "FIG.", "2A is a bar graph showing biofilm formation by Staphylococcus epidermidis at time T=0 in the presence of absence of different concentrations of Fe-QA.", "FIG.", "2B is a bar graph showing biofilm formation by Staphylococcus epidermidis at time T=24 h in the presence of absence of different concentrations of Fe-QA.", "FIG.", "3A is a bar graph showing biofilm formation by Staphylococcus aureus at time T=0 in the presence of absence of different concentrations of Fe-QA.", "FIG.", "3B is a bar graph showing biofilm formation by Staphylococcus aureus at time T=24 h in the presence of absence of different concentrations of Fe-QA.", "FIG.", "4A is a bar chart showing the binding of C. jejuni to the BgAgs (common ABO histo-blood group antigens), Leb and H-II, after growing the bacteria in a medium that has either Fe-QA at a concentration of 0.34 mM or 3.4 mM.", "Binding is shown after one passage and four passages (4 generations) with Fe-QA included in the medium, and compared to a control without Fe-QA.", "FIG.", "4B is a bar graph showing the binding of C. jejuni 11168 to BgAgs (common ABO histo-blood group antigens, Core-I, Core-II, H-I, H-II, Leb, Ley and Lex), and the inhibition of this binding by the Fe-QA (inhibitor).", "FIG.", "5A is a bar graph showing the effect of Fe-QA treatment on Helicobacter pylori attachment to human gastric tissue.", "FIG.", "5B is a line graph showing the competitive inhibition of Leb binding to H. pylori by Fe-QA as the concentration of Fe-QA is increased.", "The graph is a plot of the ratio of bound to free Leb versus Fe-QA concentration.", "FIG.", "6A is a bar graph showing the coverage rate of PAO1 Pseudomonas aeruginosa on the surface of a glass slide, comparing Pseudomonas medium only as a control, PAO1 Pseudomonas+100 μM Fe-QA treatment, and PAO1 Pseudomonas with no Fe-QA (X═Fe-QA).", "The graph shows that 100 μM Fe-QA (“X”) inhibits the formation of biofilm by P. aeruginosa.", "FIG.", "6B is a bar graph showing that Fe-QA inhibits formation of biofilm by Uropathogenic E. coli (UPEC).", "The bar graph shows the coverage rate of UPEC on the surface of a glass slide compared to a UPEC medium only control, and UPEC growing in the presence of 0.1 μM, 1 μM, 10 μM, and 100 μM concentrations of Fe-QA.", "FIG.", "7A is a graph showing the growth rate of UPEC in the presence of 100 μM Fe-QA and without Fe-QA over a period of 24 hours.", "RPMI-1640 (bottom line); RPMI-1640+UPEC (middle line); RPMI-1640+100 μM FeQ+UPEC (top line).", "FIG.", "7B is a graph showing the growth rate of Pseudomonas aeruginosa in the presence of 100 μM Fe-QA and without Fe-QA.", "RPMI-1640 (bottom line); RPMI-1640+UPEC (middle line); RPMI 1640+100 μM FeQ+PAO1 (top line).", "FIG.", "8A is a bar chart showing the planktonic growth rates of wildtype Campylobacter jejuni and the same strain after mutation of the T268 of MOMP.", "T268 of MOMP is replaced by glycine to form the MOMP-T strain.", "FIG.", "8B is a bar chart showing the abilities of the wildtype Campylobacter jejuni and the MOMP-T mutant to form biofilms.", "FIG.", "9 is a graph showing the impact of Fe-QA on the rate of growth of a kanamycin resistant strain of E. coli.", "The groups, numbered from the top are as follows: (1) ΔsdiA-FEQ; (2) ΔsdiA-FEQ+Kan; (3) ΔsdiA-FEQ−Kan; (4) medium FEQ; (5) medium-FEQ+Kan; (6) medium-Kan.", "The graph shows the rate of growth of the strain in the presence of Fe-QA ((1)—upper line), kanamycin ((2)—triangles) and a combination of Fe-QA and kanamycin ((3), squares).", "Three baselines are shown for just the medium containing Fe-QA, Fe-QA and kanamycin, and kanamycin alone.", "FIG.", "10A shows the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of (i) gentamicin (1.25 μM) (grey circles), (ii) Fe-Tyr (100 μM) (inverted grey triangle ), (iii) gentamicin (1.25 μM) and Fe-Tyr (1.25 μM) (upright white triangle, Δ, and (iv) a control with no gentamicin or Fe-Tyr present (black circles).", "FIG.", "10B shows the Δ growth rate of Enteropathogenic E. coli (EPEC) strain E2348/69 when grown in the presence of (i) gentamicin (1.25 μM), (ii) Fe-Tyr (100 μM), (iii) gentamicin (1.25 μM) and Fe-Tyr (1.25 μM), and (iv) a control with no gentamicin or Fe-Tyr present.", "FIGS.", "11A-C show the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of gentamicin (1.25 μM) and increasing concentrations (10-68 μM) of Fe-QA (also known as FeQ).", "FIG.", "11D compares the growth rates of Enteropathogenic E. coli (EPEC) strain E2348/69 when grown in the presence of 10, 34, 68 and 100 μM Fe-QA.", "FIG.", "11E compares the growth rates of Enteropathogenic E. coli (EPEC) strain E2348/69 when grown in the presence of a fixed concentration of gentamicin (1.25 μM) and increasing concentrations of Fe-QA ranging from 10 to 100 μM.", "FIG.", "12 shows quantitatively the difference in the attachment of EPEC cells to the plastic well surface in the absence and presence of FeQ by measurement of the optical absorbance of crystal violet that was absorbed by EPEC cells attached to the surface.", "FIG.", "13 is a graph showing the impact of growth rates of an antibiotic-resistant clinical isolate of Pseudomonas in the presence of kanamycin, FeQ, and kanamycin plus FeQ compared to the strain grown in the absence of kanamycin and FeQ.", "FIGS.", "14A-C show chemical structures of how FeQ can be conjugated to an agent that contains a reactive functional group suitable for immobilizing FeQ, for example, on a surface.", "FIG.", "14A shows the conjugation of FeQ to a calix[4] arene frame that contains a photoreactive functional group.", "FIG.", "14B shows the conjugation of FeQ to a calix [4] arene frame wherein the photo-reactive functional group is positioned in a different location on the calix [4] arene frame compared to the structure of FIG.", "14B.", "FIG.", "14C shows the conjugation of FeQ to a calix[4] arene frame functionalized with two thiol groups.", "FIGS.", "15A and B are chemical structures that illustrate how FeQ can be conjugated via a linker to a substance that binds to a surface.", "In both structures, the linker is spaced between functional groups Y′ and X′, attached to FeQ via Y′ and to hydroxyapatite (HA) via X′.", "The figures differ in the point of attachment to the quinic acid ligand.", "FIG.", "16 is a graph showing that the wildtype O-glycosylated strain of Campylobacter dominates infection of chicken colonized by a mixed population of O-glycosylated and non-glycosylated (MOMPT268G) Campylobacter, and that the non-glycosylated bacteria is unable to colonize in a mixed population.", "FIG.", "17 is a graph showing the average body weight (ABW) of chicken after 42 days of growth.", "The graph compares the ABW at 42 days of chicken challenged with Campylobacter-infected dirty litter at day 20 and treated from days 0-42 with FeQ or FeTyr to (i) a standard commercial target (of 2.979 kg) labeled “Target”, (ii) a negative control (of 3.437 kg) labeled “CNC” where the chicken were not challenged with Campylobacter-infected dirty litter, and (iii) a positive control (of 3.186 kg) labeled “CC” where the chicken were challenged with Campylobacter-infected dirty litter.", "The graph shows that birds challenged with Campylobacter-infected dirty litter have higher ABW at 42 days compared to the positive control (labeled “CC”) when treated with (iv) FeQ at 0.22 g/L in drinking water and FeQ at 0.22 g/kg in feed, labeled “FeQ(W+F)” with an ABW of 3.342 kg, (v) FeQ at 0.22 g/L in drinking water, labeled “FeQ(W)” with an ABW of 3.407 kg, (vi) FeQ at 0.22 g/kg in feed, labeled “FeQ(F)” with an ABW of 3.464 kg, (vii) FeQ at 0.022 g/L in drinking water, labeled “FeQ(W)” with an ABW of 3.304 kg, and (viii) FeTyr at 0.02 g/L in drinking water, labeled FeTyr(W) with an ABW of 3.341 kg.", "FIG.", "18 is a graph showing the mortality adjusted feed conversion ratio (MFCR) of chicken after 42 days of growth.", "The graph compares the MFCR at 42 days of chicken challenged with Campylobacter-infected dirty litter at day 20 and treated from days 0-42 with FeQ or FeTyr to (i) a standard commercial target (of 1.703) labeled “Target”, (ii) a negative control (of 1.563) labeled “CNC” where the chicken were not challenged with Campylobacter, and (iii) a positive control (of 1.679) labeled “CC” where the chicken were challenged with Campylobacter-infected dirty litter.", "The graph shows that birds challenged with Campylobacter-infected dirty litter have lower MFCR at 42 days compared to the positive control (labeled “CC”) when treated with (iv) FeQ at 0.22 g/L in drinking water and FeQ at 0.22 g/kg in feed, labeled “FeQ(W+F)” with a MFCR of 1.595, (v) FeQ at 0.22 g/L in drinking water, labeled “FeQ(W)” with a MFCR of 1.560, (vi) FeQ at 0.22 g/kg in feed, labeled “FeQ(F)” with a MFCR of 1.563, (vii) FeQ at 0.022 g/L in drinking water, labeled “FeQ(W)” with a MFCR of 1.612, and (viii) FeTyr at 0.02 g/L in drinking water, labeled FeTyr(W) with a MFCR of 1.577.FIG.", "19 is a graph showing the number of Campylobacter colony forming units per gram (cfu/g) of bird droppings at day 42.The graph compares the cfu/g at day 42 of chicken that were challenged with Campylobacter-infected dirty litter at day 20 and treated from days 0-42 with FeQ or FeTyr to (i) a negative control labeled “CNC” (with a cfu/g of 28,000) where the chicken were not challenged with Campylobacter-infected dirty litter, and (ii) a positive control labeled “CC” (with a cfu/g of 1,280,000) where chickens were challenged with Campylobacter-infected dirty litter at day 21.The graph shows that birds treated with FeQ or FeTyr have lower levels of Campylobacter in their droppings at day 42 when treated with (iii) FeQ at 0.22 g/L in drinking water and FeQ at 0.22 g/kg in feed, labeled “FeQ(W+F)” with a cfu/g of 4,860, (iv) FeQ at 0.22 g/kg in feed, labeled “FeQ(F)” with a cfu/g of 12,800, (v) FeQ at 0.022 g/L in drinking water, labeled “FeQ(W)” with a cfu/g of 900,000, and (vi) FeTyr at 0.02 g/L in drinking water, labeled FeTyr(W) with a cfu/g of 16,600.FIG.", "20 is a graph showing the average number of Campylobacter colony forming units per gram (cfu/g) of caeca samples at day 42.The graph compares the cfu/g at day 42 of chicken that were challenged with Campylobacter-infected dirty litter at day 20 and treated from days 0-42 with FeQ or FeTyr to (i) a negative control labeled “Treatment-1” where the chicken were not challenged with Campylobacter-infected dirty litter, and (ii) a positive control labeled “Treatment-2” where chickens were challenged with Campylobacter-infected dirty litter at day 21.The graph shows that birds treated with FeQ or FeTyr have lower levels of Campylobacter in their caeca at day 42 when treated with (iii) FeQ at 0.22 g/L in drinking water and FeQ at 0.22 g/kg in feed, labeled “Treatment-3”, (iv) FeQ at 0.22 g/L in water, labeled “Treatment-5”, (v) FeQ at 0.22 g/kg in feed, labeled “Treatment-6”, (vi) FeQ at 0.022 g/L in drinking water, labeled “Treatment-7”, and (vii) FeTyr at 0.02 g/L in drinking water, labeled “Treatment-8”.", "FIGS.", "21A-C show the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of gentamicin (1.25 μM) and increasing concentrations (130-200 μM) of Fe-DOPA.", "FIG.", "22 is shows quantitatively the difference in the attachment of EPEC cells to the plastic well surface in the absence and presence of FeDOPA (also referred to as Fe-DOPA) by measurement of the optical absorbance of crystal violet that was absorbed by EPEC cells attached to the surface.", "FIG.", "23 shows 3 bar graphs at 24, 48 and 72 hours of the optical absorbance of crystal violet that was absorbed by the EPEC cells that remained attached to the surface of the plastic well after a mature biofilm formed by EPEC-pgA++ was treated with FeTyr (shown as “FeY” in FIG.", "23) at 100 μM, 150 μM and 200 μM compared to an untreated biofilm (labeled “Control”) in a crystal violet assay.", "FIG.", "24A shows the data from positive mode analysis, as an OPLS-DA scores plot.", "This shows a clear separation between fresh media (FM) and other spent media (SMWT; SMWTF; SMMT; SMMTF).", "FIG.", "24B also shows the data from the positive mode analysis, in which the fresh media (FM) results were removed from the plot.", "FIG.", "24C contrasts from FIG.", "24A in that it shows the data from the negative mode analysis.", "FIG.", "24D contrasts with FIG.", "24B in that it shows the data from the negative mode analysis.", "FIGS.", "25A and B show the results of Example 30, which investigate effects upon antibiotic resistance of a laboratory strain of Psuedomonas aeruginosa (PAO1N) and a mixed population of clinical isolates (PAO Mixed), when incubated in Luria-Bertani (LB) media alone, or with different concentrations (34 μM, 100 μM, 200 μM and 340 μM) of FeQ or FePhe.", "FIG.", "25A shows the results with PAO1N cultures.", "FIG.", "25B shows the results with PAO Mixed cultures.", "DETAILED DESCRIPTION OF THE INVENTION I. Definitions “Aerosol” as used herein refers to any preparation of a fine mist of particles, which can be in solution or a suspension, whether or not it is produced using a propellant.", "The term “alkyl” refers to the radical of saturated aliphatic groups (i.e., an alkane with one hydrogen atom removed), including straight-chain alkyl groups, branched-chain alkyl groups, cycloalkyl (alicyclic) groups, alkyl-substituted cycloalkyl groups, and cycloalkyl-substituted alkyl groups.", "In preferred embodiments, a straight chain or branched chain alkyl has 30 or fewer carbon atoms in its backbone (e.g., C1-C30 for straight chains, and C3-C30 for branched chains), preferably 20 or fewer, more preferably 15 or fewer, most preferably 10 or fewer.", "Likewise, preferred cycloalkyls have 3-10 carbon atoms in their ring structure, and more preferably have 5, 6, or 7 carbons in the ring structure.", "The term “alkyl” (or “lower alkyl”) as used throughout the specification, examples, and claims is intended to include both “unsubstituted alkyls” and “substituted alkyls”, the latter of which refers to alkyl moieties having one or more substituents replacing a hydrogen on one or more carbons of the hydrocarbon backbone.", "Such substituents include, but are not limited to, halogen, hydroxyl, carbonyl (such as a carboxyl, alkoxycarbonyl, formyl, or an acyl), thiocarbonyl (such as a thioester, a thioacetate, or a thioformate), alkoxyl, phosphoryl, phosphate, phosphonate, phosphinate, amino, amido, amidine, imine, cyano, nitro, azido, sulfhydryl, alkylthio, sulfate, sulfonate, sulfamoyl, sulfonamido, sulfonyl, heterocyclyl, aralkyl, or an aromatic or heteroaromatic moiety.", "Unless the number of carbons is otherwise specified, “lower alkyl” as used herein means an alkyl group, as defined above, but having from one to ten carbons, more preferably from one to six carbon atoms in its backbone structure.", "Likewise, “lower alkenyl” and “lower alkynyl” have similar chain lengths.", "Throughout the application, preferred alkyl groups are lower alkyls.", "In preferred embodiments, a substituent designated herein as alkyl is a lower alkyl.", "It will be understood by those skilled in the art that the moieties substituted on the hydrocarbon chain can themselves be substituted, if appropriate.", "For instance, the substituents of a substituted alkyl may include halogen, hydroxy, nitro, thiols, amino, azido, imino, amido, phosphoryl (including phosphonate and phosphinate), sulfonyl (including sulfate, sulfonamido, sulfamoyl and sulfonate), and silyl groups, as well as ethers, alkylthios, carbonyls (including ketones, aldehydes, carboxylates, and esters), —CF3, —CN and the like.", "Cycloalkyls can be substituted in the same manner.", "The term “heteroalkyl”, as used herein, refers to straight or branched chain, or cyclic carbon-containing radicals, or combinations thereof, containing at least one heteroatom.", "Suitable heteroatoms include, but are not limited to, O, N, Si, P, Se, B, and S, wherein the phosphorous and sulfur atoms are optionally oxidized, and the nitrogen heteroatom is optionally quaternized.", "Heteroalkyls can be substituted as defined above for alkyl groups.", "The terms “alkenyl” and “alkynyl”, refer to unsaturated aliphatic groups analogous in length and possible substitution to the alkyls described above, but that contain at least one double or triple bond respectively.", "The terms “alkoxyl” or “alkoxy” as used herein refers to an alkyl group, as defined above, having an oxygen radical attached thereto.", "Representative alkoxyl groups include methoxy, ethoxy, propyloxy, tert-butoxy and the like.", "An “ether” is two hydrocarbons covalently linked by an oxygen.", "Accordingly, the substituent of an alkyl that renders that alkyl an ether is or resembles an alkoxyl, such as can be represented by one of —O— alkyl, —O-alkenyl, and —O-alkynyl.", "The terms “aroxy” and “aryloxy”, as used interchangeably herein, can be represented by —O-aryl or O-heteroaryl, wherein aryl and heteroaryl are as defined below.", "The alkoxy and aroxy groups can be substituted as described above for alkyl.", "“Aryl”, as used herein, refers to C5-C10-membered aromatic, heterocyclic, fused aromatic, fused heterocyclic, biaromatic, or bihetereocyclic ring systems.", "Broadly defined, “aryl”, as used herein, includes 5-, 6-, 7-, 8-, 9-, and 10-membered single-ring aromatic groups that may include from zero to four heteroatoms, for example, benzene, pyrrole, furan, thiophene, imidazole, oxazole, thiazole, triazole, pyrazole, pyridine, pyrazine, pyridazine, pyrimidine, and the like.", "Those aryl groups having heteroatoms in the ring structure may also be referred to as “aryl heterocycles” or “heteroaromatics”.", "The aromatic ring can be substituted at one or more ring positions with one or more substituents including, but not limited to, halogen, azide, alkyl, aralkyl, alkenyl, alkynyl, cycloalkyl, hydroxyl, alkoxyl, amino (or quaternized amino), nitro, sulfhydryl, imino, amido, phosphonate, phosphinate, carbonyl, carboxyl, silyl, ether, alkylthio, sulfonyl, sulfonamido, ketone, aldehyde, ester, heterocyclyl, aromatic or heteroaromatic moieties, —CF3, —CN, and combinations thereof.", "The term “aryl” also includes polycyclic ring systems having two or more cyclic rings in which two or more carbons are common to two adjoining rings (i.e., “fused rings”) wherein at least one of the rings is aromatic, e.g., the other cyclic ring or rings can be cycloalkyls, cycloalkenyls, cycloalkynyls, aryls and/or heterocycles.", "Examples of heterocyclic rings include, but are not limited to, benzimidazolyl, benzofuranyl, benzothiofuranyl, benzothiophenyl, benzoxazolyl, benzoxazolinyl, benzthiazolyl, benztriazolyl, benztetrazolyl, benzisoxazolyl, benzisothiazolyl, benzimidazolinyl, carbazolyl, 4aH carbazolyl, carbolinyl, chromanyl, chromenyl, cinnolinyl, decahydroquinolinyl, 2H,6H-1,5,2-dithiazinyl, dihydrofuro[2,3 b]tetrahydrofuran, furanyl, furazanyl, imidazolidinyl, imidazolinyl, imidazolyl, 1H-indazolyl, indolenyl, indolinyl, indolizinyl, indolyl, 3H-indolyl, isatinoyl, isobenzofuranyl, isochromanyl, isoindazolyl, isoindolinyl, isoindolyl, isoquinolinyl, isothiazolyl, isoxazolyl, methylenedioxyphenyl, morpholinyl, naphthyridinyl, octahydroisoquinolinyl, oxadiazolyl, 1,2,3-oxadiazolyl, 1,2,4-oxadiazolyl, 1,2,5-oxadiazolyl, 1,3,4-oxadiazolyl, oxazolidinyl, oxazolyl, oxindolyl, pyrimidinyl, phenanthridinyl, phenanthrolinyl, phenazinyl, phenothiazinyl, phenoxathinyl, phenoxazinyl, phthalazinyl, piperazinyl, piperidinyl, piperidonyl, 4-piperidonyl, piperonyl, pteridinyl, purinyl, pyranyl, pyrazinyl, pyrazolidinyl, pyrazolinyl, pyrazolyl, pyridazinyl, pyridooxazole, pyridoimidazole, pyridothiazole, pyridinyl, pyridyl, pyrimidinyl, pyrrolidinyl, pyrrolinyl, 2H-pyrrolyl, pyrrolyl, quinazolinyl, quinolinyl, 4H-quinolizinyl, quinoxalinyl, quinuclidinyl, tetrahydrofuranyl, tetrahydroisoquinolinyl, tetrahydroquinolinyl, tetrazolyl, 6H-1,2,5-thiadiazinyl, 1,2,3-thiadiazolyl, 1,2,4-thiadiazolyl, 1,2,5-thiadiazolyl, 1,3,4-thiadiazolyl, thianthrenyl, thiazolyl, thienyl, thienothiazolyl, thienooxazolyl, thienoimidazolyl, thiophenyl, and xanthenyl.", "One or more of the rings can be substituted as defined above for “aryl”.", "The term “aralkyl”, as used herein, refers to an alkyl group substituted with an aryl group (e.g., an aromatic or heteroaromatic group).", "The term “aralkyloxy” can be represented by —O-aralkyl, wherein aralkyl is as defined above.", "“Biofilm” as used herein refers any group of microorganisms in which cells stick to each other on a surface.", "A “cream” is a viscous liquid or semi-solid emulsion of either the “oil-in-water” or “water-in-oil type”.", "An “emulsion” is a composition containing a mixture of non-miscible components homogenously blended together.", "“Gel” as used herein is a colloid in which the dispersed phase has combined with the continuous phase to produce a semisolid material, such as jelly.", "“Cleaning formulation”, as used herein, means a composition suitable for application to a surface for removing dirt and oils, for disinfecting, or a combination thereof.", "Cleaning formulations can be antibacterial, antimicrobial, or both.", "Cleaning formulations are suitable for use on the human skin, when none of the components of the composition are present at concentrations that cause significant signs of irritation when applied to human skin.", "As used herein, “significant signs of irritation” include erythema, redness, and/or swelling at the site of injection or at the site of application, necrosis at the site of application, exfoliative dermatitis at the site of application, and severe pain that prevents daily activity and/or requires medical attention or hospitalization.", "Cleaning formulations can be suitable for use in the human buccal cavity.", "Cleaning formulations can be suitable for use with articles that, subsequent to exposure and optionally with residual levels of cleaning composition present on and/or in the article, will then be contacted with the human skin or other part of the human body, such as wherein the article (e.g.", "a denture) will be contacted with the buccal cavity, or will be contacted with the eye (e.g.", "a contact lens).", "Cleaning formulations can be suitable for use with foodstuffs and/or their packaging and may, for example, be suitable for cleaning meat products and/or carcasses used in the production of meat products.", "Cleaning formulations may be suitable for cleaning equipment used in food production.", "Cleaning formulations may be suitable for use in cleaning medical devices, including implantable medical devices.", "Many other types of cleaning formulations may also be provided by the present invention, further examples of which are discussed in further sections of this application.", "“Chronic wound” as used herein refers to a wound that fails to progress through an orderly and timely sequence of repair or a wound that does not respond to treatment and/or the demands of treatment are beyond the patient's physical health, tolerance or stamina.", "Many wounds that are first considered to be acute wounds ultimately become chronic wounds due to factors still not well understood.", "One significant factor is the transition of planktonic bacteria within the wound to form a biofilm.", "The term “heteroatom” as used herein means an atom of any element other than carbon or hydrogen.", "Preferred heteroatoms are boron, nitrogen, oxygen, phosphorus, sulfur, and selenium.", "Other heteroatoms include silicon and arsenic.", "“Inhibition” or “inhibiting” of biofilm formation as used herein refers to a decrease of biofilm associated microorganism formation and/or growth.", "A “lotion” is a low- to medium-viscosity liquid formulation.", "As used herein, the term “nitro” means —NO2; the term “halogen” designates —F, —Cl, —Br, or —I; the term “sulfhydryl” means —SH; the term “hydroxyl” means —OH; and the term “sulfonyl” means —SO2—.", "“Oil” as used herein refers to a composition containing at least 95% wt.", "of a lipophilic substance.", "Examples of lipophilic substances include but are not limited to naturally occurring and synthetic oils, fats, fatty acids, lecithins, triglycerides and combinations thereof.", "An “ointment” is a semisolid preparation containing an ointment base and optionally one or more active agents.", "“Parenteral administration”, as used herein, means administration by any method other than through the digestive tract or non-invasive topical or regional routes.", "“Patient” or “subject” to be treated and/or used in accordance with any of the aspect of the present invention as described herein refers to either a human or non-human animal such as a primate, non-human primate, laboratory animal, farm animal, livestock, or a domestic pet.", "Exemplary animals can optionally include chickens, particularly a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken.", "Also optionally included without limitation are other poultry, such as a turkey, geese, quail or ducks, or livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals, including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid, domestic animals such as cats and dogs, rodents (such as mice, rats, guinnea pigs, hamsters), and horses, are also included, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "“Pharmaceutically acceptable” as used herein refers to those compounds, materials, compositions, and/or dosage forms which are, within the scope of sound medical judgment, suitable for use in contact with the tissues of human beings and animals (such as one or more of the animal “patients” or “subjects” as discussed above) without excessive toxicity, irritation, allergic response, or other problems or complications commensurate with a reasonable benefit/risk ratio.", "“Pharmaceutically acceptable salt”, as used herein, refers to derivatives of the compounds defined herein, wherein the parent compound is modified by making acid or base salts thereof.", "“Therapeutically effective” or “effective amount” as used herein means that the amount of the composition used is of sufficient quantity to ameliorate one or more causes or symptoms of a condition, bacterial colonization, disease or disorder.", "Such amelioration only requires a reduction or alteration, not necessarily elimination.", "As used herein, the terms “therapeutically effective amount” “therapeutic amount” and “pharmaceutically effective amount” are synonymous.", "One of skill in the art can readily determine the proper therapeutic amount.", "The term “substituted” as used herein, refers to all permissible substituents of the compounds.", "In the broadest sense, the permissible substituents include acyclic and cyclic, branched and unbranched, carbocyclic and heterocyclic, aromatic and nonaromatic substituents of organic compounds.", "Illustrative substituents include, but are not limited to, halogens, hydroxyl groups, or any other organic groupings containing any number of carbon atoms, preferably 1-14 carbon atoms, and optionally include one or more heteroatoms such as oxygen, sulfur, or nitrogen grouping in linear, branched, or cyclic structural formats.", "Representative substituents include alkyl, substituted alkyl, alkenyl, substituted alkenyl, alkynyl, substituted alkynyl, phenyl, substituted phenyl, aryl, substituted aryl, heteroaryl, substituted heteroaryl, halo, hydroxyl, alkoxy, substituted alkoxy, phenoxy, substituted phenoxy, aroxy, substituted aroxy, alkylthio, substituted alkylthio, phenylthio, substituted phenylthio, arylthio, substituted arylthio, cyano, isocyano, substituted isocyano, carbonyl, substituted carbonyl, carboxyl, substituted carboxyl, amino, substituted amino, amido, substituted amido, sulfonyl, substituted sulfonyl, sulfonic acid, phosphoryl, substituted phosphoryl, phosphonyl, substituted phosphonyl, polyaryl, substituted polyaryl, C3-C20 cyclic, substituted C3-C20 cyclic, heterocyclic, substituted heterocyclic, amino acid, peptide, and polypeptide groups.", "“Treatment”, “treating”, or “alleviating” as used in connection with a disease or infection refers to an intervention performed with the intention of altering or inhibiting the pathology of a disorder.", "II.", "Aspects of the Invention Although aspects of the invention are described throughout the application, some of the main aspects, which all make use of the compounds of the present invention as described further in section III.A of this application, can be summarized as: (i) Enhancement of animal growth; (ii) Potentiating the effect of antibiotics and other antimicrobial agents, and addressing antibiotic resistance; (iii) Inhibition of formation, and treatment of preformed, biofilms; treating microbial infections reducing microbial colonization; and disinfecting surfaces; (iv) Compounds of the present invention as described in section III.A of this application, and compositions comprising one or more of said compounds.", "These aspects, and further aspects of the present invention, and further embodiments of these aspects, will be discussed in more detail below.", "A. Enhancement of Animal Growth A first aspect of the present invention is based on the surprising finding that compounds of the present invention as described further in section III.A of this application, can be used to enhance animal growth.", "Numerous examples of this effect are provided in Example 18 of the present application, as discussed further below.", "Accordingly, the first aspect of the present invention provides a method of enhancing the growth of an animal, the method comprising causing the animal to ingest and/or absorb an effective amount of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "In other words, the first aspect of the present invention also provides for the use of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, for enhancing the growth of an animal, by causing the animal to ingest an effective amount of the one or more compounds.", "Typically, in the practice of the first aspect of the present invention, the one or more compounds will be presented directly to the animal for ingestion and/or absorption.", "However, in one alternative optional embodiment of the first aspect of the present invention, the animal may be caused to ingest or absorb the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, by providing the animal simultaneously, separately or sequentially with components which cause the animal to form an effective amount of the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, in situ.", "For example, the animal could be provided with a source of ferrous sulfate and simultaneously, separately or sequentially with a source of quinic acid or salt thereof (or other α-hydroxyacid), or could be provided with a source of ferrous sulfate and simultaneously, separately or sequentially with a source of a natural or synthetic amino acid, such as L-tyrosine, L-DOPA or L-phenylalanine.", "In a preferred option of the first aspect of the present invention, the animal to ingests and/or absorbs one or more compounds having the structure of Formula A as described further in section III.A of this application below, and in a further preferred option the one or more compounds are selected from the group consisting of a complex of an amino acid with Fe III and a complex of an α-hydroxyacid with Fe III, or salts and/or hydrates thereof.", "In particularly preferred options of the first aspect of the present invention, the one or more compounds may, or may not, be selected from any one or more of the group consisting of a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX), a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII), a complex of L-DOPA with Fe III (such as a complex having the structure of Formula VII), and a complex of L-phenylalanine with Fe III.", "Accordingly, in one embodiment of the first aspect of the invention, a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII) is particularly preferred.", "Optionally, the one or more compounds is not a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX).", "The animal may be caused to ingest or absorb the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, by providing the one or more compounds (or component parts thereof to form the compound(s) in situ) by dietary means, such as in or mixed with an animal feed, as a dietary supplement, and/or in a drinking water.", "A further option, in the case of marine, aquatic, amphibious or other animals that live partially or fully in water, is to add the one or more compounds (or component parts thereof to form the compound(s) in situ) into the water, such as by treatment of ponds containing farmed fish or crustaceans such as shrimp and crawfish.", "Accordingly, for example, in a preferred embodiment, the one or more compounds may be presented to the animal through one or more routes selected from the group consisting of an animal feed, an animal feed supplement, and in drinking water or by exposure to other water.", "It should be noted that, dependent on the solubility of the one or more compounds used, it may be beneficial to introduce a co-solvent to solubilize to aid dissolution in water at an effective concentration.", "Accordingly, in a further embodiment of the first aspect of the present invention, there is provided an animal feed, animal feed supplement and a drinking water supply, each comprising one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "Suitable concentrations of the one or more compounds to include in the animal feed, animal feed supplement and a drinking water supply include concentrations as discussed further below.", "Also provided herewith, in a further embodiment of the first aspect of the present invention, is a method for the production of an animal feed product or animal feed supplement product, the method comprising the steps of incorporating one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, into the animal feed product or animal feed supplement product during the preparation of the feed or supplement.", "The one or more compounds may be incorporated into the product at any stage during the production process and may, for example, be included before one or more heating steps, such a one or more heating steps that comprise exposing a composition comprising the one or more compounds to a temperature of greater than 50° C., greater than 60° C., greater than 70° C., greater than 80° C., greater than 90° C. or greater than 100° C., and preferably wherein the temperature exposure is in a range selected from 50-200° C., 60-150° C., 70-100° C. In some embodiments, a temperature range for a heating step may be in the range of 70-90° C., such as 75-88° C., 80-87° C., 81-86° C., or 82-85° C. Optionally, in one embodiment, a suitable method for the production of an animal feed, such as a feed for a chicken (including a broiler chicken) may include the steps of: (a) combining nutritional and/or other dietary components (such as one or more components selected from wheat, soy, soy oil, minerals and other additives) to form a grist or other mixture; (b) heating the grist or other mixture in a heating step as described above, such as with steam at 85° C. for a time effective to kill any pathogens, such as Salmonella.", "A period of 5-10 minutes, such as 6-8 minutes, is one example of an effective period at 85° C., although the time can be adjusted dependent on the temperature used; (c) cooling the heated mixture.", "Preferably the cooling is conducted at a rate and under conditions effective to avoid the formation of condensation, since condensation can result in the growth of pathogens including Salmonella.", "(d) optionally pressing the cooled mixture; (e) forming feed pellets from the cooled mixture, such as by pelletizing using an extruder that heats the feed to a suitable temperature, as discussed above, for example in the range of 82-85° C.; (f) addition of heat sensitive additives, typically by spraying.", "Heat sensitive additives can include enzymes, which may (for example) be selected from the group consisting of phytase, xylase, beta-lactamase.", "In accordance with the foregoing method for the production of an animal feed product, the method comprising the step of incorporating one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, into the animal feed product at any one or more stages of the production, including during step (a), between steps (a) and (b), during step (b), between steps (b) and (c), during step (c), between steps (c) and (d), during step (d), between steps (d) and (e), during step (e), between steps (e) and (f), during step (f), or after step (f).", "Other additives which may be included either at the time of adding the heat-sensitive additives, or at earlier stages, include one or more additives selected from the list consisting of creatine, amino acids (e.g.", "threonine) and salt.", "An animal feed or animal feed supplement as described herein and useful in the context of the first aspect of the present invention, or any other aspect of the present invention, may either be a vegetarian or non-vegetarian product.", "A vegetarian product contains no meat or fish products.", "A non-vegetarian diet may contain either, or both, fish product (such as fish meal) or meat product (such as meat derivatives, bone meal, etc.).", "Also provided herewith, in a further embodiment of the first aspect of the present invention, is a method for the production of an animal drinking water, the method comprising the addition of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, into an animal drinking water supply.", "Suitable concentrations of the one or more compounds in a drinking water supply are as discussed below, and are typically in a concentration effective to produce the effect of enhanced growth in accordance with the first aspect of the present invention.", "A determination of a suitable concentration may take into account the amount of drinking water consumed by the animal.", "For example, a broiler chicken in the UK (or at an equivalent temperature to those used in the UK) typically consumes a daily amount of drinking water dependent on its age that can be calculated by reference to the age of the chicken in days multiplied of approximately 4-10 mL, such as 5-9 ml, 6-8 mL, for example about 7.14 mL.", "Thus, for example, a 42 day old broiler chicken may have a daily water consumption of 168 mL to 420 mL per day, more typically around 300 mL per day ±30%, 20%, 15%, 10%, 5%, 4%, 3%, 2%, or 1%.", "Broiler chicken reared at different temperatures may consume more (e.g.", "in southern USA, where temperatures in the summer will be high and water consumption could be higher, particularly in sheds where temperature is not controlled), or less water.", "The animal may ingest or absorb an effective amount of one or more compounds on a regular and repeated basis.", "For example, the animal may ingest or absorb an effective amount of one or more compounds weekly, every other day, every day, or more than once every day during the performance of the method or use.", "In one option, the one or more compounds are included in the an animal feed, an animal feed supplement, and/or in drinking water and the animal ingests the one or more compounds when they eat and/or drink, and optionally every time they eat and/or drink.", "This ingestion or absorption an effective amount of one or more compounds may continue through a period of time of the animal's growth that may correspond to a period of time that is, is up to, or is at least, 5%, 10%, 25%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95% or substantially 100% of the life of the animal from birth to death.", "The ingestion or absorption an effective amount of one or more compounds may start on the day of the animal's birth, or at the age of 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 days, or more.", "After the animal starts to ingest or absorb the one or compounds, the animal may continue to do so on a regular and repeated basis for a period of time that can be, or be up to, or at least, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47 days, or more.", "In the case of chickens, especially broiler chickens, it may be preferred that the chickens ingest one or more compounds in accordance with the present invention on a repeated and regular basis in a starter diet, in a grower diet and/or in a finisher diet, as described further below.", "Chickens grown for other purposes, such as breeder chickens and/or egg layer chickens, typically receive diets that are different to the broiler chicken, as discussed further in this application and standard diets for breeder and egg layer chickens are well known to those skilled in the art.", "In accordance with further embodiments of the first aspect of the present invention, the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, is incorporated into an animal feed for a breeder chicken and/or egg layer chicken.", "In an embodiment of the first aspect of the present invention, the one or more compounds may be included in an animal feed, or in an animal feed supplement, for the feed of commercial birds such as chickens, turkeys, pheasants, and ducks.", "In one option, the one or more compounds may be included in, or used to supplement, a poultry feeds, which can be a “complete” feed.", "A complete feed is designed to contain all the protein, energy, vitamins, minerals, and other nutrients necessary for proper growth, egg production, and health of the birds.", "Feeding any other ingredients, mixed with the feed or fed separately, beyond the use of a complete feed, can upset the balance of nutrients in the “complete” feed.", "Feeding additional grain or supplement with the complete poultry feed is not recommended.", "Chickens used in optimized commercial broiler production are typically fed different diets depending upon their age.", "For example, chickens for broiler production may be raised using three diets.", "These diets are typically called a “starter”, “grower” and “finisher”.", "“Pre-starter” diets are also possible.", "The “starter”, “grower” and “finisher” are typically distinguished by crude protein content, which is often provided by ingredients such as soybean meal (SBM).", "For example, a starter diet for a broiler chicken may optionally contain a crude protein contents of around 22-25% by weight, such as 22%, 23%, 24% or 25%, with 23 or 25% being preferred.", "In a further example, a grower diet for a broiler chicken may optionally contain a crude protein contents of around 21-23% by weight, such as 21%, 22% or 23%, with 22% being preferred.", "In a further example, a finisher diet for a broiler chicken may optionally contain a crude protein contents of around 19-23% by weight, such as 19%, 20%, 21%, 22% or 23%, with 19%, 20%, or 21% being preferred.", "Additionally or alternatively, the “starter”, “grower” and “finisher” may be distinguished by metabolizable energy (ME) content, which is typically lowest for the starter diet and highest for the finisher diet, with the grower diet having a level between the two.", "For example, a starter diet for a broiler chicken may have an ME of about 3000 or 3025 kcal/kg (±50, 40, 30, 20, 10, 5 or less kcal/kg).", "In a further example, a grower diet for a broiler chicken may have an ME of about 3100 or 3150 kcal/kg (±50, 40, 30, 20, 10, 5 or less kcal/kg).", "In a further example, a grower diet for a broiler chicken may have an ME of about 3200 kcal/kg (±50, 40, 30, 20, 10, 5 or less kcal/kg).", "Animal feeds, including chicken and most particularly broiler chicken feeds, in accordance with the present invention may also typically contain one or more (preferably all) of the following: Macro minerals, which include those selected from the group consisting of calcium, phosphorus, magnesium, sodium, potassium and chloride.", "Trace Minerals, including zinc and/or selenium.", "Added vitamins, which include those selected from the group consisting of vitamin A, nicotinic acid, pantothenic acid, pyridoxine (B6) and biotin in maize and wheatbased feed.", "Additionally there is a basic requirement of broiler chickens for vitamin E at 10-15 mg/kg.", "The need for extra supplementation with vitamin E will depend on the level and type of fat in the diet, on the level of selenium and on the presence of pro- and antioxidants.", "Heat treatment of feeds can result in the destruction of up to 20% of vitamin E. Choline may also be given in a complete feed.", "Non-nutritive feed additives may also be included.", "Enzymes are routinely used in poultry feeds to improve digestibility of feed ingredients.", "In general, feed enzymes are available that act on carbohydrates, plant bound minerals and proteins.", "Non Starch Polysaccharide (NSP) enzymes are economically beneficial in wheat-based feeds.", "These enzymes will also allow greater flexibility in the levels of barley to be included in the ration.", "Phytase enzymes can be used to enhance phytate phosphorus utilization.", "Protease enzymes can be included to act upon vegetable products.", "Carbohydrase enzymes can be added, and may provide beneficial responses when used in maize-soya diets.", "When adding enzymes before heat processing of broiler feeds, there is the potential for a loss in enzyme activity.", "This may be avoided by spraying enzymes on to the feed at the end of processing.", "Medicinal and prophylactic drugs (other than the compounds as defined in section III.A.", "below) may be added.", "A wide range of medicinal products, e.g.", "coccidiostats and antibiotics, may be administered through the feed.", "Antibiotic Growth Promoters/Digestion Enhancers can be included and can, for example, provide a mode of action involving modification of the gut microflora, with consequential benefits in nutrient utilization.", "Prebiotics can be added, and refer to a group of substances which stimulate the growth of beneficial microorganims, at the expense of harmful, micro-organisms.", "Oligosaccharides form the largest group of these products at present.", "Probiotics can be added to introduce live micro-organisms into the digestive tract to assist the establishment of a stable and beneficial microflora.", "The objective is to provide the gut with positive, non-pathogenic micro-organisms which will then prevent colonization with pathogenic micro-organisms by competitive exclusion.", "Organic Acids may be added.", "Organic acid products can be used to reduce bacterial contamination of the feed (e.g.", "after heat treatment) and can also encourage beneficial microflora to develop in the digestive tract of the bird.", "Absorbents are used specifically to absorb mycotoxins.", "They may also have a beneficial effect on general bird health and nutrient absorption.", "There are a range of products available for use as absorbents, including various clays and charcoal.", "Antioxidants can provide important protection against nutrient loss in broiler feeds.", "Some feed ingredients e.g.", "fish meal and fats, can be protected.", "Vitamin premixes should be protected by an antioxidant unless optimum storage times and conditions are provided.", "Additional antioxidants may be added to the final feed where prolonged storage or inadequate storage conditions are unavoidable.", "Anti-Mold Agents can be added.", "For example, mold inhibitors may be added to feed ingredients, which have become contaminated, or to finished rations to reduce growth of fungi and production of mycotoxins.", "Pelleting agents can be added, and are used to improve pellet hardness.", "Some examples of pellet binders are hemicellulose, bentonite and guar gum.", "Other products of possible use in broiler production include essential oils, nucleotides, glucans and specialized plant extracts.", "In areas of the world where its use is permitted, formaldehyde can be used to treat/preserve feed.", "Without limitation, exemplary “starter”, “grower” and “finisher” diets include those shown in Example 18 of this application, below.", "The starter diet with broiler chicks may be fed for about the first 10-12 days (typically in the range of the first 7-14 days of life).", "This starter diet may be followed by the grower diet, which is provided to the broilers for almost 2 weeks (typically from the age of about 11-24 days, although in any case, after the end of the use of the starter diet).", "The finisher diet may be used for the remainder of the production period (typically from the age of about 24, or 25, days to harvest).", "Some broiler houses will use more or less diets (for example 4 diets), and vary the timing of diet changes.", "Broilers are typically harvested between 35 and 42 days, although this time can be longer or shorter.", "The UK market typically harvests at day 30-35.Other countries, including some European countries, harvest as early as 25 days, although more typically from 30 days onwards.", "Yet other countries, such as the US, typically harvest at 42-47 days.", "Non-broiler chickens, including free-range chickens, may be harvested at later ages.", "In the context of the practice of the first aspect of the present invention, any age of harvest may be used, although most typically (e.g.", "in the context of broiler chickens) after the start of the finisher diet, and optionally (and without limitation) on any of days 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 or beyond, such as up to or about 11 weeks, 12 weeks, 13 weeks, 14 weeks, 15 weeks, 16 weeks, 17 weeks, 18 weeks, 19 weeks, 20 weeks or more.", "In some embodiments of the first aspect of the present invention, methods for the production of broiler chicken or other animals may be performed on groups that are single sex (i.e.", "groups of solely female, or solely male animals), and/or may be performed on groups of mixed sex (i.e.", "mixed male and female) animals.", "For example, in the case of the production of broiler chickens, it may be appropriate to select and rear together a single sex group of male cockerels, and it may be suitable to harvest the cockerels at an earlier age than female or mixed sex groups.", "For example, a single sex cockerel group of broiler chickens may be harvested at the age of around 30 days or, in other options, at the age of any one or more of 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, or more days.", "For example, at the age of 30 days, an untreated cockerel group may have an average target weight of about 1.95 kg, whereas in the case of the enhanced growth resulting from the performance of the method of the first aspect of the present invention, it may be appropriate to harvest the cockerels at an earlier stage at the defined target weight, or to harvest at the same age and a higher average weight, or at the same age and target weight with the use of a reduced consumption of animal feed due to greater feed conversion efficiency.", "In a further example, a mixed sex group of broiler chickens may be harvested at the age of around 35 days or, in other options, at the age of any one or more of 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 or more days.", "For example, at the age of 35 days, an untreated mixed sex group may have an average target weight of about 2.1-2.2 kg, whereas in the case of the enhanced growth resulting from the performance of the method of the first aspect of the present invention, it may be appropriate to harvest the mixed sex group at an earlier stage at the defined target weight, or to harvest at the same age and a higher average weight, or at the same age and target weight with the use of a reduced consumption of animal feed due to greater feed conversion efficiency.", "In accordance with the practice of the first aspect of the invention, for the purpose of enhancing the growth of broiler chickens, the one or more compounds may be included in any one, two or three of the starter, grower and finisher diets.", "In one embodiment, the one or more compounds may be included in starter diet only.", "In another embodiment, the one or more compounds may be included in grower diet only.", "In another embodiment, the one or more compounds may be included in finisher diet only.", "In another embodiment, the one or more compounds may be included in starter and grower diets only, but not the finisher diet.", "In another embodiment, the one or more compounds may be included in starter and finisher diets only, but not the grower diet.", "In another embodiment, the one or more compounds may be included in grower and finisher diets only, but not the starter diet.", "In another embodiment, the one or more compounds may be included in all of the starter, grower and finisher diets.", "In accordance with further embodiments of the first aspect of the present invention, the animal to be grown may be an egg-laying chicken.", "A typical process of rearing an egg-laying chicken can involve the beginning of egg production at around 23 weeks of age, and slaughter at around 60 weeks of age.", "The egg-laying chicken may be treated in accordance with the first aspect of the present invention prior to beginning egg laying, and/or during egg laying, and/or up to the time of slaughter.", "Treatment may, for example, last for about 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, or 60 weeks; the term “about” in that context can include the meaning of ±4, 3, 2, or 1 weeks of the stated value.", "Whereas, typically, egg laying chickens begin to lay eggs at 23 weeks of age, by taking advantage of the enhanced growth and/or enhanced feed utilization of the first aspect of the present invention, it may be appropriate to begin egg production at an earlier age, such as at 18, 19, 20, 21 or 22 weeks of age.", "Further, by taking advantage of the enhanced growth and/or enhanced feed utilization of the first aspect of the present invention, the present invention may be used to achieve an effect (compared to an untreated control group that is reared under identical conditions except for the application of the method of the first aspect of the present invention) selected from: (a) the production with eggs of improved quality.", "Improved quality may, for example, be selected from size, shell quality, air cell, white and yolk.", "The shell quality is determined from any one or more of size, visual defects, specific gravity, color, breaking strength, percent shell (shell weight×100/egg weight), shell thickness, and ultrastructure of the egg.", "The improved quality may be reflected in a higher proportion of eggs being categorized as US grade A or AA (for example, the US standard for grading eggs is discussed at http://www.fao.org/docrep/005/y4628e/y4628e04.htm, the contents of which are incorporated herein by reference); (b) the production of eggs of increased size (such as at a weight that is up to, or at least, 1%, 2%, 3%, 4%, 5%, 6%, 7%, 8%, 9%, 10%, 15%, 20% or more); and/or (c) the production of eggs in increased numbers (such as in an average daily amount, per group of at least 100 animal and/or when assessed over a period of at least 10 days, that is an amount that is up to, or at least, 1%, 2%, 3%, 4%, 5%, 6%, 7%, 8%, 9%, 10%, 15%, 20% or more).", "The same approach can be taken with other egg-laying animals.", "Eggs produced by egg-laying chickens and other animals are labelled with information to indicate the source and date/or of origin.", "Also provided by the present invention, in accordance with a further embodiment of the first aspect, are one or more eggs, such as a box or carton of eggs, produced by the animals (especially egg-laying chickens) that have been treated by a method according to the first aspect of the present invention.", "As indicated above, such eggs will typically carry a label indicating their source and/or date of origin.", "Also provided are downstream products, especially food products, produced from and/or containing eggs or parts thereof produced by the animals (especially egg-laying chickens) that have been treated by a method according to the first aspect of the present invention.", "An animal feed of, or for use in, a first aspect of the present invention may comprise, or be supplemented with, one or more compounds of the present invention in an amount of 0.001 to 20 g of the one or more compounds per kg of feed, such as 0.002 to 15 g/kg, or at a level of, up to, or at least, about 0.002 g/kg, 0.005 g/kg, 0.01 g/kg, 0.02 g/kg, 0.03 g/kg, 0.04 g/kg, 0.05 g/kg, 0.1 g/kg, 0.2 g/kg, 0.3 g/kg, 0.4 g/kg, 0.5 g/kg, 1 g/kg, 2 g/kg, 3 g/kg, 4 g/kg, 5 g/kg, 10 g/kg, 15 g/kg or 20 g/kg.", "An animal drinking water supply of, or for use in, the first aspect of the present invention may comprise, or be supplemented with, one or more compounds of the present invention in an amount of 0.001 to 20 g of the one or more compounds per L of water, such as 0.002 to 15 g/L, or at a level of, up to, or at least, about 0.002 g/L, 0.005 g/L, 0.01 g/L, 0.02 g/L, 0.03 g/L, 0.04 g/L, 0.05 g/L, 0.1 g/L, 0.2 g/L, 0.3 g/L, 0.4 g/L, 0.5 g/L, 1 g/L, 2 g/L, 3 g/L, 4 g/L, 5 g/L, 10 g/L, 15 g/L or 20 g/L.", "The same concentrations can apply to water in which aquatic or other animals live.", "Optionally, the methods and uses of the present invention are conducted such that, during the course of the treatment, the animal ingests and/or absorbs a daily mean average total of FeQ (or an equivalent number of moles of any other one or more compounds according to Formula A or B, or other compounds of the invention as described further in section III.A of this application below) of, of up to, or at least, about 100 μg, 500 μg, 1 mg, 10 mg, 100 mg, 1 g, 2 g, 3 g, 4 g, or 5 g. In an additional or alternative option, the methods and uses of the present invention are conducted such that, during the course of the treatment, the animal ingests and/or absorbs a total of FeQ (or an equivalent number of moles of any other one or more compounds according to Formula A or B, or other compounds of the invention as described further in section III.A of this application below) of, of up to, or at least, about (a) 5 mg, 10 mg, 50 mg, 100 mg, 500 mg, 1 g, 5 g, 10 g, 50 g or 100 g per individual animal and/or (b) 1 mg, 2 mg, 3 mg, 4 mg, 5 mg, 10 mg 50 mg, 100 mg, 200 mg, 300 mg, 400 mg, 500 mg, 600 mg, 700 mg, 800 mg, 900 mg, 1 g, 1.1 g, 1.2 g, 13 g, 1.4 g, 1.5 g, 1.6 g, 1.7 g, 1.8 g, 1.9 g, 2 g, 2.1 g, 2.2 g, 2.3 g, 2.4 g, 2.5 g, 2.6 g, 2.7 g, 2.8 g, 2.9 g, 3 g, 3.5 g, 4 g, 4.5 g, 5 g, 6 g, 7 g, 8 g, 9 g, 10 g, 20, g, 30 g, 40 g, 50 g, 60 g, 70 g, 80 g, 90 g or 100 g per kg of final average body weight, as determined at the day of the final administration of the one or more compounds.", "Accordingly, the present invention also provides animal feed, animal feed supplements, drinking water supplies, and ponds (or other contained water-based growth areas) for use in accordance with the present invention, and comprising the one or more compounds according to Formula A or B, or other compounds of the invention as described further in section III.A of this application below, at the one of the concentrations indicated above.", "Exemplary animal feeds of the present invention include chicken feeds, including (i) starter diets, grower diets and/or finisher diets, particular for a meat-type chicken such as broiler chicken, or (ii) for egg-laying chicken such as a pullet or hen, or (iii) for breeder chickens.", "Also included are feeds for other poultry, such as a turkey, geese, quail, pheasant, or ducks, or livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other feeds for animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals, including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid, domestic animals such as cats and dogs, rodents (such as mice, rats, guinnea pigs, hamsters), and horses, are also provided, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "In one embodiment, in the context of the first aspect of the present invention, the animal may be selected from the group consisting of poultry, such as a chicken, turkey, geese, quail, pheasant, or ducks, or livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals (including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid), domestic animals such as cats and dogs, rodents (such as mice, rats, guinea pigs, hamsters), and horses, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates may also be treated.", "In a particularly preferred embodiment, the animal is a chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken.", "The method of enhancing the growth of an animal in accordance with the first aspect of the present invention may be practiced on multiple animals, which may optionally be reared together and, further optionally wherein all animals reared together may be aged matched to within a month, a week, or less, such as within 6, 5, 4, 3, 2 or 1 days of each other.", "For example, the method may be practiced on a group of up to, about, or at least, 2, 3, 4, 5, 6, 7, 8, 9, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 70, 80, 90, 100, 150, 200, 250, 300, 400, 500, 600, 700, 800, 900, 1×103, 2×103, 3×103, 4×103, 5×103, 6×103, 7×103, 8×103, 9×103, 1×104, 2×104, 3×104, 4×104, 5×104, 6×104, 7×104, 8×104, 9×104, 1×105, 2×105, 3×105, 4×105, 5×105, 6×105, 7×105, 8×105, 9×105, 1×106 or more, and all animals in the group may be optionally age matched as indicated above.", "The term “about” in this context can mean within ±50%, ±40%, ±30%, ±20%, ±10%, ±5%, ±4%, ±3%, ±2%, ±1% or less of the stated value.", "The animals treated in accordance with the present invention may be healthy animals, for example, animals which are not infected with or disadvantageously colonized by bacteria or other microorganisms.", "In another embodiment, the animals treated in accordance with the present invention may be unhealthy animals, for example, animals which are infected with and/or disadvantageously colonized by bacteria or other microorganisms.", "An example of a disadvantageous bacterial colonization is Campylobacter colonization in the GI tract of chickens; Campylobacter is not pathogenic and does not cause disease in the chicken itself (although of course it can lead to food poisoning if present in a downstream meat product produced from the chicken)—nevertheless, the Campylobacter colonization can be considered disadvantageous to the chicken as it reduces its ability to grow or efficiently utilize feed.", "As such, in one embodiment, an animal that is disadvantageously colonized by bacteria or other microorganisms is an animal which displays a reduced rate of growth, reduced body weight, reduced weight gain, or less efficient feed conversion ratio due to the colonization, compared to a control animal that differs only in that it does not have the colonization.", "In some embodiments, the animals treated in accordance with the present invention may be animal that have been exposed to the litter (including feacal matter) of one or more other animals of the same or different species.", "Optionally, the litter may be from unhealthy animals which, for example, animals which are infected with and/or disadvantageously colonized by bacteria or other microorganisms.", "In one embodiment of interest to the present invention, the animals treated may be chickens, such as broiler chickens, and they may have been exposed to the litter of other chickens, such as dirty litter as described in the present examples and/or carrying one or more pathogens, such as Actinobacillus, Bordetalla, Campylobacter, Clostridium, Corynebacterium, Escherichia coli, Globicatella, Listeria, Mycobacterium, Salmonella, Staphylococcus, and Streptococcus.", "As such, the animals to be treated in accordance with the present invention may be chickens (or other animals) that are infected and/or colonized by one or more of the foregoing pathogens.", "Accordingly, in some embodiments, the methods and uses of the present invention may be non-therapeutic, in the sense that the animal to be treated is healthy and/or the method and use comprises the eventual slaughter of the animal.", "In other embodiments, the methods and uses of the present invention may include therapeutic benefits to the animals to be treated.", "In one embodiment, the methods and uses of enhancing the growth of an animal in accordance with the first aspect of the present invention can include enhancing one or more characteristics selected from the group consisting of enhancing body weight or (in the case of a group of animals) average body weight (ABW), feed intake or (in the case of a group of animals) average feed intake (AFD), weight gain or (in the case of a group of animals) average weight gain (AWG), feed conversion ratio (FCR) and/or mortality adjusted feed conversion ratio (MFCR).", "In one embodiment (for example, in the context of a group of chickens grown in a pen) MFCR over a given period can be calculated as follows: MFCR=Total feed intake of period per pen/((total live weight of pen+total weight of dead birds in pen)−total live weight of pen in previous period) For example for period 0 to 20 day, MFCR can be calculated as: MFCR0 to 20day=Total feed intake0-20 days/((Total body weightat day 20+mortality weight0-20 days)−Total body weightday 0).", "The enhancement in growth of the animal may be assessed over any convenient period during the animal's growth.", "It may, for example, be assessed from birth to a predetermined time point, such as up to about 10, 20, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, 160, 170, 180 or more days.", "The term “about” in this context can mean±5, ±4, ±3, ±2, or ±1 days.", "It may, for example, be assessed from birth to a predetermined time point, such as up to about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99% or 100% of the life span of the animal.", "It may, alternatively, not be measured from birth but be measured over a period of the animal's life lasting up to about 10, 20, 30, 40, 50, 60, 70, 80, 90, 100, 110, 120, 130, 140, 150, 160, 170, 180 or more days.", "Again, the term “about” in this context can mean±5, ±4, ±3, ±2, or ±1 days.", "It may, alternatively, not be measured from birth but be measured over a period of the animal's life representative of about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, or 99% of the life span of the animal.", "In the context of using the first aspect of the present invention to enhance the growth of broiler chickens, which are typically slaughtered at the average age of 35 days (in the EU) and 47 days (in the US), enhanced growth may be measured from birth up to the age of slaughter, or may be measured up to an earlier age, such as up to 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 36, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 or 47 days.", "Alternatively, the enhanced growth of broiler chickens may not be measured from birth but may be over another period of the broiler chicken's life lasting, for example, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 36, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 or 47 days.", "Enhanced growth can, in some embodiments, refer to an enhancement in growth in a subject animal compared to a control which is the same breed of animal as the subject, or an enhancement in a subject group of animals compared to a control group of an equivalent number of animals of the same breed as the subject group, wherein the subject and control are the same age or average age (ideally within a margin of error of less than one day), wherein growth is measured over the same period of time (ideally within a margin of error of less than one day), and wherein the subject and control are reared under the same conditions, differing only in that the subject receives one or more compounds of the present invention, in particular one or more compounds according to Formula A or B, or other compounds of the invention as described further in section III.A of this application below, whereas the control does not.", "In the context of using the present invention to enhance the growth of animals, and in particular poultry, such as chickens and more preferably broiler chickens, an enhancement in the rate of growth may constitute a reduction in the MFCR of the subject by, by up to, or by at least, about 0.01, 0.02, 0.03, 0.04, 0.05, 0.06, 0.07, 0.08, 0.09, 0.10, 0.11, 0.12, 0.13, 0.14, 0.15, 0.16, 0.17, 0.18, 0.19 or 0.20.The term “about” in this context may include the meaning of ±5×103.The reduction in MFCR may, for example, be measured between days 0 to 20, or days 20 to 42 of the life of the animal(s).", "Under current economic conditions, it can be calculated that a reduction in MFCR of 0.1 will lead to an approximate saving in feed cost of about 4 US cents per bird over a 42 day growth period and/or about £10 GBP per tonne of animal feed used.", "It will be appreciated that these are substantial savings in an industry in which costs are typically controlled at a level of about 0.01 US cents per bird.", "Further, in the context of using the first aspect of the present invention to enhance the growth of animals, and in particular poultry, such as chickens and more preferably broiler chickens, an enhancement in the rate of growth may constitute an increase in the ABW of the subject by, by up to, or by at least, about 10 g, 20 g, 30 g, 40 g, 50 g, 60 g, 70 g, 80 g, 90 g, 100 g, 110 g, 120 g, 130 g, 140 g, 150 g, 160 g, 170 g, 180 g, 190 g, 200 g, 210 g, 220 g, 230 g, 240 g, 250 g or more.", "The term “about” in this context may include the meaning of ±5 g, 4 g, 3 g, 2 g or 1 g. The increase in the ABW may, for example, be measured between days 0 to 20, or days 20 to 42 or the life of the animal(s).", "In the context of animals that normally (i.e.", "when not treated in accordance with the present invention) have a higher ABW than the normal ABW of broiler chickens (i.e.", "when not treated in accordance with the present invention), then the foregoing values may be increased proportionately.", "That is, for example, in the case of an animal that has a normal ABW 10-fold greater than the normal ABW of a broiler chicken, then the enhancement in the rate of growth provided by the present invention may constitute an increase in the ABW of the subject by, by up to, or by at least, about 100 g, 200 g, 300 g, 400 g, 500 g, 600 g, 700 g, 800 g, 900 g, 1000 g, 1100 g, 1200 g, 1300 g, 1400 g, 1500 g, 1600 g, 1700 g, 1800 g, 1900 g, 2000 g, 2100 g, 2200 g, 2300 g, 2400 g, 2500 g or more, wherein the term “about” in this context may include the meaning of ±50 g, 40 g, 30 g, 20 g or 10 g. Further, in the context of using the first aspect of the present invention to enhance the growth of animals, and in particular poultry, such as chickens and more preferably broiler chickens, an enhancement in the rate of growth may constitute an increase in the average weight gain (AWG) of the subject by, by up to, or by at least, about 10 g, 20 g, 30 g, 40 g, 50 g, 60 g, 70 g, 80 g, 90 g, 100 g, 110 g, 120 g, 130 g, 140 g, 150 g, 160 g, 170 g, 180 g, 190 g, 200 g, 210 g, 220 g, 230 g, 240 g, 250 g, 260 g, 270 g, 280 g, 290 g, 300 g or more over a period of growth, compared to a control animal or group of animals.", "The term “about” in this context may include the meaning of ±5 g, 4 g, 3 g, 2 g or 1 g. The increase in the AWG may, for example, be measured between days 0 to 20, or days 20 to 42 of the life of the animal(s), or during a period of time selected from 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 36, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46 or 47 days.", "In the context of animals that normally (i.e.", "when not treated in accordance with the present invention) show a higher AWG than the normal AWG of broiler chickens (i.e.", "when not treated in accordance with the present invention), then the foregoing values may be increased proportionately.", "That is, for example, in the case of an animal that has a normal AWG 10-fold greater than the normal AWG of a broiler chicken over an equivalent period of time, then the enhancement in the rate of growth provided by the present invention may constitute an increase in the AWG of the subject by, by up to, or by at least, about 100 g, 200 g, 300 g, 400 g, 500 g, 600 g, 700 g, 800 g, 900 g, 1000 g, 1100 g, 1200 g, 1300 g, 1400 g, 1500 g, 1600 g, 1700 g, 1800 g, 1900 g, 2000 g, 2100 g, 2200 g, 2300 g, 2400 g, 2500 g, 2600 g, 2700 g, 2800 g, 2900 g, 3000 g or more, wherein the term “about” in this context may include the meaning of ±50 g, 40 g, 30 g, 20 g or 10 g. Prior to the present invention, in the US, the average age of slaughter of a broiler chicken is 47 days at an average weight of 2.6 kg; at the age of 42 days, the average weight may be around 2.5 kg, and in the EU, the average age of slaughter of a broiler chicken 35 days at an average weight of 2.1-2.2 kg.", "It will be appreciated that, as a result of the enhanced growth provided by the methods and uses of the present invention, it will be possible to reach the target weight and harvest the animal or animal products at an earlier stage of the animal's life than would be possible with a control.", "For example, in the context of a broiler chicken, it may be possible to slaughter the animal after having achieved a target body weight 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 or more days earlier than a control.", "In that context, a target body weight of a broiler chicken may be, may be up to, or may be at least, about 1000 g, 1100 g, 1200 g, 1300 g, 1400 g, 1500 g, 1600 g, 1700 g, 1800 g, 1900 g, 2000 g, 2100 g, 2200 g, 2300 g, 2400 g, 2500 g, 2600 g, 2700 g, 2800 g, 2900 g, 3000 g, 3100 g, 3200 g, 3300 g, 3400 g, 3500 g or more.", "The term “about” in that context may include ±50 g, ±40 g, ±30 g, ±20 g or ±10 g of the stated value.", "To put it another way, the broiler chicken may be slaughtered at, or prior to, the age of 47, 46, 45, 44, 43, 42, 41, 40, 39, 38, 37, 36, 35, 34, 33, 32, 31, 30, 29, 28, 27, 26 or 25 days, ideally wherein it has reached a target body weight at the time of slaughter.", "Thus, for example, in one embodiment of the present invention, the broiler chicken is reared to a target weight of about 2.6 kg, and the method or use includes the step of slaughtering the animal after having achieved a target body weight 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 or more days earlier than the age of 47 days.", "In another exemplary embodiment, broiler chicken is reared to a target weight of about 2.5 kg, and the method or use includes the step of slaughtering the animal after having achieved a target body weight 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 or more days earlier than the age of 42 days.", "In another exemplary embodiment, broiler chicken is reared to a target weight of about 2.2 kg, and the method or use includes the step of slaughtering the animal after having achieved a target body weight 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 or more days earlier than the age of 35 days.", "In another embodiment, the animal is reared for the same amount of time as the industry standard, but presents a greater body weight (such as about, at least, or up to, 0.1%.", "0.5%.", "1%.", "2%.", "3%, 4%, 5%, 10%, 15%, 20%, 25% or more) than the industry standard at the end of the rearing process.", "Thus, in the context of broiler chickens, the animal may be slaughtered at a weight of about 1000 g, 1100 g, 1200 g, 1300 g, 1400 g, 1500 g, 1600 g, 1700 g, 1800 g, 1900 g, 2000 g, 2100 g, 2200 g, 2300 g, 2400 g, 2500 g, 2600 g, 2700 g, 2800 g, 2900 g, 3000 g, 3100 g, 3200 g, 3300 g, 3400 g, 3500 g or more, wherein at the time of slaughter body weight is about, at least, or up to, 0.1%.", "0.5%.", "1%.", "2%.", "3%, 4%, 5%, 10%, 15%, 20%, 25% or more than the control.", "The term “about” as it is applied to weight in that context may include ±50 g, ±40 g, ±30 g, ±20 g or ±10 g of the stated value.", "In yet another embodiment, as a result of the effect of the enhanced growth provided by the methods and uses of the first aspect of the present invention, the animal is able to utilize animal feeds with greater efficiency than a control.", "Accordingly, in another embodiment, the methods and uses of the present invention include the option of rearing an animal to reach a target body weight using less animal feed than is required for a control to reach the target weight.", "For example, it may be possible to use the present invention to rear an animal to reach the target weight using an amount of animal feed that is reduced in weight by 0.01%, 0.05%, 0.1%, 0.2%, 0.3%, 0.4%, 0.5%, 1%, 2%, 3%, 4%, 5%, 10%, 15%, 20%, 25% or more, compared to the amount of the same animal feed required by a control to reach the same target weight.", "In that context, a target body weight of a broiler chicken may be, may be up to, or may be at least, about 1000 g, 1100 g, 1200 g, 1300 g, 1400 g, 1500 g, 1600 g, 1700 g, 1800 g, 1900 g, 2000 g, 2100 g, 2200 g, 2300 g, 2400 g, 2500 g, 2600 g, 2700 g, 2800 g, 2900 g, 3000 g, 3100 g, 3200 g, 3300 g, 3400 g, 3500 g or more.", "The term “about” in that context may include ±50 g, ±40 g, ±30 g, ±20 g or ±10 g of the stated value.", "For example, in the context of the industry standard for rearing a broiler chicken for 42 days, it is typical to provide each chicken with total of 5.2 kg of feed throughout its life (a mean average of 123.8 g of feed per day of life).", "In such a situation, in one embodiment, the present invention involves feeding the chicken a total amount of chicken feed that is reduced from 5.2 kg, and/or reduced from a mean average of 123.8 g feed per day, by 0.01%, 0.05%, 0.1%, 0.2%, 0.3%, 0.4%, 0.5%, 1%, 2%, 3%, 4%, 5%, 10%, 15%, 20%, 25% or more, during its rearing.", "Accordingly, the methods and uses of the present invention may further comprise the step of rearing the animal to permit enhanced growth.", "A further embodiment in accordance with the first aspect of the present invention provides a method of preventing or reducing the colonization of the gastrointestinal tract of an animal (such as an animal described above) with Campylobacter and/or other bacterial or microorganisms, by causing the animal to ingest and/or absorb an effective amount of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "In particular, it relates to reduction or prevention of colonization of the gastrointestinal tract of poultry (such as types of a poultry as described above) with Campylobacter.", "It also relates to uses of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below to prevent the bacteria from adhering to the wall of the gastrointestinal tract of animals and to treat or prevent infection by Campylobacter and/or other bacterial or microorganisms in humans and animals.", "Accordingly, in a further embodiment of the first aspect of the present invention, there is provided a method for disinfection of an animal comprising administering to said animal at least one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below in an effective amount to reduce the number of Campylobacter and/or other bacterial or microorganisms present in the gastrointestinal tract of said animal.", "A further embodiment of the first aspect of the present invention also provides a method for disinfection of an animal comprising administering to said animal at least one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below in an effective amount to prevent said Campylobacter and/or other bacterial or microorganisms from forming a biofilm in the gastrointestinal tract of said animal or to reduce the amount of biofilm formed by Campylobacter and/or other bacterial or microorganisms in the intestinal tract of said animal.", "A further embodiment of the first aspect of the present invention also provides a method for preventing or reducing transmission of Campylobacter infection, and/or infection by other bacteria or microorganisms, from one animal to another, for example preventing or reducing spread of Campylobacter and/or infection by other bacteria or microorganism, within a flock or herd of animals, for example preventing spread of Campylobacter infection and/or infection by other bacteria or microorganisms, within a flock of chickens, including broiler chickens; said method comprising administering to said animals, for example said herd or flock of animals, for example said flock of chickens, one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below in an effective amount to prevent said Campylobacter and/or other bacteria or microorganisms, from forming a biofilm in the gastrointestinal tract of said animal or to reduce the amount of biofilm formed by Campylobacter and/or other bacteria or microorganisms, in the intestinal tract of said animal.", "These methods may allow disinfection, prevention of biofilm formation and reduction of transmission of Campylobacter and/or other bacteria or microorganisms, between animals by preventing or reducing adherence of Campylobacter and/or other bacteria or microorganisms, of the gastrointestinal tract of said animals.", "This is advantageous because the fewer Campylobacter and/or other bacteria or microorganisms, that are in the gastrointestinal tract of an animal at the time of slaughter, the lower the risk of contamination of meat from the animal with Campylobacter and/or other bacteria or microorganisms.", "The fewer Campylobacter and/or other bacteria or microorganisms that are in the gastrointestinal tract of an animal the lower the chance of the Campylobacter and/or other bacteria or microorganisms, forming a biofilm in the gastrointestinal tract of the animal.", "The fewer Campylobacter and/or other bacteria or microorganisms, that are in the gastrointestinal tract of an animal, the lower the chance that the Campylobacter and/or other bacteria or microorganisms, will spread from one animal to another, for example within a herd or flock of animals.", "These methods may also be used to reduce the amount of colonisation of the gastrointestinal tract of any animal with Campylobacter and/or other bacteria or microorganisms.", "It can be particularly advantageous to provide the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below to animals that will be slaughtered for human consumption.", "Poultry includes birds that are used for human consumption such as chickens, geese, turkeys and ducks.", "It is particularly, advantageous to use the compounds of the present invention to reduce or prevent colonisation of the gastrointestinal tract of poultry, in particular chickens, and more particularly broiler chickens, egg laying chicken and/or breeder chickens, with Campylobacter and/or other bacteria or microorganisms because chickens are a leading source of human infection with Campylobacter.", "The number of Campylobacter and/or other bacteria or microorganisms in the gastrointestinal tracts of animals may be reduced by the methods of the present invention.", "In one embodiment the number of colony forming units (cfu) of Campylobacter and/or other bacteria or microorganisms in the gastrointestinal tract of an animal treated with the compounds of the present invention may be reduced by 50%, by 60%, by 70%, by 80%, by 90% or by 100%.", "In one embodiment Campylobacter and/or other bacteria or microorganisms may be substantially eradicated from the gastrointestinal tract of animals treated by the method of the present invention.", "10,000 cfu of Campylobacter are enough for successful chicken colonization.", "1,000 cfu of Campylobacter are enough to infect a human and cause disease in a human.", "Therefore, an effective amount of a compound of the present invention is enough of the compound to reduce the number of Campylobacter and/or other bacteria or microorganisms in the gastrointestinal tract of an animal to a number that is unlikely to cause infection in humans, such as less than 10,000 cfu, 5,000 cfu, 1,000 cfu, 500 cfu, 400 cfu, 300 cfu, 200 cfu, 100 cfu, 90 cfu, 80 cfu, 70 cfu, 60 cfu, 50 cfu or less.", "The number of cfu of Campylobacter and/or other bacteria or microorganisms that would be ingested by a human if they ate meat from an infected animal may be related to the number of Campylobacter and/or other bacteria or microorganisms in the gastrointestinal tract of the animal at the time of slaughter but also depends on other factors such as the amount of contamination of the meat with the contents of the gastrointestinal tract of the animal at the time of slaughter.", "An effective amount of the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, in this context, may be an amount that is enough of the one or more compounds to prevent colonisation of the gastrointestinal tract of the animal with Campylobacter and/or other bacteria or microorganisms.", "In one embodiment the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below may make Campylobacter and/or other bacteria or microorganisms less virulent and less capable of infecting humans even if the total number of Campylobacter and/or other bacteria or microorganisms in the gastrointestinal tract does not decrease.", "In this embodiment administering a compound of the present invention to an animal may affect the metabolism of Campylobacter and/or other bacteria or microorganisms and make them less adaptive to environment (for example, less motile) so that they cannot colonize the gastrointestinal tract and are less likely to be transmitted to other animals or to humans.", "An effective amount of a one or more compounds provided to an animal should be enough to provide the required degree of reduction of Campylobacter and/or other bacterial or microorganism colonisation.", "This may depend on the type of compound and/or the size of the animal.", "In one embodiment, the one of more compounds may be provided in an animal feed, animal drink, or other compositions in concentration within the range of about 1 μM to about 1M, preferably greater than 10 μM, 20 μM, 30 μM, 40 μM, 50 μM, 60 μM, 70 μM, 80 μM, 90 μM, 100 μM, 110 μM, 120 μM, 130 μM, 140 μM, 150 μM, 160 μM, 170 μM, 180 μM, 190 μM, 200 μM, 250 μM, 300 μM, 350 μM, 500 μM, 1 mM or more.", "For example, the concentration of the one or more compounds may be: (a) up to 1 μM, 2 μM, 3 μM, 4 μM, 5 μM, 10 μM, 15 μM, 20 μM, μM, 30 μM; (b) within a range selected from the group consisting of from 35 to 335 μM, 40 to 300 μM, 50 to 300 μM, 50 to 250 μM, 50 to 200 μM, 60 to 300 μM, 60 to 250 μM, 60 to 200 μM, 80 to 300 μM, 80 to 250 μM, 80 to 200 μM, 100 to 300 μM, 100 to 250 μM, or 100 to 200 μM; or (c) at least, or about, 345 μM, 350 μM, 360 μM, 370 μM, 380 μM, 390 μM, 400 μM, 450 μM, 0.5 mM, 1 mM, 2 mM or more.", "In another embodiment, the concentration may be within a range selected from the group consisting of from about 1 μM to about 1 mM, or about 30 μM to about 0.5 mM, or about 60 μM to about 0.3 mM.", "In the case of the animal drink (such as drinking water) or other composition types, optionally, the concentration of the one or more compounds in the composition may be within the range of 0.002 to 15 g/L, or at a level of, up to, or at least, about 0.002 g/L, 0.005 g/L, 0.01 g/L, 0.02 g/L, 0.03 g/L, 0.04 g/L, 0.05 g/L, 0.1 g/L, 0.2 g/L, 0.3 g/L, 0.4 g/L, 0.5 g/L, 1 g/L, 2 g/L, 3 g/L, 4 g/L, 5 g/L, 10 g/L, 15 g/L or 20 g/L In another embodiment, the one of more compounds may be provided in an animal feed, animal drink, or other composition in a unit dosage formulation, and/or at a concentration to deliver up to, or at least, about 1 ng, 10 ng, 50 ng, 100 ng, 500 ng, 1 μg, 10 μg, 50 μg, 100 μg, 500 μg, 1 mg, 10 mg, 100 mg, 500 mg, 1 g, 2 g, 3 g, 4 g, or 5 g of the one or more compounds.", "The methods and uses of the present invention may further comprise the step of harvesting a product from the reared animal with enhanced growth.", "The harvested product may be the body or part of the body of the animal.", "In that case, the harvesting process includes the step of slaughtering the animal and optionally preparing an animal carcass or part thereof as a product, such as a meat product.", "Accordingly, the harvested body or part of the body of then animal may be a non-food product, a food product, or a precursor of a food product.", "Carcasses and parts of carcasses may go through a process known as rendering to be made into human and non-human foodstuffs, fats, and other material that can be sold to make commercial products such as cosmetics, paint, cleaners, polishes, glue, soap and ink.", "Further such products that may be foodstuffs include but are not limited to blood, bone, including bone char, bone meal, etc., broths and stocks created with animal fat, bone, and/or connective tissue, carmine also known as cochineal (food dye), casein (found in milk and cheese), civet oil (food flavoring additive), gelatin, isinglass (which, may, for example be used in clarification of beer and wine), L-cysteine (which may for example used in the production of biscuits and bread), lard, meat (including fish, poultry, and game), and rennet (commonly used in the production of cheese).", "Meat and meat products may be of particular interest.", "In one embodiment of particular interest in the context of the present invention, the animal is a chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, and the product is harvested from the reared animal.", "Most preferably, the animal is a meat-type chicken, such as broiler chicken, and the harvested product is a carcass or part of the carcass of the chicken.", "After slaughter to produce the carcass, it may or may not be further processed, such as to remove one or more items selected from the group consisting of feathers, offal, neck skin, head, legs, and other items, and may produce a whole dressed carcass ready for sale as a meat product, or ready to send onto further processing.", "In one embodiment the processed carcass may retain the neck or neck skin, or at least 50%, 60%, 70%, 80%, 90% or more thereof as determined either by length or by weight.", "The average weight of the neck or neck skin may be in the range of 15-25 g. Further processing may include performing a cut-up operation wherein the carcass is cut into individual parts, and may involve deboning (i.e.", "where the bones are removed from specific parts) to produce items like breast filets or other boneless products.", "In one exemplary embodiment, a process for the slaughter and/or processing of a chicken may include any one or more of the following methodological step: (i) birds arrive at processing plant, typically in plastic crates; (ii) blue light is used to calm the birds; (iii) birds are hung; (iv) birds enter a stun tank; (v) birds are slaughtered using a neck bleed, optionally with a delay stand for bleeding out the birds; (vi) birds skin and/or feathers are heated, for example with water, to loosen pores holding the feathers; (vii) feathers are removed, e.g.", "using rubber fingers; (viii) an inspection is conducted to remove any birds failing a quality control assessment; (ix) drill or other implement is used to create a hole in the carcass and remove anus; (x) removal of the intestines and other internal organs, typically via the previously-created hole; (xi) optionally, the production line splits for the production of whole chickens and chicken parts; (xii) chicken parts may be cut up using an automated process and through manual labor (workers slicing); optionally including the separate liver, kidney and/or hearts; (xiii) the whole chicken carcass and/or chicken parts may be directly labeled on the floor of the processing plant, ready for the grocery store (further optionally including pricing) so the product can go directly on the store shelf.", "It will be appreciated that alternative methods of stunning the bird are available, and can be substituted for the method indicated in the foregoing method and/or used more generally in accordance with the first aspect of the present invention.", "Exemplary alternative methods of stunning the bird include, for example, controlled atmosphere stunning, controlled atmosphere killing, Bi-phasic CO2, and controlled slow decompression.", "Controlled atmosphere stunning (otherwise known as gas stunning) can be applied to birds in transport crates, which may be conveyed through a tunnel or other chamber filled with increasing concentrations of carbon dioxide, inert gases (argon or nitrogen), or a mixture of these gases.", "The gas or gases induce unconsciousness, before slaughter.", "For example, at that point, the birds are hung on shackles, while insensible, and conveyed to the killing machine for slaughter.", "Controlled atmosphere killing (CAK) can be operated by exposing birds to lethal concentrations of gases long enough that they are actually killed, rather than stunned (to avoid the risk that birds regaining consciousness after exiting the gaseous atmosphere).", "For example, carbon dioxide depresses the central nervous systems directly and produces rapid unconsciousness.", "However, carbon dioxide is aversive to chickens (usually if levels are above 20%).", "Inhalation of the inert gases (e.g.", "argon and nitrogen) can also be used, when inhaled in high concentrations, to cause oxygen deprivation in the body, leading to death.", "Bi-phasic CO2 is a newer gas stunning method which uses carbon dioxide in two phases to kill poultry.", "The first phase containing up to 40% of carbon dioxide (only moderately aversive to chickens), renders the birds unconscious, the second phase follows with lethal carbon dioxide levels.", "Controlled slow decompression can include the use of a Low Atmospheric Pressure System (LAPS).", "Killing by LASP mimics the physiological effects of ascending to high altitudes by using controlled slow decompression, which allows the body of the bird to adjust to changes in pressure and thus lose consciousness (from a lack of oxygen) with minimal discomfort.", "Alternatively, the bird may not be stunned prior to slaughter, e.g.", "in the case of the production of a meat product in accordance with religious laws, such as Halal, Qurrbani/Udhia, and/or Shechita slaughter laws.", "The processing of the carcass may be conducted at adequately low refrigeration temperatures, such as around 1, 2, 3, 4 or 5° C. Accordingly, following the processing of the animal carcass and/or the production of parts thereof, the carcass or part thereof may be further processed to produce a value added product, and this may include one or more steps required to prepare a consumer-ready product, which may include the addition of any one or more of seasoning, breading, sauces, and marinating, as well as special packaging to meet market demands for convenient products.", "Additionally, or alternatively, the harvested product may, for example, be a by-product of the animal, such as milk, eggs, wool, hair, feathers, or litter or other feacal matter and can be collected from the animal without the need to slaughter the animal.", "Such harvested products may then be further processed and converted into other products.", "For example, in the context of milk, then further dairy products can be produced (such as butter, cheese, curd, yoghurt, whey, milk powder, sour cream, dips and other cultured dairy foods, frozen desserts such as ice cream cakes other frozen desserts made with dairy ingredients).", "In the context of eggs, then further products (in particular food products) containing or produced with the whole or part of the collected eggs can be produced.", "In the context of wool, hair or feathers, then it may, for example, be possible to produce fibers or fabrics, products containing wool, hair or feathers (such as, stuffed products), or products may be chemical or enzymatic processing of the wool, hair or feathers.", "For example, amino acids can be produced as a degradation product from wool, hair or feathers.", "Chicken litter can include a mixture of feces, wasted feeds, bedding materials, and feathers can be recycled or composted and then spread on arable land as a low cost organic fertilizer.", "Any and all steps within the entire process of animal rearing, animal harvesting, animal slaughter, carcass processes, animal product production, food production, wrapping, labelling, shipping, stocking and selling in accordance with the first aspect of the present invention may benefit from the application of a surface disinfection or coating in accordance with the third aspect of the present invention, as discussed further below.", "For example, areas for rearing animals in accordance with the first aspect of the present invention may contain one or more disinfected surfaces achieved using the methods, uses and compositions of the third aspect of the present invention.", "Containers for transporting animals in accordance with the practice of the first aspect of the present invention may contain one or more disinfected surfaces achieved using the methods, uses and compositions of the third aspect of the present invention.", "Apparatus used in the slaughter of animals in accordance with the practice of the first aspect of the present invention may contain one or more disinfected surfaces achieved using the methods, uses and compositions of the third aspect of the present invention.", "Apparatus used in the processing and/or labelling of an animal carcass, or a part thereof, in accordance with the practice of the first aspect of the present invention may possess one or more disinfected surfaces achieved using the methods, uses and compositions of the third aspect of the present invention.", "The animal product, including a carcass, a meat product, or any other animal product as produced in accordance with the first aspect of the present invention may be disinfected using the methods, uses and compositions of the third aspect of the present invention.", "Packing, containers and/or wrapping for containing an animal product, including a carcass, a meat product, or any other animal product as produced in accordance with the first aspect of the present invention may be disinfected using the methods, uses and compositions of the third aspect of the present invention.", "These combinations of the approaches set forth by the first and third aspects of the present invention all form optional embodiments of the first aspect of the present invention.", "The present invention also provides products produced by, and/or harvested from, animals treated in accordance with the first aspect of the present invention, including any and all products discussed above, and downstream products including or produced therefrom.", "For example, the present application provides a meat or meat product produced in accordance with the present invention.", "For example, it can provide a carcass or part thereof that is of a greater weight than a standard carcass or part thereof, or is from an animal that is younger than a control.", "Additionally, or alternatively, carcass or part thereof, or any other product obtained from the animal may have a reduced level of microbial (such as bacterial, including Campylobacter) infection or colonization and/or a reduced incidence of biofilms therein, compared to a control.", "It will be appreciated that the foregoing methods and uses for enhancing the growth of an animal may also be applied to humans, for example to increase the growth of humans (such as an aid to developing body mass) and/or improve the efficiency or FCR with which humans digest food.", "This could, for example, have applications for military personnel in helping to reduce the burden of carrying food and/or assist in the instance of food shortages by increasing the dietary benefit of the available food.", "B. Potentiating the Effect of Antibiotics and Other Antimicrobial Agents, and Addressing Antibiotic Resistance It has been discovered that the compounds having the structure of Formula A or B, or other compounds of the present invention as described further in section III.A of this application, are particularly useful in treating or preventing infection by antibiotic-resistant microorganisms.", "The compounds may be administered in order to cause microorganisms to lose their resistance to antibiotics.", "In Example 9, it was shown that a kanamycin-resistant strain of E. coli failed to grow when it was treated with Fe-QA and kanamycin.", "Yet administration of Fe-QA alone had no impact on the growth of the strain.", "In Example 10, it was shown that the growth of another kanamycin-resistant bacterial strain, Campylobacter, was retarded when it was treated with Fe-QA and kanamycin.", "In Example 14, it was shown that the growth of an antibiotic-resistant clinical isolate of Pseudomonas was also retarded when treated with Fe-QA (also known as FeQ) and kanamycin.", "The effect therefore is not limited just to the bacterium, E. coli.", "In Example 12, it has also been shown that a wild type strain of Enteropathogenic E. coli (EPEC) resistant to gentamicin loses its resistance when treated with a combination of Fe-QA and gentamicin.", "The example demonstrates that the effect is not limited to kanamycin, but is seen with other antibiotics.", "Furthermore, the effect is not limited to the compound, Fe-QA, but is also seen with the other compounds.", "In Example 11, it has been shown that the same wild type strain of Enteropathogenic E. coli (EPEC) resistant to gentamicin also loses its resistance when treated with a combination of Fe-Tyr and gentamicin.", "Thus the compounds are capable of causing antibiotic-resistant bacteria to lose their resistance, and therefore administering the compounds and antibiotics can be used to treat antibiotic resistant microorganisms (or prevent infection by these microorganisms).", "Accordingly, a second aspect of the present invention is based on the surprising finding that compounds having the structure of Formula A or B, or other compounds of the present invention as described further in section III.A of this application, can be used to increase the sensitivity of microorganism to antimicrobial agents, to potentiate the effect of antibiotics and other antimicrobial agents, and to address antimicrobial and antibiotic resistance.", "In a further preferred option of the second aspect of the present invention the one or more compounds are selected from the group consisting of a complex of an amino acid with Fe III, and a complex of an α-hydroxyacid with Fe III, or salts and/or hydrates thereof.", "In particularly preferred options of the second aspect of the present invention, the one or more compounds may, or may not, be selected from any one or more of the group consisting of a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX), a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII), a complex of L-DOPA with Fe III (such as a complex having the structure of Formula VII), and a complex of L-phenylalanine with Fe III.", "Accordingly, in one embodiment of the second aspect of the invention, a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII) is particularly preferred.", "Optionally, the one or more compounds is not a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX).", "In a particularly preferred embodiment, the compounds having the structure of Formula A or B, or other compounds of the present invention as described further in section III.A of this application may be used in combination with antimicrobial agents to treat or prevent infection by antibiotic resistant bacteria including Streptococcus pneumoniae, Campylobacter, Neisseria gonorrhoeae, Salmonella (including drug-resistant non-typhoidal Salmonella and drug-resistant Salmonella serotype typhi), Methicillin-resistant Staphylococcus aureus (MRSA), Shigella, Vancomycin-resistant Enterococcus (VRE), Vancomycin-resistant Staphylococcus aureus (VRSA), Erythromycin-resistant Group A Streptococcus, Clindamycin-resistant Group B Streptococcus, Carbapenem-resistant Enterobacteriaceae (CRE), drug-resistant tuberculosis, Extended spectrum Enterobacteriaceae (ESBL), multidrug-resistant Acinetobacter (including MRAB), Clostridium difficile, Enteropathogenic E. coli (EPEC), Pseudomonas aeruginosa, and Uropathogenic E. coli (UPEC).", "In another preferred embodiment.", "In another embodiment, the compounds may be used in combination with antimicrobial agents to treat or prevent infection by antibiotic resistant bacteria including S. epidermidis, E. faecalis, E. coli, S. aureus, Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC), Pseudomonas, Streptococcus anginosus, Salmonella, including Salmonella Enteritidis and Salmonella Typhimurium, Mycoplasma, Eimeria, Enterococci, Brachyspira, and Clostridium perfringen.", "In a preferred embodiment, the compounds and antimicrobial agents may be administered as a pharmaceutical composition or feed additive.", "Antibiotic-resistant microorganisms (and other microorganisms resistant to other forms of anti-microbial agent) may be treated with the one or more compounds and one or more antibiotics or other anti-microbial agents separately, sequentially or simultaneously.", "The one or more compounds are preferably administered at the same time as the one or more antibiotics or other anti-microbial agents, or preferably such that the compounds and antibiotic(s) are present at the same time.", "(The compounds and the antibiotics/anti-microbial agents may therefore also be administered sequentially.)", "As described previously, the compounds may also be formed in vivo.", "In this instance, the precursors may be administered with the antibiotics or other anti-microbial agents.", "For example, the antibiotics or other anti-microbial agents could be administered with ferrous sulfate and tyrosine (which form Fe-Tyr in vivo) or ferrous sulfate and L-DOPA (which form Fe-DOPA in vivo), or ferrous sulfate and L-phenylalanine (which form Fe-Phe in vivo).", "The combinations of the compounds and antibiotic(s) or other anti-microbial agent(s) may be used to treat many infections, including, but not limited to the following infections: acute bacterial skin infections, hospital-acquired bacterial pneumonia, ventilator-acquired bacterial pneumonia, urinary tract infections, abdominal infections, kidney infections, gonorrhea, osteomyelitis, lung infections, and respiratory tract infections.", "The compounds may also be used in combination with antibiotics or other anti-microbial agents to allow smaller doses of antibiotic or other anti-microbial agents to be used to treat not only antibiotic-resistant microorganisms (and/or other microorganisms resistant to other forms of anti-microbial agent), but also for the treatment of microorganisms that are not resistant to antibiotics or other anti-microbial agents.", "In other words, the compounds may allow smaller doses of antibiotic or other anti-microbial agent to be used to treat or prevent infections, and could also be administered to patients and animals prophylactically.", "For example, the compounds could be administered to poultry prophylactically so that a lower dose of antibiotic and/or other anti-microbial agent was required to treat the birds in the event they become infected.", "Accordingly, a second aspect of the present invention provides a method for the treatment or prophylaxis of a microbial infection or colonization in a patient or animal, the method comprising administering to the patient or animal a product selected from the group consisting of a pharmaceutical or veterinary product, a medical device or a dietary product, wherein the product comprises one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, and preferably wherein the pharmaceutical or veterinary product, medical device or dietary product is administered to the patient or animal separately, simultaneously, or sequentially with the administration of one or more antimicrobials and/or antibiotics.", "In other words, the second aspect of the present invention provides a pharmaceutical or veterinary product, a medical device or a dietary product, wherein the product comprises one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, for use in a method of treatment or prophylaxis of a microbial infection or colonization in a patient or animal, preferably wherein, in use, the pharmaceutical or veterinary product, medical device or dietary product is administered to the patient or animal separately, simultaneously, or sequentially with the administration of one or more antimicrobials and/or antibiotics.", "Likewise, the second aspect of the present invention also provides one or more antimicrobials and/or antibiotics, for use in a method of treatment or prophylaxis of a microbial infection or colonization in a patient or animal, preferably wherein, in use, the pharmaceutical or veterinary product, medical device or dietary product is administered to the patient or animal separately, simultaneously, or sequentially with the administration of a pharmaceutical or veterinary product, a medical device or a dietary product, wherein the product comprises one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "The microbial infection or colonization in a patient or animal may, for example, be pathogenic or non-pathogenic microbes.", "Non-pathogenic microbes can, for example, cause colonization of a host without causing or producing any disease or disorder of the host.", "The microbial infection or colonization addressed by the second aspect of the present invention may be prokaryotic.", "Examples of prokaryotic microbes include bacteria and archaea.", "The microbial infection or colonization addressed by the second aspect of the present invention may be eukaryotic.", "Examples of eukaryotic microbes include protists (such as algae, and slime-molds), fungi, multicellular micro-animals and plants including green algaes.", "One class of microbes of particular interest for the application of the second aspect of the present invention is bacteria, including pathogenic and non-pathogenic bacteria.", "By way of various non-limiting examples, bacteria of particular interest for the application of the second aspect of the present invention include gram positive bacteria, gram negative bacteria, biofilm-forming bacteria, extracellular bacteria, intracellular bacteria (including facultative and obligate intracellular bacteria), aerobic bacteria, and anaerobic bacteria.", "Some bacterial genera of interest, without limitation, include Bacillus, Bartonella, Bordetella, Borrelia, Brucella, Campylobacter, Chlamydia and Chlamydophila, Clostridium, Corynebacterium, Enterococcus, Escherichia, Francisella, Haemophilus, Helicobacter, Legionella, Leptospira, Listeria, Mycobacterium, Mycoplasma, Neisseria, Pseudomonas, Rickettsia, Salmonella, Shigella, Staphylococcus, Streptococcus, Treponema, Ureaplasma, Vibrio, and Yersinia.", "Some bacterial species of interest, without limitation, include Bacillus anthracis, Bacillus cereus, Bartonella henselae, Bartonella quintana, Bordetella pertussis, Borrelia burgdorferi, Borrelia garinii, Borrelia afzelii, Borrelia recurrentis, Brucella abortus, Brucella canis, Brucella melitensis, Brucella suis, Campylobacter jejuni, Chlamydia pneumonia, Chlamydia trachomatis, Chlamydophila psittaci, Clostridium botulinum, Clostridium difficile, Clostridium perfringens, Clostridium tetani, Corynebacterium diphtheria, Enterococcus faecalis, Enterococcus faecium, Escherichia coli, Francisella tularensis, Haemophilus influenza, Helicobacter pylori, Legionella pneumophila, Leptospira interrogans, Leptospira santarosai, Leptospira weilii, Leptospira noguchii, Listeria monocytogenes, Mycobacterium leprae, Mycobacterium tuberculosis, Mycobacterium ulcerans, Mycoplasma pneumonia, Neisseria gonorrhoeae, Neisseria meningitides, Pseudomonas aeruginosa, Rickettsia, Salmonella typhi, Salmonella typhimurium, Shigella sonnei, Staphylococcus aureus, Staphylococcus epidermidis, Staphylococcus saprophyticus, Streptococcus agalactiae, Streptococcus pneumonia, Streptococcus pyogenes, Treponema pallidum, Ureaplasma urealyticum, Vibrio cholera, Yersinia pestis, Yersinia enterocolitica, Yersinia pseudotuberculosis.", "The treatment or prophylaxis of the second aspect of the present invention may be directed to one or more microorganism that have resistance or increased tolerance to one or more antimicrobial agents.", "For example, the one or microorganisms may be, or include, one or more antibiotic-resistant bacteria.", "As such, in the embodiment in which the second aspect of the present invention is performed by administration separately, simultaneously, or sequentially with the administration of one or more antimicrobials and/or antibiotics, then some or all of the one or more antimicrobials and/or antibiotics may be antimicrobials and/or antibiotics to which the microorganisms to be combatted are resistant.", "To put it another way, typically the microorganisms to be combatted may be those wherein, in the absence of the product comprising one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, the one or more microorganisms is/are resistant to the one or more antimicrobials and/or antibiotics administered to the patient or animal.", "Antimicrobial resistance can include the meaning of resistance of a microorganism to an antimicrobial drug that was originally effective for treatment of infections caused by it.", "Resistant microorganisms are able to withstand attack by antimicrobial drugs, such as antibacterial drugs (e.g.", "antibiotics), antifungals, antivirals, and antimalarials, so that standard treatments become ineffective and infections persist, increasing the risk of spread to others.", "The evolution of resistant strains is a natural phenomenon that occurs when microorganisms replicate themselves erroneously or when resistant traits are exchanged between them.", "The use and misuse of antimicrobial drugs accelerates the emergence of drug-resistant strains.", "Poor infection control practices, inadequate sanitary conditions and inappropriate food-handling encourage the further spread of antimicrobial resistance.", "In one embodiment of the second aspect of the present invention, the microorganism is an antibiotic-resistant microorganism selected from the group consisting of a gram positive bacterium, a gram negative bacterium, a biofilm-forming bacterium, Streptococcus pneumoniae, Campylobacter, Neisseria gonorrhoeae, Salmonella (including drug-resistant non-typhoidal Salmonella and drug-resistant Salmonella serotype typhi), Methicillin-resistant Staphylococcus aureus (MRSA), Shigella, Vancomycin-resistant Enterococcus (VRE), Vancomycin-resistant Staphylococcus aureus (VRSA), Erythromycin-resistant Group A Streptococcus, Clindamycin-resistant Group B Streptococcus, Carbapenem-resistant Enterobacteriaceae (CRE), drug-resistant tuberculosis, Extended spectrum Enterobacteriaceae (ESBL), multidrug-resistant Acinetobacter (including MRAB), Clostridium difficile, Enteropathogenic E. coli (EPEC), Pseudomonas aeruginosa, H. pylori, Streptococcus anginosus and Uropathogenic E. coli (UPEC).", "However, the practice of the second aspect of the invention is not limited to the treatment or prophylaxis of resistant microorganisms.", "The second aspect of the present invention can also be used to increase the sensitivity of non-resistant microorganisms to antimicrobial agents, and thereby provide for a treatment that uses lower dosages of antimicrobial agents, and/or shorter treatment durations with antimicrobial agents, and/or more effective treatment outcomes with antimicrobial agents.", "Accordingly, in a further embodiment of the second aspect of the present invention, the method, or the product for use, is for potentiating the antimicrobial (including antibiotic) effect of the separately, simultaneously, or sequentially administered one or more antimicrobial agents (including one or more antibiotics).", "For example, in a further embodiment, the amount of the separately, simultaneously, or sequentially administered one or more antimicrobial agents (including one or more antibiotics) may be less than a therapeutically effective or therapeutically optimal dose of the one or more antimicrobial agents (including one or more antibiotics) when administered to the patient or animal that is not in receipt of the product.", "In another embodiment, the amount of the separately, simultaneously, or sequentially administered one or more antimicrobial agents (including one or more antibiotics) may be 5%, 10%, 15%, 20%, 30%, 40%, 50%, 60%, 70%, 80% or more, less than a therapeutically effective or therapeutically optimal dose of the one or more antibiotics when administered to the patient or animal that is not in receipt of the product.", "In another embodiment, the treatment duration of the patient receiving the treatment or prophylaxis of the second embodiment may be 5%, 10%, 15%, 20%, 30%, 40%, 50%, 60%, 70%, 80% or more, less than the treatment duration required when the patient or animal is not in receipt of the product.", "Preferably, the subject to be treated in accordance with any embodiment of the second aspect of the present invention, is a human patient.", "The human may be a male.", "Alternatively the human may be a female.", "The human may be aged up to, or greater than, 1 month, 2, months, 3 months, 4 months, 5 months, 6 months, 1 year, 2 years, 3 years, 4 years, 5 years, 6 years, 7 years, 8 years 9 years, 10 years, 15 years 20 years, 30, years, 40 years, 50 years, 60 years, 70 years, 80 years, 90 years, 100 years or more.", "Alternatively, subject to be treated in accordance with any embodiment of the second aspect of the present invention, may be an animal.", "Without limitation, animals for treatment or prophylaxis according to the second aspect of the present invention may be selected from the group consisting of domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "Without limitation, exemplary animals for treatment or prophylaxis include poultry, such as a chicken, turkey, geese, quail, pheasants, or ducks; livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak; zoo animals; captive animals; game animals; marine or aquatic animals such as fish (include freshwater and saltwater fish, farmed fish, and ornamental fish) and shellfish including but not limited to oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid; domestic animals, such as cat or dog, a rodent (mice, rats, guinnea pigs, hamsters), horse.", "The one or more antimicrobial agents used and/or referred to in the second aspect of the present invention include those listed and discussed in section III.B of this application, below.", "In one embodiment, at least one, or all, of the one or more antimicrobial agents is/are an antibiotic.", "The one or more antibiotics may, for example, be selected from the group consisting of aminoglycosides, ansaycins, carbapenems, cephalosporins, glycopeptides, lincosamides, lipopeptides, macrolides, monobactams, nitrofurans, oxazolidinones, penicillins, polypeptides, quinolones/fluoroquinolone, sulfonamides, tetracyclines, clofazimine, dapsone, capreomycin, cycloserine, ethambutol, ethionamide, isoniazid, pyrazinamide, rifampicin (rifampin), rifabutin, rifapentine, streptomycin, arsphenamine, chloramphenicol, fosfomycin, fusidic acid, metronidazole, mupirocin, platensimycin, quinupristin/dalfopristin, thiamphenicol, tigecycline, tinidazole, and trimethoprim; and combinations thereof.", "More specific antibiotics suitable for use in accordance with the second aspect of the present invention include those listed and discussed in section III.B of this application, below.", "In one embodiment of the second aspect of the present invention, the product comprising the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below is selected from the group consisting of a pharmaceutical or veterinary product.", "In one embodiment, it is a pharmaceutical product suitable for use with humans.", "In another embodiment, it is veterinary product suitable for use with animals, including but not limited to one or more animals selected from the group consisting of domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "Without limitation, exemplary animals for treatment or prophylaxis include poultry, such as a chicken, turkey, geese, quail, pheasant, or ducks; livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak; zoo animals, captive animals, game animals; marine or aquatic animals such as fish (include freshwater and saltwater fish, farmed fish, and ornamental fish) and shellfish including but not limited to oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid; domestic animals, such as cat or dog, a rodent (mice, rats, guinnea pigs, hamsters), horse.", "In a preferred embodiment, the animal is a chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken.", "The pharmaceutical or veterinary product may or may not additionally include the one or more antimicrobial agents (in the embodiment that it does not, then in accordance with the second aspect of the present invention, the product and microbial agent are intended to be administered to the subject in separate compositions, either separately, simultaneously or sequentially).", "The pharmaceutical or veterinary product may include one or more excipients, such as discussed in section III.C of this application, below.", "The pharmaceutical or veterinary product may be presented as a parenteral formulation, such as discussed below in section III.C.1 of this application, including a controlled release formulation, such as discussed below in section III.C.1(a) of this application, and injectable or implantable formulation, such as discussed below in section III.C.1(b) of this application.", "The pharmaceutical or veterinary product may be presented as a enteral formulation, such as discussed below in section III.C.2 of this application, including a controlled release enteral formulation, such as discussed below in section III.C.2(a) of this application, with further reference to extended release dosage forms and delayed release dosage forms as discussed therein.", "The pharmaceutical or veterinary product may be presented as a topical formulation, such as discussed below in section III.C.3 of this application, including as an emulsion, lotion, cream, ointment, gel, or foam as discussed in parts (a), (b), (c), (d) (e) and (f) respectively below in section III.C.3 of this application.", "In another embodiment, the product comprising the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below is a medical device.", "The device may or may not additionally include the one or more antimicrobial agents (in the embodiment that it does not, then in accordance with the second aspect of the present invention, the device and microbial agent are intended to be administered to the subject in separate compositions, either separately, simultaneously or sequentially).", "Medical devices that can comprise the one or more compounds as defined in section III.A of this application can include, without limitation, wound dressings or medical implants.", "Further examples include tubing and other surface medical devices, such as urinary catheter, stents, mucous extraction catheter, suction catheter, umbilical cannula, contact lenses, intrauterine devices, intravaginal and intraintestinal devices, endotracheal tubes, bronchoscopes, dental prostheses and orthodontic devices, surgical instruments, dental instruments, tubing, dental water lines, dental drain tubes, fabrics, paper, indicator strips (e.g., paper indicator strips or plastic indicator strips), adhesives (e.g., hydrogel adhesives, hot-melt adhesives, or solvent-based adhesives), bandages, tissue dressings or healing devices and occlusive patches, and any other surface devices used in the medical field.", "Devices may include electrodes, external prostheses, fixation tapes, compression bandages, and monitors of various types.", "Medical devices also include any device that may be placed at the insertion or implantation site such as the skin near the insertion or implantation site, and which include at least one surface which is susceptible to colonization by biofilm embedded microorganisms.", "In one specific embodiment, a composition is integrated into an adhesive, such as tape, thereby providing an adhesive, which can present and/or deliver the one or more compounds on at least one surface of the adhesive.", "In a particularly preferred embodiment the following devices may comprise, include and/or be coated with the compounds: catheters, including central venous catheters, urinary catheters, dialysis catheters, and indwelling catheters (for example, catheters for hemodialysis and for administration of chemotherapeutic agents), cardiac implants including mechanical heart valves, stents, ventricular assist devices, pacemakers, cardiac rhythm management (CRM) devices, cardiac resynchronization therapy devices (CRTs), and implantable cardioverter defibrillators (ICDs), synthetic vascular grafts, arteriovascular shunts, cerebral spinal fluid shunts, cochlear devices, prosthetic joints, orthopedic implants, internal fixation devices, bone cements, percutaneous sutures, surgical mesh and surgical patches including hernia repair meshes and patches, breast reconstruction meshes and patches, meshes and patches for breast and face lifts, slings, and meshes and patches for pelvic floor reconstruction, tracheal and ventilator tubing, wound dressings, biological implants (including allografts, xenografts and autografts), penile implants, intrauterine devices, endotracheal tubes, and contact lenses.", "In another embodiment, the product comprising the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below is a dietary product.", "The dietary product may or may not additionally include the one or more antimicrobial agents (in the embodiment that it does not, then in accordance with the second aspect of the present invention, the dietary product and microbial agent are intended to be administered to the subject in separate compositions, either separately, simultaneously or sequentially).", "Dietary products can include, for example, food stuffs, dietary supplements, drinks and any other compositions taken orally, which incorporate the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "The one or more compounds are selected from the group consisting of a complex of an amino acid with Fe III, and a complex of an α-hydroxyacid with Fe III, or salts and/or hydrates thereof.", "The one or more compounds may, or may not, be selected from any one or more of the group consisting of a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX), a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII), a complex of L-DOPA with Fe III (such as a complex having the structure of Formula VII), and a complex of L-phenylalanine with Fe III.", "Accordingly, in one embodiment, a complex of L-tyrosine with Fe III (such as a complex having the structure of Formula VIII) is particularly preferred.", "Optionally, the one or more compounds is not a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX).", "A complex of quinic acid with Fe III (Fe-QA, also denoted FeQ), such as defined by Formula IX, can be used with any one or more of the foregoing antibiotics or other antimicrobials, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "A complex of quinic acid with Fe III (Fe-QA, also denoted FeQ), such as defined by Formula IX, can be used with any one or more of the foregoing antibiotics or antimicrobials, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "A complex of L-tyrosine with Fe III (Fe-Tyr), such as defined by Formula VIII, can be used with any one or more of the foregoing antibiotics or antimicrobials, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "A complex of L-DOPA with Fe III (3,4 dihydrophenylalanine) (Fe-DOPA), such as defined by Formula VII, can be with any one or more of the foregoing antibiotics or antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "A complex of L-phenylalanine with Fe III (Fe-Phe), can be used with any one or more of the foregoing antibiotics or antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "In one embodiment in which the product selected from the group consisting of a pharmaceutical or veterinary product, a medical device or a dietary product comprising the combination of one or more compounds having the structure having the structure of Formula A or B, or other compounds as described further in section III.A of this application below, and one or more antibiotics or other antimicrobial agents, then optionally the amount (in weight, or moles) and/or concentration of the one or more antibiotics and/or other antimicrobial agents in the product is less than (e.g.", "a reduction of up to, or at least, 1%, 2%, 3%, 4%, 5%, 6%, 7%, 8%, 9%, 10%, 15%, 20%, 35%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% or more) in comparison to a therapeutically effective or therapeutically optimal amount or concentration of the one or more antibiotics and/or other antimicrobial agents when administered to the patient or animal that is not in receipt of the product.", "The product may be presented in a unit dosage formulation, and optionally the unit dosage formulation may include the one or more antibiotics and/or other antimicrobial agents in an amount (in weight, or moles) or and/or concentration that is less than (e.g.", "a reduction of up to, or at least, 1%, 2%, 3%, 4%, 5%, 6%, 7%, 8%, 9%, 10%, 15%, 20%, 35%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% or more) in comparison a therapeutically effective or therapeutically optimal dose of the one or more antibiotics when administered to the patient or animal that is not in receipt of the product.", "Also provided is a product per se, such as a pharmaceutical or veterinary product, a medical device or a dietary product, that is suitable for use in accordance with the foregoing methods and uses of the second aspect of the present invention.", "The product comprises one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, optionally in combination with one or more antibiotics or other antimicrobial agents as discussed herein in respect of the second aspect of the present invention.", "As discussed above, in the option in which the product comprises one or more antibiotics or other antimicrobial agents, then they may be included in an amount, concentration and or with a release profile that is ordinarily sub-therapeutic or sub-optimally therapeutic for the treatment or prophylaxis of a microbial infection or colonization.", "Also provided herein, is a method for the sensitization, and/or for the reduction in the tolerance, of one or more microorganisms to a selected antimicrobial agent, the method comprising exposing the one or more microorganisms to one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below.", "To put it another way, the second aspect of the present invention also provides for the use of one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below to increase the sensitivity and/or reduce the tolerance, of one or more microorganisms to a selected antimicrobial agent.", "The microorganisms may, or may not, be microorganisms that are resistant to the selected antimicrobial agent.", "Thus, in one option, the in vivo and/or in vitro growth of the one or more microorganisms may usually be unaffected by the selected antimicrobial agent (either at all, or at a selected concentration) in the absence of the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, whereas exposure to the one or more compounds can cause the in vivo and/or in vitro growth of the one or more microorganisms to be reduced (e.g.", "by 1%, 2%, 3%, 4%, 5%, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 99% or substantially 100%) by exposure to the selected antimicrobial agent (either at all, or at the selected concentration).", "In that context, a “selected concentration” includes concentrations that are pharmaceutically and medically acceptable for use with patients and/or animals, and lower concentrations such as 1%, 2%, 3%, 4%, 5%, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, or 99% lower, which may or may not be sub-therapeutic.", "In another option, it may already be possible to reduce the in vivo and/or in vitro growth of the one or more microorganisms by exposure to the antimicrobial agent (either at all, or at a selected concentration) in the absence of the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, whereas exposure to the one or more compounds can cause an increase in sensitivity and/or reduction in tolerance to the antimicrobial agent such that the in vivo and/or in vitro growth of the one or more microorganisms is further reduced (e.g.", "by 1%, 2%, 3%, 4%, 5%, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 99% or substantially 100%) by the antimicrobial agent and/or an equivalent level of reduction of growth can be achieved with a lower concentration or amount of the antimicrobial agent (e.g.", "using an amount (in weight) or concentration that is reduced by 1%, 2%, 3%, 4%, 5%, 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 99% or substantially 100%) and/or the period of treatment may be shortened, e.g.", "by 1, 2, 3, 4, 5, 6, 7 or more days.", "A further embodiment provides a method for the preparation of a product per se, such as a pharmaceutical or veterinary product, a medical device or a dietary product, that is suitable for use in accordance with the foregoing methods and uses of the second aspect of the present invention.", "The method may include the step of mixing the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below without one or more further components of the product, and thereby forming the product.", "The method may include forming the product (optionally without the one or more compounds), and then spraying or otherwise applying the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, to the product.", "The method may include forming the product (optionally without the one or more compounds), and then coating the product with the one or more compounds having the structure of Formula A or B, or other compounds of the invention as described further in section III.A of this application below, for example, as described further below in the context of coatings.", "The one or more compounds having the structure of Formula A or B, or other compounds as described further in section III.A of this application below (“Component 1”) may be administered simultaneously, separately or sequentially with the one or more antibiotics and/or other anti-microbial agents (“Component 2”).", "In the context of simultaneous administration, Components 1 and 2 may be present in the same product for administration to the patient or animal.", "Alternatively, Components 1 and 2 may be present in separate products which are administered at the same time, although this may be via the same of different routes.", "For example, both of Component 1 and 2 may be administered, in separate products but at the same time, through an enteral route.", "In another embodiment, Component 1 may be administered by an enteral route, and Component 2 may be administered at the same time by a parenteral route.", "In another embodiment, Component 1 may be administered by a parenteral route, and Component 2 may be administered at the same time by an enteral route.", "In another embodiment, both of Component 1 and 2 may be administered, in separate products but at the same time, through a parenteral route.", "In the context of separate and/or sequential administration, Components 1 and 2 are administered to the patient at different times.", "Component 1 may be administered before Component 2, or Component 2 may be administered before Component 1.Preferably, the period of time between the administration of Components 1 and 2 is less than the time taken by the subject to clear an effective amount of the first-administered component, such that effective amounts of Components 1 and 2 will be present in the subject simultaneously.", "However, this may not be essential.", "In any case, the time taken by the subject to clear an effective amount of the first-administered component will vary dependent on the nature of the component, the route of administration and the administered form which may, for example, be a slow, delayed or extended release formulation, product or device.", "The administration of Components 1 and 2 (in either order) may be temporally separated by up to, about, or at least, 10 seconds, 20 seconds, 30 seconds, 40 seconds, 50 seconds, 1 minute, 5 minutes 10 minutes, 20 minutes, 30 minutes 40 minutes 50 minutes 1 hour, 2 hours, 3 hours, 4 hours 5 hours, 6 hours, 7 hours 8 hours, 9 hours, 10 hours, 12 hours, 14 hours, 16 hours, 18 hours, 20 hours 22 hours 1 day, 2 days, 3 days, 4 days, 5 days, 6 days, 1 week, 2 weeks, 3 weeks, 1 month or more.", "Sequential administration includes the meaning of repeated and alternating administrations of Components 1 and 2 (in either order), in which the administration of either or both components may be repeated any number of times, such as twice, three times, four times, five times, 10 times, 20 times, 30 times or more.", "Repeated administration of either, or both components, whether administered simultaneously, separately or sequentially, may occur as often as is therapeutically necessary, and can include continuous administration (e.g.", "by intravenous infusion), of administration up to, about, or at least, every 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24 or 24 hours, every 1, 2, 3, 4, 5, 6, 7 days, or every 1, 2, 3, 4 or more weeks, throughout the period of treatment.", "The period of treatment in accordance with the second aspect of the present invention is typically selected to achieve a therapeutically or prophylactically effective outcome, and will be judged accordingly, by the skilled professional.", "Example of some suitable periods for treatment can include 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13 or 14 days, about 1, 2, 3, or 4 weeks, or longer.", "C. Inhibition of Formation, and Treatment of Preformed, Biofilms A third aspect of the present invention is based on the surprising finding that compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, have a broad range of action in treating and dispersing pre-existing biofilms, and inhibiting the development of biofilms, created by a wide range of bacterial and other microbial sources, and that this action is effective in a diverse array of environments.", "Accordingly, a third aspect of the present invention provides a method of inhibiting biofilm buildup, and/or disrupting a pre-existing biofilm, in or on a subject or article in need thereof, the method comprising administering to the subject or article an effective amount of one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "Put another way, the third aspect of the present invention provides for the use of one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application for inhibiting biofilm buildup, and/or disrupting a pre-existing biofilm, in or on a subject or article in need thereof.", "In one embodiment, the one or more compounds having the structure of Formula A or a salt and/or hydrate thereof, or a functional variant thereof, for use in accordance with the third aspect of the present invention are selected from the group consisting of a complex of an amino acid or an α-hydroxy acid with Fe III, such as a complex of quinic acid with Fe III, a complex of L-tyrosine with Fe III, a complex of L-DOPA with Fe III, a complex of L-phenylalanine with Fe III, the compounds represented by Formula I, Formula II, Formula III, Formula IV, Formula V, Formula VI, Formula VII, Formula VIII, Formula IX, Formula X, Formula XI, Formula XII, Formula XIII, Formulas XIV, a compound selected from the group consisting of a compound that binds to major outer membrane proteins (MOMPs) or FlaA of Campylobacter, a synthetic human histo-blood group antigen, a mimetic of human histo-blood group antigen or a synthetic sugar.", "Particularly preferred compounds may, or may not, include Fe-QA, Fe-Tyr, and/or Fe-DOPA.", "1.Organisms to be Treated, Inhibited, or Killed “Biofilm” as used herein refers any group of microorganisms in which cells stick to each other on a surface.", "Formation of a biofilm begins with the attachment of free-floating microorganisms to a surface.", "These first colonists adhere to the surface initially through weak, reversible adhesion via van der Waals forces.", "If the colonists are not immediately separated from the surface, they can anchor themselves more permanently using cell adhesion structures such as pili.", "Some species are not able to attach to a surface on their own but are sometimes able to anchor themselves to the matrix or directly to earlier colonists.", "It is during this colonization that the cells are able to communicate via quorum sensing.", "Once colonization has begun, the biofilm grows through a combination of cell division and recruitment.", "Polysaccharide matrices typically enclose bacterial biofilms.", "The final stage of biofilm formation is known as dispersion, and is the stage in which the biofilm is established and may only change in shape and size.", "In one embodiment, a biofilm may comprise, consist essentially of, or consist of, microbial cells growing in a biofilm that are physiologically distinct from planktonic cells of the same organism, which, by contrast, are single-cells.", "Optionally, a biofilm may comprise, consist essentially of, or consist of, one species or strain of bacterial cell.", "In an alternative option, a biofilm may comprise, consist essentially of, or consist of, more than one species or strains of bacterial cell, such as up to at least 2, 3, 4, 5, 6, 7, 8, 9, 10, 15, 20, 30, 40, 50, 60 70, 80, 90, 100, 150, 200, 250, 300, 350, 400, 450, 500, 600, 700, 800, 900, 1000 or more different species or strains of bacterial cell.", "The bacterial species or strains in biofilms can include bacteria selected from one or more of gram negative, gram positive, aerobic and anaerobic bacteria and/or archaea.", "Accordingly, compositions and methods for inhibiting, reducing, or removing biofilm forming bacteria and bacterial infections are provided by the third aspect of the present invention.", "In accordance with some embodiments of the third aspect of the present invention, the biofilm forming bacteria to be inhibited, reduced, removed, or treated may be gram-negative and/or gram-positive bacteria, such as Pseudomonas aeruginosa, Campylobacter jejuni, Helicobacter pylori, Escherichia coli, Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC), Staphylococcus epidermidis, Staphylococcus aureus, and Enterococcus faecalis.", "The following are representative organisms that can be killed or growth inhibited, or their ability to produce or maintain biofilms degraded, reduced, inhibited or prevented in accordance with the third aspect of the present invention.", "One form of biofilm of particular interest in certain embodiments of the third aspect of the present invention is biofilm that forms dental plaque.", "The effectiveness of the present invention against dental plaque is demonstrated in Example 17.The biofilm in dental plaque typically comprises a variety of microbial organisms, including both aerobic and anaerobic bacteria, and typically includes over 700 different species of bacteria and archaea.", "Dental plaque biofilms are responsible for many of the diseases common to the oral cavity including dental caries, periodontitis, gingivitis, and the less common peri-implantitis (similar to periodontitis, but with dental implants), however biofilms can be present on healthy teeth as well.", "Accordingly.", "the third aspect of the present invention also provides methods and uses for preventing or inhibiting the formation of, for treating, or for reversing or removing conditions including dental plaque, dental caries, periodontitis, gingivitis, and the less common peri-implantitis.", "Said method or use may comprise administering a composition according to the third aspect of the present invention to the mouth of a subject, thereby to achieve the intended effect.", "In the context of dental products, and in the context of inhibiting and/or removing dental plaques, an effective concentration of 340 μM is demonstrated in Example 17, although higher or lower concentrations of the one or more compounds according to section III.A below may also be suitable.", "For example, dental products may present the buccal cavity or teeth with one or more of said compounds at a concentration within the range of about 1 μM to about 1M, such as about, or up to, 10 μM, 20 μM, 30 μM, 40 μM, 50 μM, 60 μM, 70 μM, 80 μM, 90 μM, 100 μM, 110 μM, 120 μM, 130 μM, 140 μM, 150 μM, 160 μM, 170 μM, 180 μM, 190 μM, 200 μM, 210 μM, 220 μM, 230 μM, 240 μM, 250 μM, 260 μM, 270 μM, 280 μM, 290 μM, 300 μM, 310 μM, 320 μM, 330 μM, 340 μM, 350 μM, 360 μM, 370 μM, 380 μM, 390 μM, 400 μM, 410 μM, 420 μM, 430 μM, 440 μM, 450 μM, 460 μM, 470 μM, 480 μM, 490 μM, 500 μM, 510 μM, 520 μM, 530 μM, 540 μM, 550 μM, 560 μM, 570 μM, 580 μM, 590 μM, 600 μM, 610 μM, 620 μM, 630 μM, 640 μM, 650 μM, 660 μM, 670 μM, 680 μM, 690 μM, 700 μM, 710 μM, 720 μM, 730 μM, 740 μM, 750 μM, 760 μM, 770 μM, 780 μM, 790 μM, 800 μM, 810 μM, 820 μM, 830 μM, 840 μM, 850 μM, 860 μM, 870 μM, 880 μM, 890 μM, 900 μM, 910 μM, 920 μM, 930 μM, 940 μM, 950 μM, 960 μM, 970 μM, 980 μM, 990 μM, 1 mM, 2 mM, 3 mM, 4 mM, 5 mM, 6 mM, 7 mM, 8 mM, 9 mM, 10 mM, 15 mM, 20 mM 25 mM, 30 mM, 35 mM, 40 mM, 45 mM, 50 mM, 60 mM, 70 mM, 80 mM, 90 mM, 100 mM, 150 mM, 200 mM, 250 mM, 300 mM, 350 mM, 400 mM, 450 mM, 500 mM, 600 mM, 700 mM, 800 mM, 900 mM, 1M or more.", "Optionally, the concentration may be: (a) up to 1 μM, 2 μM, 3 μM, 4 μM, 5 μM, 10 μM, 15 μM, 20 μM, 25 μM, 30 μM; (b) within a range selected from the group consisting of from 35 to 335 μM, 40 to 300 μM, 50 to 300 μM, 50 to 250 μM, 50 to 200 μM, 60 to 300 μM, 60 to 250 μM, 60 to 200 μM, 80 to 300 μM, 80 to 250 μM, 80 to 200 μM, 100 to 300 μM, 100 to 250 μM, or 100 to 200 μM; or (c) at least, or about, 345 μM, 350 μM, 360 μM, 370 μM, 380 μM, 390 μM, 400 μM, 450 μM, 0.5 mM, 1 mM, 2 mM or more.", "Optionally the concentration of the one or more compounds may be within a range selected from the group consisting of from about 1 μM to about 1 mM, or about 30 μM to about 0.5 mM, or about 60 μM to about 0.4 mM.", "Another form of biofilm of particular interest to the third aspect of the present invention is biofilm on medical devices, including contact lenses.", "Biofilms on contact lenses may, for example, comprise, consist essentially of, or consist of one or more bacteria selected from Archromobacter, Delftia, Staphylococcus, Stenotrophomonas, and Streptococci species, and Pseudomonas aeruginosa.", "Another form of biofilm of particular interest in the present invention is biofilms formed on the skin, for example biofilms which comprise, consist essentially of, or consist of Propionibacterium acnes.", "Accordingly.", "the third aspect of the present invention also provides methods and uses for preventing or inhibiting the formation of, for treating, or for reversing or removing acne and other microbially-induced skin conditions, including recalcitrant and/or anti-biotic resistant conditions, the method or use comprising the topical administration of a composition according to the third aspect of the present invention to the skin of a subject, thereby to achieve the intended effect.", "Another form of biofilm which may, or may not, be of particular interest in the third aspect of the present invention is biofilms that comprise, consist essentially of, or consist of, epsilon proteobacteria class, such as the spirilloid Wolinella spp., Helicobacter spp., and most particularly Campylobacter spp.", "In one embodiment, the application of the third aspect of the present invention to biofilms that comprise, consist essentially of, or consist of, Campylobacter spp.", "may, or in another option may not, be of interest.", "Many other types of biofilms are of interest for the present invention, further examples of which are discussed in further sections of this application.", "Campylobacter are gram negative, spiral rod shaped bacteria with a single flagellum at one or both poles.", "They belong to the epsilon proteobacteria class and are closely related to Helicobacter and Wolinella.", "At least a dozen species of Campylobacter have been implicated in human disease, with C. jejuni and C. coli the most common.", "Campylobacter jejuni is the major cause of human bacterial gastroenteritis (Pearson, et al., Appl Environ Microbiol., 59:987-996 (1993)).", "The four major sources of infection are raw meat (particularly poultry), untreated water, raw milk, and pets (Humphrey, et al., J Appl Bacteriol.", "61:125-132.", "(1986) and Skirrow, Int J Food Microbiol., 12:9-16 (1991)).", "It has also been suggested that, although not universally the case (Humphrey, et al., Public Health Lab Serv Microbiol Digest., 13:86-88.91996), Jacobs-Reitsma, et al., Epidemiol Infect., 114:413-421 (1995), and Lindblom, et al., J Hyg., 96:385-391 (1986)), survival in the water systems of animal husbandry facilities and animal-processing units promotes infection in animals and cross-contamination of animal carcasses (Humphrey, et al., Epidemiol Infect., 98:263-269 (1987), Kazwala, et al., Vet Rec.", "1990; 126:305-306.", "(1990) and, Pearson, et al., Appl Environ Microbiol., 59:987-996 (1993)).", "Thus, the survival of C. jejuni in aquatic environments is important both directly and indirectly in the causation of human disease.", "Campylobacter spp.", "have outer membrane proteins (OMPs) (Buchanan, Curr.", "Opin.", "Struc.", "Biol., 9(40:455-461 (1999); Huyer, et al., FEMS Microbiol.", "Lett., 37(3):247-250 (1986)].", "The major outer membrane proteins (MOMPs) have unique structural features, and function as porins which are helpful for linking up the bacteria and their environment.", "Campylobacter spp.", "possess polar flagella which provide the necessary motility for intestinal colonization.", "The flagellin gene of Campylobacter has two similar copies: flaA and flaB.", "The length of coding regions for the flaA and flaB sequences are both around 1.7 kilobases, and flaA and flaB sequences locate about 180 bases apart from each other (Meinersmann, et al., Microbiology, 146(9):2283 (2000)).", "In one embodiment of the third aspect of the present invention, the disclosed compositions bind to major outer membrane proteins (MOMPs) or FlaA of Campylobacter and prevent the bound MOMPs and bound FlaA from binding or associating with their ligands on: other Campylobacter bacteria; other species of bacteria; biofilm or biofilm components; or to surfaces.", "By binding to the MOMPs and FlaA, the compounds inhibit the bacteria from binding to surfaces or each other to produce biofilm.", "The inhibition of binding can be accomplished by interfering with the binding of natural ligands of MOMPs or FlaA or by physically inhibiting the association of the bacteria expressing MOMPs or FlaA to other organisms or surfaces.", "In another embodiment of the third aspect of the present invention, the disclosed compositions also bind to the MOMP protein of Campylobacter when MOMP has been mutated to prevent O-glycosylation by mutation of Thr-268 to glycine to form MOMP-T (also referred to as MOMPT268G).", "As shown in Table 1, expression of the MOMPT268G protein has been found to increase 10-fold compared with wildtype.", "Treatment of the MOMPT268G strain with the compositions does not impact planktonic growth, but does partially inhibit biofilm formation demonstrating the compositions bind to the non-glycosylated MOMP with lower affinity.", "As shown in Table 1, expression of the MOMPT268G protein has been found to increase 10-fold compared with wildtype.", "Regardless of whether MOMP is glycosylated or not, the compositions disclosed herein are still effective against mixed populations of glycosylated and non-glycosylated Campylobacter.", "In a mixed population of glycosylated and non-glycosylated forms, the wildtype glycosylated form of Campylobacter greatly outcompetes the mutant non-glycosylated form, and over time the non-glycosylated bacteria disappear and the glycosylated bacteria become the only bacteria present.", "Biofilms are usually found on solid substrates submerged in or exposed to an aqueous solution, although they can form as floating mats on liquid surfaces.", "Biofilms can form on a myriad of surfaces.", "For example, biofilms can grow in showers very easily since they provide a moist and warm environment for the biofilm to thrive.", "Biofilms can form inside water and sewage pipes and cause clogging and corrosion.", "Biofilms on floors and counters can make sanitation difficult in food preparation areas.", "Biofilms can form in cooling- or heating-water systems and are known to reduce heat transfer in these systems One method, or use, in accordance with a third aspect of the present invention includes administering an effective amount of the one or more compounds as defined in section III.A of this application to a subject in need thereof, to inhibit biofilm formations, or alternatively, to reduce and/or remove biofilm formation.", "The one or more compounds may be administered alone, or in combination with an antimicrobial agent, such as an antibiotic.", "In certain embodiments of the third aspect of the present invention, in the context of the treatment of subjects (such as humans or animals) it may be desirable to provide continuous delivery of one or more compounds to a subject in need thereof.", "For intravenous or intra-arterial routes, this can be accomplished using drip systems, such as by intravenous administration.", "For topical applications, repeated application can be done or a patch can be used to provide continuous administration of the compounds over an extended period of time.", "For example, the compounds may be delivered to a chronic wound from a wound dressing.", "The dressing may also contain one or more antibiotics, and if necessary the wound dressing may be changed frequently.", "The compounds may also be delivered in a conjugated form (for example, as shown in FIGS.", "15A-C and FIGS.", "16A and B) so that they are immobilized on a surface.", "In other embodiments of the third aspect of the present invention, the method includes contacting a surface with an effective amount of the compounds, to inhibit biofilm buildup, reduce built up biofilm, and/or remove built up biofilm.", "“Contacting” includes, but is not limited to, touching, impregnating, compounding, mixing, integrating, coating, spraying, dipping, flushing, irrigating, and wiping.", "In certain embodiments, it may be desirable to provide continuous delivery of one or more compounds to the surface or system being treated.", "The compositions can be used to coat, impregnate, flush, or rinse a surface of tubing or a medical device, especially an insertable medical device.", "Tubing includes, but is not limited to, disposable, permanent, and indwelling catheters, long term urinary devices, tissue bonding urinary devices, wound drain tubes, ventricular catheters, endotracheal tubes, breathing tubes, feeding tubes, dairy lines, oil and gas pipeline and drinking water lines.", "When an object is tubing (e.g., dental unit waterline, a dairy line, a food and beverage processing line, etc.", "), a composition may be poured into the tubing and both ends of the tubing clamped such that the composition is retained within the lumen of the tubing.", "The tubing is then allowed to remain filled with the composition for a period of time sufficient to remove substantially all of the microorganisms from at least one surface of the object, generally, for at least about 1 minute to about 48 hours.", "Alternatively, tubing may be flushed by pouring a composition into the lumen of the tubing for an amount of time sufficient to prevent substantial growth of all biofilm embedded microorganisms.", "Such flushing may be required only once, or may be required at regular intervals over the lifetime of use of the tubing.", "Concentrations of active components in a composition may vary as desired or necessary to decrease the amount of time the composition is in contact with a medical device.", "The methods allow disinfection, inhibition, or prevention of biofilm formation on the surfaces being treated and reduction of transmission of biofilm forming microorganisms from the surface to another surface.", "The number of the bacterial colony forming units (cfu) on the surface being treated with the compounds may be reduced by 50%, by 60%, by 70%, by 80%, by 90% or by 100%, or, the buildup of bacterial colony forming units on the treated surface may be reduced by 50%, by 60%, by 70%, by 80%, by 90% or by 100%.", "In one embodiment of the third aspect of the present invention, compositions and articles, including but not limited to pharmaceutical and veterinary compositions, food or feed additive compositions, and dental products including chews may be prepared from the one or more compounds as defined above, optionally formulated and/or used in combination with one or more antibiotics or other anti-microbial agents, and these compositions may further be used for the treatment or prophylaxis of a microbial infection or biofilm formed by bacteria or other microorganisms, including one or more of the following: S. epidermidis, E. faecalis, E. coli, S. aureus including Vancomycin-resistant Staphylococcus aureus (VRSA) and Methicillin-resistant Staphylococcus aureus (MRSA), Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC), Pseudomonas, Streptococcus pneumoniae, Streptococcus anginosus, Neisseria gonorrhoeae, Salmonella (including drug-resistant non-typhoidal, Salmonella including drug-resistant Salmonella serotype typhi, Salmonella Enteritidis, Salmonella Typhimurium, Mycoplasma, Eimeria, Enterococci, Shigella, Vancomycin-resistant Enterococcus (VRE), Erythromycin-resistant Group A Streptococcus, Clindamycin-resistant Group B Streptococcus, Carbapenem-resistant Enterobacteriaceae (CRE), drug-resistant tuberculosis, Extended spectrum Enterobacteriaceae (ESBL), multidrug-resistant Acinetobacter (including MRAB), Clostridium difficile, Enteropathogenic E. coli (EPEC), Pseudomonas aeruginosa, Brachyspira, Propionibacterium acnes, and Clostridium perfringen.", "2.Methods of Administration In one embodiment, the compounds and formulations, derivatives thereof and combinations thereof for use in accordance with the third aspect of the present invention can be administered topically to a subject in need thereof in an effective amount to prevent or treat a microbial infection, by inhibiting buildup of biofilm or to reduce and/or remove built up biofilm.", "Any suitable topical formulation can be used, for example as described in Section III.C.3 of this application, below, including emulsions (such as those described in section III.C.3(a)), lotions (such as those described in section III.C.3(b)), creams (such as those as described in section III.C.3(c)), ointments (such as those described in section III.C.3(d)), gels (such as those described in section III.C.3(e)), or foams (such as those described in section III.C.3(f)).", "The compositions may be used alone or in combination with known antimicrobial agents, such as those described further below in section III.B of this application.", "As such, compositions described in respect of the second aspect of the present invention may also be useful in the practice of the third aspect of the present invention.", "The compositions are useful for treating topical conditions caused by biofilm buildup by microorganisms including, but not limited to gram-negative and gram-positive bacteria, including Staphylococcus (including, but not limited to S. aureus and Staphylococcus epidermidis), Pseudomonas, E.", "coli., Streptococcus pyogenes (Reviewed in Nusbaum, et al., Skin Therapy Lett., 17(7):1-5 (2012)), Propionibacterium acnes and Streptococcus anginosus.", "In some embodiments the compositions are used as a topical antibacterial medication for skin infections caused by methicillin-resistant Staphylococcus aureus.", "Methicillin-resistant Staphylococcus aureus (MRSA) is a bacterium that is resistant to many antibiotics.", "The spectrum of disease caused by MRSA appears to be similar to that of Staphylococcus aureus in the community.", "Soft tissue infections (SSTIs), specifically furuncles (abscessed hair follicles or “boils”), carbuncles (coalesced masses of furuncles), and abscesses, are the most frequently reported clinical manifestations.", "The most common manifestations of community associated-MRSA are simple skin infections, such as impetigo, boils, abscesses, folliculitis, and cellulitis.", "Others include children with minor skin infections (such as impetigo) and secondarily infected skin lesions (such as eczema, ulcers, or lacerations).", "The compositions can also be used to treat MRSA infections of the CNS, which include, but are not limited to Meningitis, Brain abscess, subdural empyema, spinal epidural abscess.", "Reviewed in Liu, et al., Clin Infect Dis., 52(3):e18-55 (2011).", "Additional examples of conditions that can be treated include atopic dermatitis, acne, bullous and non-bullous impetigo, pemphigus foliaceus, miliaria, feruncles (also known as boils) and chronic wounds such as diabetic foot ulcers, venous insufficiency ulcers, and pressure ulcers.", "In the context of treating acne, an effective concentration of 340 μM is demonstrated in Example 24, although higher or lower concentrations of the one or more compounds according to section III.A below may also be suitable for the treatment of acne and any of the other skin conditions as discussed herein.", "For example, the treatment of these skin conditions in accordance with the present invention may utilize one or more of said compounds at a concentration within the range of about 1 μM to about 1M, such as about, or up to, 10 μM, 20 μM, 30 μM, 40 μM, 50 μM, 60 μM, 70 μM, 80 μM, 90 μM, 100 μM, 110 μM, 120 μM, 130 μM, 140 μM, 150 μM, 160 μM, 170 μM, 180 μM, 190 μM, 200 μM, 210 μM, 220 μM, 230 μM, 240 μM, 250 μM, 260 μM, 270 μM, 280 μM, 290 μM, 300 μM, 310 μM, 320 μM, 330 μM, 340 μM, 350 μM, 360 μM, 370 μM, 380 μM, 390 μM, 400 μM, 410 μM, 420 μM, 430 μM, 440 μM, 450 μM, 460 μM, 470 μM, 480 μM, 490 μM, 500 μM, 510 μM, 520 μM, 530 μM, 540 μM, 550 μM, 560 μM, 570 μM, 580 μM, 590 μM, 600 μM, 610 μM, 620 μM, 630 μM, 640 μM, 650 μM, 660 μM, 670 μM, 680 μM, 690 μM, 700 μM, 710 μM, 720 μM, 730 μM, 740 μM, 750 μM, 760 μM, 770 μM, 780 μM, 790 μM, 800 μM, 810 μM, 820 μM, 830 μM, 840 μM, 850 μM, 860 μM, 870 μM, 880 μM, 890 μM, 900 μM, 910 μM, 920 μM, 930 μM, 940 μM, 950 μM, 960 μM, 970 μM, 980 μM, 990 μM, 1 mM, 2 mM, 3 mM, 4 mM, 5 mM, 6 mM, 7 mM, 8 mM, 9 mM, 10 mM, 15 mM, 20 mM 25 mM, 30 mM, 35 mM, 40 mM, 45 mM, 50 mM, 60 mM, 70 mM, 80 mM, 90 mM, 100 mM, 150 mM, 200 mM, 250 mM, 300 mM, 350 mM, 400 mM, 450 mM, 500 mM, 600 mM, 700 mM, 800 mM, 900 mM, 1M or more.", "Optionally, the concentration may be: (a) up to 1 μM, 2 μM, 3 μM, 4 μM, 5 μM, 10 μM, 15 μM, 20 μM, 25 μM, 30 μM; (b) within a range selected from the group consisting of from 35 to 335 μM, 40 to 300 μM, 50 to 300 μM, 50 to 250 μM, 50 to 200 μM, 60 to 300 μM, 60 to 250 μM, 60 to 200 μM, 80 to 300 μM, 80 to 250 μM, 80 to 200 μM, 100 to 300 μM, 100 to 250 μM, or 100 to 200 μM; or (c) at least, or about, 345 μM, 350 μM, 360 μM, 370 μM, 380 μM, 390 μM, 400 μM, 450 μM, 0.5 mM, 1 mM, 2 mM or more.", "Optionally the concentration of the one or more compounds may be in within a range selected from the group consisting of from about 1 μM to about 1 mM, or about 30 μM to about 0.5 mM, or about 60 μM to about 0.4 mM.", "Atopic dermatitis (AD) affects 10-20% of children with 60% of cases occurring within a child's first year and 85% before the age of 5 (Krakowski, et al., Pediatrics, 122(4):812-24 (2008)).", "Many cases persist into adulthood as evidenced by the 1-3% prevalence of AD among the adult population (Leung, et al., Lancet, 361(9352):151-60 (2003)).", "AD patients are colonized with S. aureus and this organism has been shown to exist in both dry skin as well as areas of severe dermatitis (Ikezawa, et al., Allergy Asthma Immunol Res., 2(4):235-46 (2010)).", "Disease severity has been directly correlated to the degree of S. aureus colonization and therapy generally fails to improve symptoms in the presence of high S. aureus counts (Akiyama, et al., J Dermatol Sci., 23(3):155-6 (2000)).", "Confocal laser scanning micro has demonstrated the presence of biofilms in skin stripping and biopsy specimens from AD patients (Akiyama, et al., Br J Dermatol., 148(3):526-32 (2003)).", "The presence of S. aureus biofilms have been shown in specimens of bullous impetigo and pemphigus foliaceus (Akiyama, et al., Br J Dermatol., 148(3):526-32 (2003)) while biofilms containing both S. aureus and Streptococcus pyogenes have been identified in non-bullous impetigo (Akiyama, et al., J Dermatol Sci., 32(3):193-9 (2003)).", "The difficulty in eradicating S. aureus colonization with conventional antibiotic therapy may be due to the presence of biofilms.", "Biofilm formation has also been demonstrated in a murine model inoculated with S. aureus isolated from a furuncle (Yamasaki, et al., J Antimicrob Chemother., 48(4):573-7 (2001)).", "Biofilms have been implicated in miliaria by a clinical study in which only extracellular polymeric substance (EPS) producing S. epidermidis was capable of inducing lesions after inoculation and occlusion (Mowad, et al., J Am Acad Dermatol., 33(5 Pt 1):729-33 (1995)).", "Biopsy specimens revealed sweat glands blocked with EPS material, further supporting a pathogenic role for biofilms in this condition.", "Several factors, for example, firm adherence of dermatophytes to the nail plate, presence of dormant fungal elements, ability of yeast to form biofilms, and difficulty of eradication all suggest that biofilm involvement in onychomycosis (Burkhart, et al., JAm Acad Dermatol., 47(4):629-31 (2002)).", "Chronic wounds present an optimal environment for microbial proliferation.", "In a clinical study of 66 wounds of various etiologies, 60% of chronic wounds were shown to contain biofilms as compared to 6% of acute wounds, indicating a role of biofilms in wound chronicity.", "Traditional cultures identified Staphylococcus, Pseudomonas, and Enterococcus as the predominant organisms (James, et al., Wound Repair Regen., 16(1):37-44 (2008).", "In a preferred embodiment, the compounds may be incorporated into wound irrigation solutions.", "In another preferred embodiment, the compounds may be incorporated into cosmetic formulations.", "The compositions of the compounds according to the third aspect of the present invention are also useful in oral health for both prophylaxis and treatment of infections.", "For example, the compounds may be used to treat or prevent infections in dental pulp by Streptococcus anginosus, or prevent attachment of biofilms to tooth surfaces.", "The compounds may be applied directly to tooth surfaces or applied to dental pulp during a procedure.", "The compounds may also be incorporated into dental products such as toothpaste, mouthwash, floss, toothpicks, and chewable products (including food products), a mouth shield, a dental instrument, dentures, dental retainers, dental braces including plastic braces (such as Invisalign), bristles of toothbrushes, dental prostheses and orthodontic devices, chewable non-food items, or foods, as well as applied as coatings directly to dental tissues.", "The compositions may be used for dental care of both humans and animals, including pets such as dogs and cats as well as livestock and horses.", "For example, the compounds may be incorporated into chewable foods or toys, such as dog bones and biscuits.", "In fact, in one embodiment of particular interest to the present invention, there is provided a human or animal (especially a dog) chew composition comprising one or more compounds as defined in Section III.A.", "Exemplary dog and other animal chews which can be modified to include the one or more compounds as defined in Section III.A include those described in U.S. Pat.", "No.", "6,086,940, the contents of which are incorporated herein by reference.", "Further exemplary chews include the Oravet® dental hygiene chew produced by Merial (see http://merial.com/en/press-releases/merial-introduces-oravet-dental-hygiene-chews-for-dogs/, the contents of which are incorporated herein by reference) and the KaNoodles dental chews (see http://kanoodlesusa.com/, the contents of which are incorporated herein by reference).", "Dental chews in accordance with the present invention can be used in dogs and other animals to inhibit the production of biofilms that form plaque, and/or to reduce or treat or prophylactically treat halitosis.", "Chewing said chews may also help scrub away existing plaque and/or calculus.", "Optionally, the chews may be usefully used regularly, such as daily and optionally daily after one or more meals.", "The compounds may, in accordance with the third aspect of the present invention, be added to drinking water or other drinkable fluids.", "Other modes of administration in accordance with the third aspect of the present invention can include: (i) Parenteral administration, which may include administration to a patient intravenously, intradermally, intraarterially, intraperitoneally, intralesionally, intracranially, intraarticularly, intraprostatically, intrapleurally, intratracheally, intravitreally, intratumorally, intramuscularly, subcutaneously, subconjunctivally, intravesicularly, intrapericardially, intraumbilically, by injection, and by infusion, for example as further described in Section III.C.1 of this application, below.", "Parenteral administration can include the use of formulations as described herein which are formulated for controlled release including immediate release, delayed release, extended release, pulsatile release, and combinations thereof, as further described in Section III.C.1(a) of this application, below.", "(ii) The compounds can be incorporated into injectable/implantable solid or semi-solid implants, such as polymeric implants, for example as further described in Section III.C.1(b) of this application, below.", "(iii) Enteral administration, including administration in the form of suitable oral dosage forms such as tablets, capsules, solutions, suspensions, syrups, and lozenges, for example, as further described in Section III.C.2 of this application, below.", "Optionally, enteral administration may include administration of controlled release enteral formulations, including oral dosage forms, such as capsules, tablets, solutions, and suspensions, which are formulated for controlled release, including extended and/or delayed release, such as described in more detail below in Section III.C.2(a) of this application.", "(iv) The administration of one or more disinfecting formulations or cleaning formulations, such as those described in Section III.C.4 of this application, below.", "3.Hospital and Other Environments The methods and uses of the third aspect of the present invention may be practiced in the hospital and also in other medical and non-medical environments in order to address, inhibit, treat, ameliorate and/or disrupt biofilms.", "Further examples of microbial infection and colonizations and biofilm formations that can be addressed by the third aspect of the invention are discussed further below, and also further define medical uses and methods in accordance with the third aspect of the present invention for the treatment and/or prophylaxis of subjects (including humans and animals) in need thereof.", "For example, S. epidermidis contributes to biofilms that grow on plastic devices placed within the body (Otto, Nature Reviews Microbiology, 7(8):555-567 (2009)).", "This occurs most commonly on intravenous catheters and on medical prostheses (Hedin, Scandinavian Journal of Infectious Diseases Supplementum, 90:1-59 (1993)).", "Infection can also occur in dialysis patients or anyone with an implanted plastic device that may have been contaminated.", "Another disease it causes is endocarditis.", "This occurs most often in patients with defective heart valves.", "In some other cases, sepsis can occur in hospital patients.", "As a further example, Methicillin-resistant S. aureus (MRSA), is one of a number of greatly feared strains of S. aureus which have become resistant to most β-lactam antibiotics.", "MRSA strains are most often found associated with institutions such as hospitals, but are becoming increasingly prevalent in community-acquired infections.", "A recent study by the Translational Genomics Research Institute showed that nearly half (47%) of the meat and poultry in U.S. grocery stores were contaminated with S. aureus, with more than half (52%) of those bacteria resistant to antibiotics (ScienceDaily, 15 Apr.", "2011).", "In another example, Enterococcus faecalis causes many of the antibiotic resistant infections in hospitals, a consequence of its inherent resistance to certain antibiotics and its ability to survive and proliferate in the intestinal tract.", "Escherichia coli is one of the most frequent causes of many common bacterial infections, including cholecystitis, bacteremia, cholangitis, urinary tract infections other clinical infections such as neonatal meningitis and pneumonia.", "For example, the compositions can be used to treat (for example, as adjunct therapy) conditions caused by community- and/or hospital-acquired urinary tract infections (UTI's) caused by strains of Escherichia coli (drug resistant or otherwise) in immunocompromised patients.", "In accordance with a further example, the aggressive colonization of stainless steel surfaces by P. aeruginosa for example, apart from being of enormous industrial significance, is also of medical relevance; P. aeruginosa infections are prevalent in burn units where large stainless steel tubs, known as hydrotherapy units, are often used to treat patients with severe burns.", "Antibiotics are largely ineffective in clearing biofilms, although the third and second aspects of the present invention may be combined in order to potentiate the effect of antibiotics.", "The most common treatment for these infections is to remove or replace the infected implant, though in all cases, prevention is ideal.", "The drug of choice is often vancomycin, to which rifampin or aminoglycoside can be added.", "Hand washing has been shown to reduce the spread of infection.", "Accordingly, compositions in accordance with the third aspect of the present invention may include hand wash and/or hand spray compositions, and may be used accordingly in the treatment of hands and other body surfaces.", "Preliminary research also indicates S. epidermidis is universally found inside affected acne vulgaris pores, where Propionibacterium acnes is normally the sole resident (Bek-Thomson, et al., J. Clin.", "Microbiol., 46(10):3355-3360 (2008).", "a.", "Use as Disinfection Agent The one or more compounds for use in the third aspect of the present invention can, in accordance with a further embodiment, be used as disinfection (or pesticide) agents (the United States Environmental Protection Agency, “EPA”, defines biofilms as pestilent), for example, in high risk environments such as in hardware from hospitals or healthcare facilities.", "As such, the one or more compounds may be formulated as a disinfecting formulation or cleaning formulation, such as those described in Section III.C.4 of this application, below.", "In accordance with a further embodiment of the third aspect of the present invention, there is provided a method or use comprising the use of the disinfection agent in high-risk environments such as in hardware from hospitals or healthcare facilities, cosmetic, consumer and industrial applications, to prevent biofilm buildup or reduce biofilm from a surface of interest.", "In these embodiments, the compounds may, for example, be sprayed onto the surface in the form of a foam, solution or gel, or applied to the surface (wipe down) by means of a carrier for example tissue, material or other porous item containing the one or more compounds.", "A further embodiment of the third aspect of the present invention is a disinfection agent as described herein and also provided is a product or article treated with a disinfection agent as described herein.", "The World Health Organization (WHO) estimates that at any time, more than 1.4 million people worldwide are affected by infections acquired in hospitals.", "Cleaning, disinfection and sterilization saves lives and improves patient outcomes.", "Between 5% and 10% of patients admitted to modern hospitals in the developed world acquire one or more healthcare-associated infections.", "The Centers for Disease Control and Prevention (CDC) estimate that approximately 1.7 million healthcare-associated infections occur annually in hospitals in the United States, and are associated with nearly 100,000 deaths each year.", "Healthcare-associated infections are also an important problem in extended care facilities, including nursing homes and rehabilitation units.", "Transmission of healthcare-associated pathogens most frequently occurs via the hands of healthcare workers, who inadvertently contaminate their hands during various patient care activities.", "Less frequently, contaminated surfaces in healthcare facilities may contribute to the spread of healthcare-associated pathogens.", "The varying levels of disinfection used in a healthcare facility may be defined by Spaulding's Classification (Sehulster, et al., Guidelines for environmental infection control in health-care facilities.", "Recommendations from CDC and the Healthcare Infection Control Practices Advisory Committee (HICPAC).", "Chicago Ill.; American Society for Healthcare Engineering/American Hospital Association; 2004.).", "Spaulding's levels, non-critical, semi-critical, and critical, are based on the potential for infectious disease spread via equipment, instruments, and furniture as well as the level of sterility normally required for the body part coming in contact with it.", "Levels of disinfection that correlate with Spaulding's classification are low, intermediate, high, and sterilization.", "The US Centers for Disease Control (CDC) has further delineated disinfection levels for environmental surfaces in its “Guidelines for Environmental Infection Control in Health-Care Facilities”.", "Critical items confer a high risk for infection if they are contaminated with any microorganism.", "Thus, the third aspect of the present invention also provides objects treated for sterilization as described herein, which objects enter sterile tissue or the vascular system and must be sterile because any microbial contamination could transmit disease.", "This category includes surgical instruments, cardiac and urinary catheters, implants, and ultrasound probes used in sterile body cavities.", "Semi critical items contact mucous membranes or nonintact skin.", "This category includes respiratory therapy and anesthesia equipment, some endoscopes, laryngoscope blades, esophageal manometry probes, cystoscopes, anorectal manometry catheters, and diaphragm fitting rings.", "These medical devices should be free from all microorganisms; however, small numbers of bacterial spores are permissible.", "Specific examples of critical or semi critical instruments include invasive endoscopes such as laparoscopes, and rigid instruments with no operating channel.", "Arthroscopes and laparoscopes which are inserted into sterile body cavities as well as accessory instrumentation should be sterile.", "Other examples include gastroscopes, duodenoscopes, sigmoidoscopes, proctoscopes, colonoscopes, bronchoscopes, and laryngoscopes.", "The compounds may also be used in accordance with the third aspect of the present invention as food processing aids.", "For example, solutions of the one or more compounds as defined in section III.A below could be sprayed on animal carcasses or products (include meat part products) derived therefrom (i.e.", "poultry, fish, and meat or others, for example, as described in respect of the first aspect of the present invention) to prevent or inhibit colonization by bacteria, or inactivate biofilm formation.", "The compounds could, for example, be applied by dipping chicken (or other animal) carcasses or product derived therefrom in a container of a solution of the compounds, or by spraying an animal carcass with a solution of the compounds.", "In a preferred embodiment, aqueous solutions of FeQ, FeTyr, FeDOPA and/or Fe-Phe may be used as food processing aids.", "After treatment, the compounds may, if desired, be removed by washing.", "A further embodiment of the third aspect of the present invention provides an animal carcass (such as a chicken or other poultry, fish or other meat) and/or products (include meat part products) derived therefrom which have been treated, for example by spraying or dipping, in accordance with the third aspect of the present invention, and optionally wherein the one or more compounds are subsequently removed fully or partially by washing.", "b.", "Use as a Coating In other embodiments of the third aspect of the present invention, the one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, can be incorporated into coatings used to coat medical devices, and other articles.", "Accordingly, the third aspect of the present invention also provides a method of coating a device or other article, comprising applying a coating comprising, consisting essentially of, or consisting of, one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "The third aspect of the present invention also provides coated devices or articles, having a coating comprising, consisting essentially of, or consisting of, one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "Suitable coating methods are known in the art.", "Methods for coating medical devices are disclosed for example in U.S.", "Publication Nos.", "20030054090 and 20120276280 and U.S. Pat.", "Nos.", "5,879,697, 7,247,338 and 8,028,646.The compounds can be applied to medical devices and other articles in any number of ways, including, but not limited to, ionic binding to a surface coating, passive adsorption, or dispersion within a polymeric base material making up the surface of the device or coated on the device surfaces (for example by dip coating, spray coating, ultrasonic spray coating, melt processing, application of films, solvent coating, etc.).", "In a preferred embodiment, the one or more compounds are combined with polymers, and coated on medical devices or other articles.", "Suitable polymers include, but are not limited, to poly(lactides); poly(glycolides); poly(lactide-co-glycolides); poly(lactic acid); poly(glycolic acid); poly(lactic acid-co-glycolic acids); polycaprolactones; poly(orthoesters); polyanhydrides; poly(phosphazenes); polyhydroxyalkanoates [including poly-3-hydroxybutyrate, poly-3-hydroxybutyrate-co-3-hydroxyvalerate (PHBV), poly-4-hydroxybutyrate, poly-3-hydroxybutyrate-co-4-hydroxybutyrate]; synthetically or biologically prepared polyesters (including polyesters with one or more of the following monomeric units: glycolic, lactic; trimethylene carbonate, p-dioxanone, or ε-caprolactone); poly(lactide-co-caprolactones); polyesters; polycarbonates; tyrosine polycarbonates; polyamides (including synthetic and natural polyamides, polypeptides, and poly(amino acids)); polyesteramides; poly(dioxanones); poly(alkylene alkylates); polyethers (such as polyethylene glycol, PEG, and polyethylene oxide, PEO); polyvinyl pyrrolidones or PVP; polyurethanes; polyetheresters; polyacetals; polycyanoacrylates; poly(oxyethylene)/poly(oxypropylene) copolymers; polyacetals, polyketals; polyphosphates; (phosphorous-containing) polymers; polyphosphoesters; polyalkylene oxalates; polyalkylene succinates; poly(maleic acids); chitin; chitosan; modified chitosan; collagen; silk; biocompatible polysaccharides; biocompatible copolymers (including block copolymers or random copolymers); hydrophilic or water soluble polymers, such as polyethylene glycol, (PEG) or polyvinyl pyrrolidone (PVP), with blocks of other biocompatible or biodegradable polymers, for example, poly(lactide), poly(lactide-co-glycolide, or polycaprolcatone or combinations thereof, polymers and copolymers of ethylene and propylene, including ultra-high molecular weight polyethylene, ultra-high molecular weight polypropylene, nylon, polyesters such as poly(ethylene terephthalate), poly(tetrafluoroethylene), polyurethanes, poly(ether-urethanes), poly(methylmethacrylate), polyether ether ketone, polyolefins, Dacron, latex, silicones, polymeric cements, and poly(ethylene oxide).", "In another preferred embodiment of the third aspect of the present invention, the one or more compounds can be first conjugated with other agents that have an affinity for, or can react with, a surface, and thereby immobilized on a surface.", "For example, the compounds can be tethered to a linkage that can be photo-activated to bind to a surface, or activated via another mechanism.", "Examples of devices and articles that can be coated using the compositions include tubing and other surface medical devices, such as urinary catheter, stents, mucous extraction catheter, suction catheter, umbilical cannula, contact lenses, intrauterine devices, intravaginal and intraintestinal devices, endotracheal tubes, bronchoscopes, dental prostheses and orthodontic devices, dentures, teeth, surgical instruments, dental instruments, tubing, dental water lines, dental drain tubes, fabrics, paper, indicator strips (e.g., paper indicator strips or plastic indicator strips), adhesives (e.g., hydrogel adhesives, hot-melt adhesives, or solvent-based adhesives), bandages, tissue dressings or healing devices and occlusive patches, and any other surface devices used in the medical field.", "Devices may include electrodes, external prostheses, fixation tapes, compression bandages, and monitors of various types.", "Medical devices also include any device that may be placed at the insertion or implantation site such as the skin near the insertion or implantation site, and which include at least one surface which is susceptible to colonization by biofilm embedded microorganisms.", "In one specific embodiment, a composition is integrated into an adhesive, such as tape, thereby providing an adhesive, which may prevent growth or proliferation of biofilm embedded microorganisms on at least one surface of the adhesive.", "Medical devices include surfaces of equipment in operating rooms, emergency rooms, hospital rooms, clinics, and bathrooms.", "In a particularly preferred embodiment the following devices may be coated with the compounds: catheters, including central venous catheters, urinary catheters, dialysis catheters, and indwelling catheters (for example, catheters for hemodialysis and for administration of chemotherapeutic agents), cardiac implants including mechanical heart valves, stents, ventricular assist devices, pacemakers, cardiac rhythm management (CRM) devices, cardiac resynchronization therapy devices (CRTs), and implantable cardioverter defibrillators (ICDs), synthetic vascular grafts, arteriovascular shunts, cerebral spinal fluid shunts, cochlear devices, prosthetic joints, orthopedic implants, internal fixation devices, bone cements, percutaneous sutures, surgical mesh and surgical patches including hernia repair meshes and patches, breast reconstruction meshes and patches, meshes and patches for breast and face lifts, slings, and meshes and patches for pelvic floor reconstruction, tracheal and ventilator tubing, wound dressings, biological implants (including allografts, xenografts and autografts), penile implants, intrauterine devices, endotracheal tubes, and contact lenses.", "Other articles that can be coated in accordance with the third aspect of the present invention include articles for use in rearing animals, such as animals and articles mentioned in the context of the first aspect of the present invention.", "Yet other articles that can be coated in accordance with the third aspect of the present invention include articles for use in the process of slaughter and/or processing the carcasses or parts thereof of animals, such as animals and articles mentioned in the context of the first aspect of the present invention.", "Yet further articles that can be coated in accordance with the third aspect of the present invention include articles for the preparation and/or containment of food stuffs, including foodstuffs comprising raw or cooked meats, eggs, dairy products or other food products.", "The food products may be human and/or animal food products.", "Yet further articles that can be coated in accordance with the third aspect of the present invention include articles for the preparation and/or containment of drinks.", "Accordingly, in another embodiment of the third aspect of the present invention there is provided a method of disinfecting a surface, or protecting a surface against infection, in need thereof, the method comprising contacting the surface with an effective amount of one or more compounds having the structure of having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, wherein the one or more compounds are coated onto the surface to be disinfected.", "In some embodiments the one or more compounds may be applied to the surface in the form of a spray, an aerosol, or a foam.", "The coated surface may, for example, be formed on the surface of an instrument selected from the group consisting of surgical instruments, cardiac and urinary catheters, implants, and ultrasound probes used in sterile body cavities.", "The coated surface may, for example, be formed on the surface of a device selected from the group consisting of urinary catheter, stents, mucous extraction catheter, suction catheter, umbilical cannula, contact lenses, intrauterine devices, intravaginal and intraintestinal devices, endotracheal tubes, bronchoscopes, dental prostheses and orthodontic devices, surgical instruments, dental instruments, tubing, dental water lines, dental drain tubes, fabrics, paper, indicator strips (e.g., paper indicator strips or plastic indicator strips), adhesives (e.g., hydrogel adhesives, hot-melt adhesives, or solvent-based adhesives), bandages, tissue dressings or healing devices and occlusive patches, catheters, including central venous catheters, urinary catheters, dialysis catheters, and indwelling catheters, cardiac implants, mechanical heart valves, stents, ventricular assist devices, pacemakers, cardiac rhythm management (CRM) devices, cardiac resynchronization therapy devices (CRTs), and implantable cardioverter defibrillators (ICDs), synthetic vascular grafts, arteriovascular shunts, cerebral spinal fluid shunts, cochlear devices, prosthetic joints, orthopedic implants, internal fixation devices, bone cements, percutaneous sutures, surgical mesh and surgical patches including hernia repair mesh, breast reconstruction mesh, mesh for breast and face lifts, slings, and mesh for pelvic floor reconstruction, tracheal and ventilator tubing, wound dressings, biological implants, penile implants, intrauterine devices, endotracheal tubes, and contact lenses.", "The coated surface may, for example, be formed on the surface of an article selected from the group consisting of an industrial pipeline, liquid distribution lines, oil and gas pipelines and cosmetic container.", "The coated surface may, for example, be formed on the surface of, or be incorporated into, or onto, a household item, such as an item selected from the group consisting of household disinfectants; laundry detergent; cleaning supplies; equipment involved in the leeching process or mining; wound care; toothpaste; mouth wash; dental floss; toothpicks; chewable products (including food products); a mouth shield; a dental instrument; dentures; dental retainers; dental braces including plastic braces (such as Invisalign); bristles of toothbrushes; dental prostheses and orthodontic devices; chewable non-food items, foods, or toys, such as dog bones and biscuits; a vacuum system; HVAC ((heating, ventilation and air conditioning)) systems; vacuum cleaner bags; paint covering; wall coverings; window frames; doors; door frames; cooling towers; humidifiers; vacuum cleaners; filters such as a vacuum filter, a humidifier filter, hot tub filter, or a swimming pool filter; toys; plastic bottles; water jugs; tap and water spout; washing machines; dishwashers; animal water dishes; bathroom tiles and fixtures; sinks; showers; shower heads; toilets; toilets lids; toilet seats; sealants and grout; towels; TUPPERWARE®; dishes; cups; utensils such as forks, spoons, knives, and spatulas; bowls; food storage containers; beverage storage containers; cutting boards; dish drying trays; garbage bags; sinks; fish ponds; swimming pools; swimming pool liners; swimming pool skimmer; pond liners; bird baths; garden hose; water sprinkling lines; planters; and hot tubs.", "The coated surface may, for example, be formed on the surface of, or incorporated into, or onto, an article, device or apparatus used in the rearing and/or transport of animals, such as a chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken, other poultry, such as a turkey, geese, quail or ducks, or livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other feeds for animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals, including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid, domestic animals such as cats and dogs, rodents (such as mice, rats, guinnea pigs, hamsters), and horses, are also provided, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "In some embodiments, the device or apparatus used in the rearing and/or transport of animals may be selected from an article, device or apparatus that is for the delivery and/or containment of animal feed and/or animal drinking water.", "The coated surface may, for example, be formed on the surface of, or incorporated into, or onto, an article, device or apparatus used in the rearing, housing and/or transport of animals, such as a chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken, other poultry, such as a turkey, geese, quail or ducks, or livestock, such as cattle, sheep, goats or swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other feeds for animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals, including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid, domestic animals such as cats and dogs, rodents (such as mice, rats, guinnea pigs, hamsters), and horses, are also provided, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "In some embodiments, the article, device or apparatus used in the rearing, housing and/or transport of animals can include one or more of an article, device or apparatus used in the production, creation, collection, storage, processing and/or packaging of an animal product.", "For example, an animal product may be a by-product of the animal (e.g.", "milk, eggs, or wool) or a downstream product thereof.", "Alternatively, an animal product may be the body or part of the body of the animal, and the harvesting process optionally includes the step of slaughtering the animal and further optionally preparing an animal carcass or part thereof as a product, such as a meat product.", "The third aspect of the present invention also, therefore, provides a device, article, product, item, formulation, composition or coating per se, having a coating comprising one or more compounds having the structure or having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, and for their use in the above-defined methods.", "In one embodiment, the device, article, product, item, formulation, composition or coating comprises the one or more compounds in the coating in an amount effective to prevent biofilm formation.", "In another embodiment, the device, article, product, item, formulation, composition or coating comprises the one or more compounds in the coating in an amount effective to treat or reduce biofilm formation.", "The third aspect of the present invention also provides the direct per se products of the above-defined methods and uses of the third aspect of the present invention, and downstream product produced therefrom.", "The third aspect of the present invention also provides a compound conjugated to a structure that can anchor to a surface, wherein the compound has the structure of having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "It may be preferred that the compound is selected from the group consisting of a complex of an amino acid or an α-hydroxy acid with Fe III, such as a complex of quinic acid with Fe III, a complex of L-tyrosine with Fe III, a complex of L-DOPA with Fe III and/or a complex of L-phenylalanine with Fe III.", "Optionally, the compound may be selected from the group consisting of the compounds represented by Formula I, Formula II, Formula III, Formula IV, Formula V, Formula VI, Formula VII, Formula VIII, Formula IX, Formula X, Formula XI, Formula XII, Formula XIII, Formula XIV, a compound that binds to major outer membrane proteins (MOMPs) or FlaA of Campylobacter, a synthetic human histo-blood group antigen, a mimetic of human histo-blood group antigen or a synthetic sugar.", "Also provided by the third aspect of the present invention is a composition comprising one or more conjugated compounds as defined above, and an article coated with one or more of said conjugated compounds, or with said composition.", "In one embodiment, the structure of the conjugated compound comprises hydroxyapatite or derivative thereof, and the conjugate is capable of anchoring, or is anchored to, a dental tissue.", "For example, in a further embodiment, conjugated forms of the compounds, such as those shown in FIGS.", "16A and B wherein the compounds are conjugated to hydroxyapatite may be applied to tooth tissues, such as tooth enamel, dentin and pulp in order to prevent dental caries and infection.", "In another embodiment, the compounds can be applied using photo-reactive chemistry, for example, using conjugated forms of the compounds such as those shown in FIGS.", "15A and B.", "4.Industrial, Cosmetic and Consumer Applications The compositions can be used in accordance with a further embodiment of the third aspect of the present invention to disinfect industrial surfaces, by preventing and/or removing biofilm buildup on such surfaces.", "In this embodiment, the formation of the biofilm may be prevented or inhibited, or a preformed biofilm may be removed by a method that comprises applying a composition of the present invention comprising the one or more compounds having the structure of Formula A or B, or other compounds of the present invention as described further in section III.A of this application, onto a surface in need thereof, for example as a spray, foam, gel, powders; dish or laundry detergents (liquid or solid), surface wax, glass cleaner, etc.", "Accordingly, the third aspect of the present invention also provides an object or article that has been treated in accordance with the foregoing method.", "Biofilms are continuously produced and often accumulate on numerous industrial surfaces and on biological surfaces.", "In an industrial setting, the presence of these biofilms causes a decrease in the efficiency of industrial machinery, requires increased maintenance, and presents potential health hazards.", "For example, the surfaces of water cooling towers become increasingly coated with microbially produced biofilm slime which both constricts water flow and reduces heat exchange capacity.", "Water cooling tower biofilms may also harbor pathogenic microorganisms such as Legionella pneumophila.", "Food preparation lines are routinely plagued by biofilm build-up both on the machinery and on the food product where biofilms often include potential pathogens.", "Biofilm formation comes with associated problems, such as accelerated deterioration of equipment through corrosion from cellular byproducts.", "There may also be a reduction in the efficacy of heat transfer and impairment of detection devices as the film disrupts transmission.", "Pseudomonas aeruginosa readily binds to stainless steel or plastic (e.g.", "polyvinylchloride, polystyrene) surfaces causing major problems in both the medical and food industries, forming biofilm.", "Biofilms readily form on PVC and glass surfaces under the static condition, especially in the food industry.", "a.", "Industrial Applications The compositions and coatings in accordance with the third aspect of the present invention can be used to clean, or maintain, pipelines and hoses in industries such as food and beverage industries, paper mills, sewage treatment, drainage, cooling towers and gas and oil industries by contacting a surface with biofilm growth with the composition.", "Industrial applications include their use in dairy lines, either as a flush or wash for such lines, or incorporated within the lines, for example as a coating; liquid distribution lines in the food and beverage manufacturing or dispensing, for example, use as a coating in feeder lines for high sugar or syrup distribution in the manufacturing of soft drinks; pulp and paper mills (for biofouling); in the manufacturing and containment of cosmetics from production line equipment down to the end consumable, either incorporated within the cosmetic or coated on the jar containing the cosmetic; in water treatment facilities; in the leaching process used in mining; to prevent corrosion caused or accelerated by organisms, in oil and gas pipelines including fracking pipes, in the souring of oil fields, in antifouling coatings (for example on submarines and boats), and in cooling towers.", "b.", "Consumer and Light Commercial Applications Consumer and light commercial uses of the compounds and coatings in accordance with the third aspect of the present invention include their incorporation in general household disinfectants; laundry detergent; cleaning supplies; equipment involved in the leeching process or mining; wound care; a vacuum system; HVAC (heating, ventilation and air conditioning) systems; vacuum cleaner bags; paint covering; wall coverings; window frames; doors; door frames; cooling towers; boat hulls, humidifiers; vacuum cleaners; filters and membranes, such as a vacuum filter, a humidifier filter, hot tub filter, osmosis membranes, or a swimming pool filter; toys; plastic bottles; water jugs; toothpaste, mouthwash, a tap and water spout; incorporation into plastics for a variety of household items including the inside and outside of washing machines and dishwashers; animal water dishes; bathroom tiles and fixtures; sinks; showers; shower heads; toilets; toilets lids; toilet seats; sealants and grout; towels; TUPPERWARE®; dishes; cups; utensils such as forks, spoons, knives, and spatulas; bowls; food storage containers; beverage storage containers; cutting boards; dish drying trays; garbage bags; bathtubs including whirlpool and jacuzzi bathtubs; sinks; fish ponds and tanks; swimming pools; swimming pool liners; swimming pool skimmer; pond liners; bird baths; garden hose; water sprinkling lines; planters; and hot tubs.", "c. Cosmetic Applications A further embodiment of the third aspect of the present invention provides cosmetics and cosmetic applications, as well as containers for cosmetics and applicators for cosmetics that incorporate and/or are coated by, the one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "Cosmetics (also known as makeup or make-up) include care substances used to enhance the appearance or odor of the human body.", "They are generally mixtures of chemical compounds, some being derived from natural sources (including natural oils) and many being synthetics.", "A cosmetic may be a substance that is suitable to be applied to the human body for cleansing, beautifying, promoting attractiveness, or altering the appearance without affecting the body's structure or functions.", "Although soap is traditionally not considered to be a cosmetic, for the purposes of the present description the discussion of cosmetics can also be applied to soaps.", "Exemplary cosmetics include skin-care creams, lotions, powders, perfumes, lipsticks, fingernail and toe nail polish, eye and facial makeup, towelettes, permanent waves, colored contact lenses, hair colors, hair sprays and gels, deodorants, hand sanitizer, baby products, bath oils, bubble baths, bath salts, butters and many other types of products.", "A subset of cosmetics is called “make-up,” which refers primarily to coloring products intended to alter the user's appearance.", "Cosmetics that are meant to be used on the face and eye area are usually applied with a brush or the fingertips.", "Cosmetics may comprise a variety of organic compounds and inorganic compounds.", "Typical organic compounds can include modified natural oils and fats as well as a variety of petrochemically derived agents.", "Inorganic compounds can include processed minerals such as iron oxides, talc, and zinc oxide.", "The oxides of zinc and iron may be classified as pigments, i.e.", "colorants, and may have no solubility in solvents.", "The application of the third aspect of the present invention to cosmetics, cosmetic applications, cosmetic containers and/or cosmetic applicators may provide for methods to reduce, avoid, minimise or disrupt biofilms in the cosmetics, containers and/or applicators.", "Further, insofar as the applicant of the cosmetic to the body of the user achieves the delivery of one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, then the cosmetics may be used to treat individuals in accordance with any of the embodiments of the second to third aspects of the present invention, particularly in the context of treating, reducing, prevent or disrupting bacterial infections, colonization, or biofilms on the skin, hair, nails, and/or in teeth of the user.", "5.Additional Medical Applications In a further embodiment of the third aspect of the present invention, the compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, and compositions comprising one or more of said compound, can be used to treat any medical condition associated with biofilm formation as a result of microorganisms including, but not limited to gram-negative and gram-positive bacteria, including Pseudomonas, H. pylori, E. feacalis, Campylobacter, E. coli, EPEC, UPEC and Staphylococcus.", "In addition to the conditions discussed above, rarer, but more serious manifestations of MRSA can occur, such as necrotizing fasciitis and pyomyositis (most commonly found in the tropics), necrotizing pneumonia, infective endocarditis (which affects the valves of the heart), and bone and joint infections.", "Additional conditions include severe or extensive disease (e.g., involving multiple sites of infection) or rapid progression in presence of associated cellulitis, signs and symptoms of systemic illness, associated comorbidities or immunosuppression, extremes of age, abscess in an area difficult to drain (e.g., face, hand, and genitalia), associated septic phlebitis, and lack of response to incision and drainage alone, purulent cellulitis, hospitalized patients with complicated SSTI (cSSTI; defined as patients with deeper soft-tissue infections, surgical/traumatic wound infection, and infected ulcers and burns), osteomyelitis, device-related osteoarticular infections.", "In a further embodiment, the compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application, and compositions comprising one or more of said compound, may also be used in the treatment of keratitis, colon cancer (where biofilms play a role), and peri-implantitis, a bacterial infection around an implant that results in inflammation of the gums, and can lead to bone loss in the jaw.", "Certain strains of enterohaemorrhagic E. coli (EHEC) found in the gut of both animals and humans can cause disease, and can be life-threating in a small group of patients that develop haemolytic uraemic syndrome (HUS).", "EHEC is not treated with antibiotics because of the risks of developing HUS.", "The compounds may be useful in the treatment of EHEC infections both in humans and animals, and particularly in cattle.", "Uropathogenic E. coli (UPEC) is the predominant etiologic agent that causes UTIs.", "Accordingly, the compositions can also be used to inhibit or reduce biofilm involved in lower urinary tract infections (UTIs).", "UTI's in human have been traditionally considered to be a self-limiting disease involving bacteria residing in the lumen of bladders.", "Intracellular bacterial community-like structures also have been identified in the urine sediments of patients with UTIs in a prospective study.", "In one embodiment, the biofilm that is inhibited or disrupted by the third aspect of the present invention may be a bacterial biofilm.", "The bacteria forming the biofilm may be gram positive, or in an alternative embodiment may be gram negative, or the biofilm may be formed by a mixture of gram positive and gram negative bacteria.", "Optionally, the biofilm may be formed by bacteria selected from the group consisting of S. epidermidis, E. faecalis, E. coli, S. aureus, H. pylori, Campylobacter, Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC), and Pseudomonas or combinations thereof.", "Optionally, in certain embodiments of the third aspect of the present invention, the biofilm is a biofilm that is formed by bacteria other than bacteria that comprise, consist essentially of, or consist of proteobacteria class, such as any one or more of the spirilloid Wolinella spp., Helicobacter spp., and most particularly Campylobacter spp.", "Optionally, the one or more compounds administered to a subject (such as a human or animal) in accordance with the third aspect of the present invention may be a pharmaceutical or veterinary product, and further may include one or more excipients, such as discussed in section III.C of this application, below.", "In one embodiment of the third aspect of the present invention, for the treatment of biofilms in a subject (such as a human or animal), the one or more compounds is administered to a subject by one or more routes selected from: parenteral delivery, such as discussed below in section III.C.1 of this application, including a controlled release formulation, such as discussed below in section III.C.1(a) of this application, and injectable or implantable formulation, such as discussed below in section III.C.1(b) of this application; enteral delivery, such as discussed below in section III.C.2 of this application, including a controlled release enteral formulation, such as discussed below in section III.C.2(a) of this application, with further reference to extended release dosage forms and delayed release dosage forms as discussed therein; oral delivery; topical delivery, such as discussed below in section III.C.3 of this application, including as an emulsion, lotion, cream, ointment, gel, or foam as discussed in parts (a), (b), (c), (d) (e) and (f) respectively below in section III.C.3 of this application; buccal delivery; sublabial delivery; sublingual delivery; in or on a dental product, such as a toothpaste, a mouthwash, a dental floss, a mouth shield; dermal delivery; or transdermal delivery.", "In some embodiment of the third aspect of the present invention, the biofilm may be associated with a bacterial infection selected from the group consisting of impetigo, boils, abscesses, folliculitis, cellulitis, necrotizing fasciitis, pyomyositis, surgical/traumatic wound infection, and infected ulcers and burns), osteomyelitis, device-related osteoarticular infections, impetigo, secondarily infected skin lesions, meningitis, brain abscess, subdural empyema, spinal epidural abscess, arterial damage, gastritis, urinary tract infections, biliary tract infections, pyelonephritis, cystitis, sinus infections, ear infections, otitis media, otitis externa, leprosy, tuberculosis, conjunctivitis, bloodstream infections, benign prostatic hyperplasia, chronic prostatitis, lung infections including chronic lung infections of humans with cystic fibrosis, osteomyelitis, catheter infections, bloodstream infections, skin infections, acne, rosacea, dental caries, periodontitis, gingivitis, nosocomial infections, arterial damage, endocarditis, periprosthetic joint infections, open or chronic wound infections, venous stasis ulcers, diabetic ulcers, arterial leg ulcers, pressure ulcers, endocarditis, pneumonia, orthopedic prosthesis and orthopedic implant infections, peritoneal dialysis peritonitis, cirrhosis, and any other acute or chronic infection that involves or possesses a biofilm.", "A further embodiment of the third aspect of the present invention provides a method of treating a microbial infection in a subject in need thereof, the method comprising administering to the subject an effective amount of one or more compounds having the structure of Formula A or B, or other compounds of the invention of the present invention as described further in section III.A of this application.", "Likewise, this embodiment also provides for the use of one or more of said compounds for treating a microbial infection in a subject in need thereof.", "In certain embodiments, the microbial infection is caused by bacteria, such as gram positive bacteria, or gram negative bacteria.", "For example, the infection may be caused by bacteria selected from the group consisting of S. epidermidis, E. faecalis, E. coli, S. aureus, H. pylori, Campylobacter, Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC), and Pseudomonas or combinations thereof and/or optionally wherein the infection is not caused by bacteria that comprise, consist essentially of, or consist of proteobacteria class, such as any one or more of the spirilloid Wolinella spp., Helicobacter spp., and most particularly Campylobacter spp .", ".", ".", ".", "Optionally, in the treatment of a microbial infection in a subject in need thereof in accordance with this embodiment of the third aspect of the present invention, the one or more compounds may be administered to a subject by parenteral delivery; enteral delivery; oral delivery; topical delivery, such as in the form of an emulsion, lotion, cream, ointment, gel or foam; buccal delivery; sublabial delivery; sublingual delivery; in or on a dental product or dental device, such as a dental product, including but not limited to a toothpaste, a mouthwash, a dental floss, toothpicks, chewable products (including food products), a mouth shield, a dental instrument, dentures, dental retainers, dental braces including plastic braces (such as Invisalign), bristles of toothbrushes, dental prostheses and orthodontic devices, chewable non-food items, foods, or toys, such as dog bones and biscuits; dermal delivery; or transdermal delivery.", "In certain embodiments, the treatment of a microbial infection in a subject in need thereof in accordance with the this embodiment of the third aspect of the present invention may be to treat an infection is selected from the group consisting of impetigo, boils, abscesses, folliculitis, cellulitis, necrotizing fasciitis, pyomyositis, surgical/traumatic wound infection, and infected ulcers and burns), osteomyelitis, device-related osteoarticular infections, impetigo, secondarily infected skin lesions, meningitis, brain abscess, subdural empyema, spinal epidural abscess, arterial damage, gastritis, urinary tract infections, biliary tract infections, pyelonephritis, cystitis, sinus infections, ear infections, otitis media, otitis externa, leprosy, tuberculosis, conjunctivitis, bloodstream infections, benign prostatic hyperplasia, chronic prostatitis, lung infections including chronic lung infections of humans with cystic fibrosis, osteomyelitis, catheter infections, bloodstream infections, skin infections, acne, rosacea, dental caries, periodontitis, gingivitis, nosocomial infections, arterial damage, endocarditis, periprosthetic joint infections, open or chronic wound infections, venous stasis ulcers, diabetic ulcers, arterial leg ulcers, pressure ulcers, endocarditis, pneumonia, orthopedic prosthesis and orthopedic implant infections, peritoneal dialysis peritonitis, cirrhosis, and any other acute or chronic infection that involves or possesses a biofilm.", "In certain embodiments for the treatment of a microbial infection in a subject in need thereof in accordance with this embodiment of the third aspect of the present invention, the infection may be caused by a drug-resistant strain of E. coli.", "Optionally, the treatment of a microbial infection in a subject in need thereof in accordance with this embodiment of the third aspect of the present invention may be for the treatment of a urinary tract infection.", "Optionally, the treatment of a microbial infection in a subject in need thereof in accordance with this embodiment of the third aspect of the present invention, the subject may be one that is hospitalized and/or is immunocompromised.", "Optionally, the treatment of a microbial infection in a subject in need thereof in accordance with this embodiment of the third aspect of the present invention may also include further administering one or more antimicrobial agents, such as one or more antibiotics, to the subject.", "This may, for example, be conducted in accordance with any one or more of the embodiments of the second aspect of the present invention.", "III.", "Compounds and Compositions The present inventors have identified a class of a broad range of activity, particularly against bacteria, and has developed numerous uses for, and methods involving, the compounds, particularly in the formation of compositions.", "The compounds, which are further defined in Section III.A of this application, below, and compositions comprising one or more of said compounds, are presented herewith as a fourth aspect of the present invention.", "The compounds and compositions comprising one or more of the compounds can be used to inhibit or reduce biofilm formation on a surface, treat or prevent an infection, and kill some antibiotic resistant organisms.", "In one embodiment, the invention is generally directed to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for inhibiting, reducing, or preventing biofilm formation or buildup on a surface or to removing, dispersing, reducing, or eradicating biofilm on a surface.", "In another embodiment, the invention also generally relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for the treatment of, inhibition of growth of, and inhibition of colonization by, bacteria, both in biological and non-biological environments.", "In a further embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for disinfecting surfaces, both in biological and non-biological environments, and products that have been coated with, or treated by, one or more of the compounds and/or compositions of the present invention.", "In another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for potentiating the effects of one or more antibiotics, increasing the sensitivity of bacteria (including antibiotic-resistant bacteria) to one or more antibiotics, and also to reversing antibiotic resistance in bacteria.", "In yet another embodiment, the invention also relates to compounds and compositions comprising one or more of the compounds, and methods and uses employing one or more of the compounds and/or compositions, for enhancing the growth of animals and their efficiency of feed utilization, in particular by oral administration of feed and drink compositions.", "A.", "Compounds The following compounds as described in this section of the application are provided herewith as a fourth aspect of the present invention.", "All other aspects of the present invention may utilize one or more types of compounds as defined in this section, including derivatives and salts as defined in sub-sections 1 and 2, respectively.", "Compositions comprising, consisting essentially of, or consisting of, one or more of these compounds is also provided as a further embodiment of the fourth aspect of the present invention.", "These compositions may be used in all of the other various aspects of the present invention, and methods and uses of the present invention which employ said compositions, and may comprise, consist essentially of, or consist of, one or more types of compound as defined in this section, including derivatives and salts as defined in sub-sections 1 and 2, respectively.", "Without limitation, compounds of particular interest for use in accordance with the present invention include Fe III complexes comprising ligands bound to the iron centre selected from amino acids or α-hydroxy acids, including but not limited to ferric quinate (also referred to herein interchangeable as FeQ and Fe-QA), ferric tyrosine (also referred to herein as FeTyr), ferric DOPA (also referred to herein as FeDOPA), and ferric phenylalanine (also referred to herein as Fe-Phe).", "Further, compounds which are structural and/or functional variants, derivatives and/or analogs of the foregoing compounds, as further described below in this section, are of particular interest to the present invention.", "The ligands that may be used in such complexes include ligands based on amino acids, α-hydroxy acids, o-hydroxy benzoic acids or pyridine-2-carboxylic acids.", "Exemplary amino acids can include, but are not limited to alanine, arginine, asparagine, aspartic acid, cysteine, glutamine, glutamic acid, glycine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, and valine, each preferably in the L-isoform although, as discussed above, in an alternative embodiment one or more (optionally all) may be in the D-isoform.", "Mixtures of optical isomers of the same amino acid may, or may not, be used in some embodiments.", "Exemplary α-hydroxy acids include, but are not limited to, quinic acid, lactic acid, glycolic acid, citric acid and mandelic acid.", "Exemplary o-hydroxy benzoic acids include, but are not limited to, salicylic acid.", "Exemplary pyridine-2-carboxylic acids include, but are not limited to, α-Picolinic acid.", "In certain embodiments, compounds for use in the present invention, and which may bind to MOMPs or FlaA of Campylobacter, are Fe III complexes each containing three bidentate ligands, such as described herein.", "In further embodiments, compounds for use in the present invention, and which may optionally bind to MOMPs or FlaA of Campylobacter, are Fe III complexes defined according to the following chemical Formula A: or a salt and/or hydrate thereof, wherein: X, X1 and X2 can independently be NH2, OH, CO2—, CO2H, OR3, NR3H, NR3R4, R3ONO2, R3NO2, SH, SR3, and X, X1 and X2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Y, Y1 and Y2 can independently be O, NH, NH2, NR3, NR3R4, SH, OR3, OH, and Y, Y1 and Y2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Z, Z1 and Z2 may independently be: O, S, NH, NR3, and Z, Z1 and Z2 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; R, R′, R1, R1′, R2, and R2′ can independently be H, CH3, CH2SH, CH2CO2H, CH2CH2CO2H, CH2C6H5, CH2C3H3N2, CH(CH3)CH2CH3, (CH2)4NH2, CH2CH(CH3)2, CH2CH2SCH3, CH2CONH2, (CH2)4NHCOC4H5NCH3, CH2CH2CH2, CH2CH2CONH2, (CH2)3NHC(NH)NH2, CH2OH, CH(OH)CH3, CH2SeH, CH(CH3)2, CH2C8H6N, CH2C6H4OH and R, R′, R1, R1′, R2, and R2′ may all be the same or they may all be different, or, alternatively, up to five may be the same and one or more may be different; or any relevant pair of R and R′, R1 and R1′, and R2 and R2′ (i.e.", "when they are bound to the same carbon atom) are linked to form a substituted or unsubstituted cycloalkyl ring group; R3 and R4 can independently be alkyl, alkenyl, alkynyl, phenyl, aryl, halo- and hydroxy-substituted radicals, hydroxyl radicals, nitrogen-substituted radicals, oxygen-substituted radicals, or hydrogen.", "In some embodiments, R3 and R4 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different.", "In embodiments in which one or more pairs of R and R′, R1 and R1′, and R2 and R2′ are linked to form a substituted or unsubstituted cycloalkyl ring group, the substituents on the cycloalkyl group can be selected from, but are not limited to, ═O and, particularly, OH, NH2, NR3, NR3R4, SH, and OR3; where R3 and R4 are as defined above.", "It is preferred that the bonds between the Fe and X, X1 and X2 and between the Fe and Y, Y1 and Y2 are ionic.", "In a particular embodiment, X, X1 and X2 can independently be NH2, OH, CO2—, CO2H, OR3, NR3H or NR3R4 (preferably NH2 or OH); Y, Y1 and Y2 can independently be O, NH, NH2, OR3 or OH (preferably O); Z, Z1 and Z2 may independently be O or S (preferably O); R, R′, R1, R1′, R2, and R2′ can independently be H, CH3, CH2SH, CH2CO2H, CH2CH2CO2H, CH2C6H5, CH2C3H3N2, CH(CH3)CH2CH3, (CH2)4NH2, CH2CH(CH3)2, CH2CH2SCH3, CH2CONH2, (CH2)4NHCOC4H5NCH3, CH2CH2CH2, CH2CH2CONH2, (CH2)3NHC(NH)NH2, CH2OH, CH(OH)CH3, CH2SeH, CH(CH3)2, CH2C8H6N, CH2C6H4OH; or any relevant pair of R and R′, R1 and R1′, and R2 and R2′ are linked to form a 4- to 6-membered substituted or unsubstituted cycloalkyl ring group (optionally wherein the substituents on the cycloalkyl group are selected from ═O and, particularly, OH, NH2, NHR3, NR3R4, SH, and OR3); and R3 and R4 independently represent methyl, ethyl, propyl, butyl, or benzyl.", "Particular compounds that may be mentioned include those in which R′, R1′ and R2′ represent H, and R, R1 and R2 represent a group as defined above other than H; or each pair of R and R′, R1 and R1′, and R2 and R2′ (i.e.", "when they are bound to the same carbon atom) are linked to form a cyclohexyl ring group optionally substituted one or more substituents selected from ═O and, particularly, OH, NH2, NHR3, NR3R4, SH, and OR3.In a further embodiment, R3 and R4 are independently C1-4 alkyl, C1-4 alkenyl, phenyl or benzyl (which latter four groups are optionally substituted by one or more halo or hydroxyl groups).", "For example, R3 and R4 may independently represent methyl, ethyl, propyl, butyl or benzyl.", "In a preferred embodiment, Y, Y1 and Y2 represent O, and Z, Z1 and Z2 represent O.", "Particular examples of such compounds include those in which X, X1 and X2 independently represent NH2 or OH.", "Functional variants of compounds according to Formula A may also be used in the present invention, and include other compounds as described in this section of the application.", "For example, in a yet further embodiment, compounds for use in the present invention, and which may bind to MOMPs or FlaA of Campylobacter, are Fe III complexes defined according to the following chemical Formula B: or a salt and/or hydrate thereof, wherein: X3, X4 and X5 can independently be —C(R8)═, or —N═; R8 can independently be NH2, OH, CO2—, CO2H, OR9, NR9H, NR9R10, R9ONO2, R9NO2, SH, SR9, and each R8 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Y3, Y4 and Y5 can independently be O, NH, NH2, NR9, NR9R10, SH, OR9, OH, and Y3, Y4 and Y5 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; Z3, Z4 and Z5 may independently be: O, S, NH, NR9, and Z3, Z4 and Z5 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; m1, m2 and m3 may independently be 0, 1, 2, 3 or 4; and m1, m2 and m3 may all be the same or they may all be different, or, alternatively, two may be the same and one may be different; R5, R6 and R7 are each independently selected from OH, NH2, NHR9, NR9R10, SH, and OR9; and R5, R6 and R7 may all be the same or they may all be different; R9 and R10 can independently be alkyl, alkenyl, alkynyl, phenyl, aryl, halo- and hydroxy-substituted radicals, hydroxyl radicals, nitrogen-substituted radicals, oxygen-substituted radicals, or hydrogen.", "In some embodiments, R9 and R10 may all be the same or they may all be different.", "It is preferred that the bonds between the Fe and X3, X4 and X5 and between the Fe and Y3, Y4 and Y5 are ionic.", "In a particular embodiment, X3, X4 and X5 can independently be —C(OH)═, or —N═; Y3, Y4 and Y5 can independently be O, NH, NH2, OR9 or OH (preferably O); Z, Z1 and Z2 may independently be O or S (preferably O); R5, R6 and R7 are each independently selected from OH, NH2, NHR9, and OR9 (preferably R5, R6 and R7 are all OH); m1, m2 and m3 may independently be selected from 0, 1 and 2; and R9 and R10 independently represent methyl, ethyl, propyl, butyl, or benzyl.", "In a further embodiment, R9 and R10 are independently C1-4 alkyl, C1-4 alkenyl, phenyl or benzyl (which latter four groups are optionally substituted by one or more halo or hydroxyl groups).", "For example, R3 and R4 may independently represent methyl, ethyl, propyl, butyl or benzyl.", "In a preferred embodiment, Y3, Y4 and Y5 represent O, Z3, Z4 and Z5 represent O, R5, R6 and R7 represent OH, and m1, m2 and m3 are selected from 0, 1 and 2.Particular examples of such compounds include those in which X3, X4 and X5 independently represent —C(OH)═ or —N═.", "In a further preferred embodiment, the ligands bound to the iron centre are amino acids or α-hydroxy acids.", "Therefore, it is most preferred that Y, Y1, Y2, Z, Z1 and Z2 represent O, X, X1 and X2 represent NH2 or OH, and R′, R1′ and R2′ represent H. Where one or more of the ligands is an amino acid (e.g.", "for compounds of formula A in which X, X1 and X2 represent NH2), then it is preferred that the amino acid is an L-amino acid (or glycine), although in an alternative embodiment one or more (optionally all) of the ligands may be a D-amino acid.", "Exemplary amino acids can include, but are not limited to alanine, arginine, asparagine, aspartic acid, cysteine, glutamine, glutamic acid, glycine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine, proline, serine, threonine, tryptophan, tyrosine, and valine, each preferably in the L-isoform although, as discussed above, in an alternative embodiment one or more (optionally all) may be in the D-isoform.", "Mixtures of optical isomers of the same amino acid may, or may not, be used in some embodiments.", "Exemplary compounds of Fe complexes according to Formula A include Formulas VII-IX as shown below: g) a complex of L-DOPA with Fe III (3,4 dihydrophenylalanine) (Fe-DOPA) h) a complex of L-tyrosine with Fe III (Fe-Tyr, also denoted Fe—Y) i) a complex of quinic acid with Fe III (Fe-QA, also denoted FeQ) Exemplary compounds of Fe complexes according to Formula B include Formulas X-XIV as shown below: j) a complex of 2,3,5-trihydroxybenzoic acid with Fe III k) a complex of 2,4,5-trihydroxybenzoic acid with Fe III l) a complex of 3-dehydroquinic acid with Fe III m) a complex of 4,6-dihydroxypyridine-2-carboxylic acid with Fe III n) a complex of salicylic acid with Fe III Optionally, in one embodiment, an Fe complex as described above (e.g.", "according to Formula A or Formula B) for use in any of the first, second or third aspects of the present invention may not be a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX).", "That is to say, in one optional embodiment, Formula A excludes a complex of quinic acid with Fe III (such as a complex having the structure of Formula IX).", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that inhibits the binding of C. jejuni to a histo-blood group antigen.", "This can, for example, be measured when the bacteria is grown in a medium containing the compound, the medium containing the compound is washed away, and the binding of the bacteria to the histo-blood group antigen is determined by an ELISA assay (such as in accordance with the method as described in Example 4) and compared to a control where the bacteria is not grown in the presence of the compound.", "Preferably the compound inhibits the binding of C. jejuni to a histo-blood group antigen at a level that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more than the level of inhibition of the binding of C. jejuni to a histo-blood group antigen by either a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that inhibits biofilm formation by bacteria as measured in a plastic bead assay (such as in accordance with a method as described in Example 1), wherein the bacteria is grown in a medium containing the compound to form a growth suspension of the bacteria at 0.0001 OD/ml, the growth suspension is allowed to grow with plastic coated UV beads (Lascells), and the beads are assayed after 24 hours for the presence of biofilm formation on the beads (by counting bacteria after release from the beads), and compared to a control group where the bacteria is not grown in the presence of the compound.", "Preferably the compound inhibits the binding of the bacteria to the plastic coated beads at a level of inhibition that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the level of inhibition of the binding of the bacteria to the plastic coated UV beads by either a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration.", "In particularly preferred embodiment, the bacteria can be Enterococcus faecalis, Staphylococcus epidermidis, Staphylococcus aureus, Campylobacter jejuni, Pseudomonas aeruginosa, Uropathogenic Escherichia coli, and Enteropathogenic Escherichia coli.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that inhibits binding of Helicobacter pylori to human gastric tissue (for example as determined by a method as described in Example 5) at a level of inhibition that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the level of inhibition of the binding of the bacteria to human gastric tissue by either a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration as measured by counting the average number of bacteria bound to the tissue.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that inhibits biofilm formation of a bacteria, but does not inhibit planktonic growth of the bacteria (for example, as determined using a method as described in Example 7), wherein the bacteria can be one or more of the following: Enterococcus faecalis, Staphylococcus epidermidis, Staphylococcus aureus, Campylobacter jejuni, Pseudomonas aeruginosa, Uropathogenic Escherichia coli, and Enteropathogenic Escherichia coli.", "Preferably the compounds inhibit biofilm formation (for example, as measured by coverage rate in Example 7), at a level that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the level of biofilm inhibition by a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound for the treatment of cystic fibrosis.", "In one embodiment, one or more compounds of Formula A may be delivered using a nebulizer spray.", "In another embodiment, one or more compounds of Formula A may be delivered in liposomes for the treatment of patients with cystic fibrosis.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that prevents attachment of bacteria to a surface (for example, when determined in accordance with a method as described in Example 13), and the prevention of attachment of bacteria to the surface is at a level that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the level of bacteria attachment by a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration as measured by optical density.", "In particularly preferred embodiment, the bacteria can be Enterococcus faecalis, Staphylococcus epidermidis, Staphylococcus aureus, Campylobacter jejuni, Pseudomonas aeruginosa, Uropathogenic Escherichia coli, and Enteropathogenic Escherichia coli.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that is capable of rendering an antibiotic resistant strain of bacteria sensitive to the antibiotic to which it is otherwise resistant (for example, when determined by a method that comprises immersing a patch in a solution of the compound and an antibiotic, such as kanamycin, for example at a concentration of 50 μg/mL as described in Example 9, placed on a plate with the antibiotic resistant strain (such as a kanamycin resistant strain of Enteropathogenic Escherichia coli or Campylobacter jejuni)), and causes the bacteria to fail to grow or reduces the rate of growth of the antibiotic resistant strain in the presence of the antibiotic by a level that is a level that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the level of reduction of the rate of growth caused by a complex of L-tyrosine with Fe III or a complex of quinic acid with Fe III at the same molar concentration.", "In a further embodiment, a compound according to Formula A, or Formula B, for use in the present invention may be a compound that causes a decrease in the rate of growth to a level that is at, or at least, about 1%, 2%, 3%, 4%, more preferably at, or at least, about 5%, even more preferably at, or at least, about 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, 96%, 97%, 98%, 99%, 100% or more of the decrease in the rate of growth measured by optical density of an antibiotic resistant bacteria when grow in the presence of the compound and the antibiotic, for example as determined by a method as described in Examples 11 and/or 12.The combinations of antibiotics and antibiotic resistant bacteria can, for example, be one or more of the following: (i) kanamycin and a kanamycin-resistant bacteria, (ii) gentamicin and a gentamicin-resistant enteropathogenic Escherichia coli, and (iii) kanamycin and a clinical isolate of Pseudomonas (PAO Clinical) as described in Example 14.In accordance with one embodiment, instead of the direct administration of the one or more compounds, it or they may be formed in vivo, by administering a suitable iron containing substance and one or more suitable ligands capable of forming the compounds in vivo with the iron compound (see: Campbell and Hasinoff, Ferrous sulfate reduces levodopa bioavailability: Chelation as a possible mechanism, Clin.", "Pharmacol.", "Ther.", "45:220-5, 1989).", "For example, ferrous sulfate and tyrosine (as ligand) may be administered in order to form Fe-Tyr in vivo, ferrous sulfate and L-DOPA (as ligand) may be administered in order to form Fe-DOPA in vivo, ferrous sulfate and L-phenylalanine (as ligand) may be administered in order to form Fe-Phe in vivo or ferrous sulfate and quinic acid (as ligand) may be administered in order to from Fe-QA in vivo.", "In this example, Fe2+ is oxidized to Fe3+ in vivo, and may complex with tyrosine, L-DOPA, or phenylalanine respectively.", "The compounds may also be formed in vivo from any substance that can be metabolized in vivo to the compounds.", "For example, phenylalanine could be administered with ferrous sulfate since it will be metabolized to tyrosine in vivo, and may then complex with the ferric iron (formed from oxidation of ferrous sulfate).", "Alternatively, ferric chloride could also be administered with, for example, tyrosine, quinic acid, L-DOPA and/or phenylalanine.", "Optionally, one or more compounds for use in any of the first, second or third aspects of the present invention (which may or may not be compounds according to Formula A or Formula B as discussed above) are ligands for the major outer membrane proteins (MOMPs) or FlaA of Campylobacter, and/or may be capable of downregulating the expression of FlaA and/or FlaB proteins in a bacteria such as Campylobacter, such as to the extent of causing a reduced bacterial motility such as when determined by a method as described in Example 21 of the present application.", "The binding of the compounds to the MOMPs or FlaA inhibits the MOMPs or FlaA from attaching, binding, or associating with other proteins, biofilm components, surfaces or other bacteria.", "The compound can be a mimetic or synthetic human histo-blood group antigen or a synthetic sugar.", "A synthetic human histo-blood group antigen may be a sugar, for example a saccharide having the same structure as a natural human histo-blood group antigen such as for example H-I antigen, H-II antigen, Lewis antigen, Leb, Lex or Ley.", "A preferred compound is ferric quinate (Fe-QA).", "The compounds provided herein which bind to MOMPs or FlaA of Campylobacter include compounds with structures described in this section, in accordance with Formulae A or B, or further compounds as described below.", "It has been demonstrated that these compounds inhibit both gram negative bacteria, such as Pseudomonas aeruginosa, Campylobacter jejuni, Helicobacter pylori, Escherichia coli, Enteropathogenic Escherichia coli (EPEC), Uropathogenic Escherichia coli (UPEC) and gram positive bacteria, such as Staphylococcus epidermidis, Staphylococcus aureus, and Enterococcus faecalis, which are believed to be predictive of efficacy with other species There is low homology between the MOMP of Campylobacter and other bacteria.", "It is believed that the compounds interact with several surface porin-like bacterial proteins that have not yet been identified on other bacteria.", "In further embodiments, compounds for use in the present invention may, or may not, optionally include one or more compounds selected from: a) N-[3-quinylamino-2-(quinylaminomethyl)-propyl]-quinamde b) N-{2-[Bis-(2-quinylaminoethyl)-amino]-ethyl}-quinamide c) Phosphoric acid tris-(2-quinylamino-ethyl) ester d) N-(3,5-Bis-quinylamino-cyclohexyl)-quinamide e) N-(4,5-Bis-quinylamino-2-hydroxy-6-hydroxymethyl-tetrahydropyran-3-yl)-quinamide f) N-(4,5-Bis-quinylamino-2-hydroxy-6-quinylaminomethyl-tetrahydropyran-3-yl)-quinamide The foregoing compounds for use in any of the aspects of the present invention may also be in the form of hydrates, or salts of hydrates.", "For example, the compositions may be Fe-Tyr.xH2O, FeQ.xH2O, FeDOPA.xH2O or Fe-Phe.xH2O.", "The compounds may also be hydrates containing salts, for example hydrates with bases such as lithium hydroxide, sodium hydroxide or potassium hydroxide present.", "In the case of compounds which are Fe III complexes comprising ligands bound to the iron centre, as described above, in one option not all ligands will be the same in the compositions comprising the Fe III complex compounds.", "For example, in the case that the compound is FeTyr, then this may be formed by creating a complex from Fe III and a commercial source of tyrosine (Tyr), which may include low levels (typically, less than 10%, such as less than 5% or about 2.5%) of one or more further amino acids, such as cysteine (Cys) and/or phenylalanine (Phe), and so in one optional embodiment, when the compound is FeTyr, then some of the compounds in the composition may include one or more alternative amino acids (e.g.", "Cys and/or Phe) as ligands.", "The proportion of ligands in the FeTyr composition that are not Tyr may be less than 10%, 9%, 8%, 7%, 6%, 5%, 4%, 3%, 2% or 1% and may be substantially 0%.", "The same applies mutatis mutandis to other ligands used in the preparation of Fe III complexes for use in the present invention.", "Therefore, for example, in a composition comprising an Fe III complex as described above, it may be that less than 100% of the Fe III ligands are identical, although preferably at least 50%, 60%, 70%, 80%, 85%, 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, or 99% of the ligands in the composition are identical.", "In that context, in one embodiment the term “identical” discriminates between enantiomeric forms of ligand, that is, different enantiomers are not identical; whereas, in another embodiment, the term “identical” can be applied to different enantiomeric forms of ligand, that is, optionally different enantiomeric forms of the same ligand are considered to be identical.", "1.Derivatives Derivatives of the compounds for use in accordance with any of the aspects of the present invention, such as the compounds defined above, including Formula I-IX, Formula X to XIV, Formula A (or hydrates thereof) and Formula B or hydrates thereof), may also be used.", "The term “derivative” does not mean that the derivative is synthesized from the parent compound either as a starting material or intermediate, although this may be the case.", "The term “derivative” can include salts (for example, pharmaceutically acceptable salts), prodrugs, or metabolites of the parent compound.", "Derivatives include compounds in which free amino groups in the parent compound have been derivatized to form amine hydrochlorides, p-toluene sulfoamides, benzoxycarboamides, t-butyloxycarboamides, thiourethane-type derivatives, trifluoroacetylamides, chloroacetylamides, or formamides.", "Derivatives include compounds having one or more amino substituents or hydrogen groups replaced with substituted or unsubstituted alkyl, aminoalkyl, aryl, or heteroaryl groups having from 1 to 30 carbon atoms.", "2.Salts The compounds for use in accordance with any of the aspects of the present invention, such as the compounds defined above, including of Formula I-IX, Formula X to XIV, Formula A (or hydrates thereof) and Formula B or hydrates thereof) can be in the form of a salt, for example, a pharmaceutically acceptable salt.", "Examples of pharmaceutically acceptable salts include, but are not limited to, mineral or organic acid salts of basic residues such as amines; and alkali or organic salts of acidic residues such as carboxylic acids.", "The pharmaceutically acceptable salts include the conventional non-toxic salts or the quaternary ammonium salts of the parent compound formed, for example, from non-toxic inorganic or organic acids and inorganic or organic bases.", "Such conventional non-toxic salts include those derived from inorganic acids such as hydrochloric, hydrobromic, sulfuric, sulfamic, phosphoric, and nitric acids; and the salts prepared from organic acids such as acetic, propionic, succinic, glycolic, stearic, lactic, malic, tartaric, citric, ascorbic, pamoic, maleic, hydroxymaleic, phenylacetic, glutamic, benzoic, salicylic, sulfanilic, 2-acetoxybenzoic, fumaric, tolunesulfonic, naphthalenesulfonic, methanesulfonic, ethane disulfonic, oxalic, and isethionic salts, and bases such as lithium hydroxide, sodium hydroxide, potassium hydroxide and ammonium hydroxide.", "The pharmaceutically acceptable salts of the compounds can be synthesized from the parent compound, which contains a basic or acidic moiety, by conventional chemical methods.", "Generally, such salts can be prepared by reacting the free acid or base forms of these compounds with a stoichiometric amount of the appropriate base or acid in water or in an organic solvent, or in a mixture of the two; generally, non-aqueous media like ether, ethyl acetate, ethanol, isopropanol, or acetonitrile are preferred.", "Lists of suitable salts are found in Remington's Pharmaceutical Sciences, 20th ed., Lippincott Williams & Wilkins, Baltimore, Md., 2000, p. 704; and “Handbook of Pharmaceutical Salts: Properties, Selection, and Use,” P. Heinrich Stahl and Camille G. Wermuth, Eds., Wiley-VCH, Weinheim, 2002.B.", "Antimicrobial Agents Antimicrobial agents that may be used therapeutically and/or non-therapeutically with the compounds of the present invention in accordance with any of the first, second, or third aspects of the present invention, for example for the treatment or prophylaxis of microbial infection in accordance with the third aspect of the present invention and/or in accordance with the second aspect of the present invention, either separately, simultaneously or sequentially, include, but are not limited to: (i) Aminoglycosides, including amikacin, gentamicin, kanamycin, neomycin, netilmicin, tobramycin, paromomycin, streptomycin, spectinomycin; (ii) Ansaycins, including geldanamycin, herbimycin, rifaximin, (iii) Carbacephem, including loracarbef, (iv) Carbapenems, including ertapenem, doripenem, imipenem/cilastatin, meropenem, (v) Cephalosporins, including cefadroxil, cefazolin, cefalotin or cefalothin, cephalexin, cefaclor, cefamandole, cefoxitin, cefprozil, cefuroxime, cefixime, cefdinir, cefditoren, cefoperazone, cefotaxime, cefpodoxime, ceftazidime, ceftibuten, ceftizoxime, ceftriaxone, cefepime, ceftaroline fosamil, ceftobiprole, (vi) Glycopeptides, including teicoplanin, vancomycin, telavancin, dalbavancin, oritavancin, (vii) Lincosamides, including clindamycin, lincomycin, (viii) Lipopeptides including daptomycin, (ix) Macrolides including azithromycin, clarithromycin, dirithromycin, erythromycin, roxithromycin, troleandomycin, telithromycin, spiramyin, (x) Monobactams, including aztreonam, (xi) Nitrofurans, including furazolidone, nitrofurantoin, (xii) Oxazolidinones, including linezolid, posizolid, radezolid, torezolid, (xiii) Penicillins, including amoxicillin, ampicillin, azlocillin, carbenicillin, cloxacillin, dicloxacillin, flucloxacillin, mezlocillin, methicillin, nafcillin, oxacillin, penicillin G, penicillin V, piperacillin, temocillin, ticarcillin, amoxicillin/clavulanate, ampicillin/sulbactam, peperacillin/tazobactam, ticarcillin/clavulanate (xiv) Polypeptides including bacitracin, colistin, polymyxin B, (xv) Quinolones/Fluoroquinolone, including ciprofloxacin, enoxacin, gatifloxacin, gemifloxacin, levofloxacin, lomefloxacin, moxifloxacin, nalidixic acid, norfloxacin, ofloxacin, trovafloxacin, grepafloxacin, sparfloxacin, temafloxacin, (xvi) Sulfonamides, including mafenide, sulfacetamide, sulfadiazine, silver sulfadiazine, sulfadimethoxine, sulfamethizole, sulfamethoxazole, sulfanilamide, sulfasalazine, sulfisoxazole, trimethoprim-sulfamethoxazole (co-trimoxazaole), sulfonamidochrysoidine, (xvii) Tetracyclines, including demeclocycline, doxycycline, minocycline, oxytetracycline, tetracycline, (xviii) clofazimine, dapsone, capreomycin, cycloserine, ethambutol, ethionamide, isoniazid, pyrazinamide, rifampicin (rifampin), rifabutin, rifapentine, streptomycin, arsphenamine, chloramphenicol, fosfomycin, fusidic acid, metronidazole, mupirocin, platensimycin, quinupristin/dalfopristin, thiamphenicol, tigecycline, tinidazole, and trimethoprim; and combinations thereof.", "The compounds may also be combined with triclosan and chlorhexidine.", "Other antimicrobial agents include: aztreonam; cefotetan and its disodium salt; loracarbef; cefoxitin and its sodium salt; cefazolin and its sodium salt; cefaclor; ceftibuten and its sodium salt; ceftizoxime; ceftizoxime sodium salt; cefoperazone and its sodium salt; cefuroxime and its sodium salt; cefuroxime axetil; cefprozil; ceftazidime; cefotaxime and its sodium salt; cefadroxil; ceftazidime and its sodium salt; cephalexin; cefamandole nafate; cefepime and its hydrochloride, sulfate, and phosphate salt; cefdinir and its sodium salt; ceftriaxone and its sodium salt; cefixime and its sodium salt; cefpodoxime proxetil; meropenem and its sodium salt; imipenem and its sodium salt; cilastatin and its sodium salt; azithromycin; clarithromycin; dirithromycin; erythromycin and hydrochloride, sulfate, or phosphate salts, ethylsuccinate, and stearate forms thereof, clindamycin; clindamycin hydrochloride, sulfate, or phosphate salt; lincomycin and hydrochloride, sulfate, or phosphate salt thereof, tobramycin and its hydrochloride, sulfate, or phosphate salt; streptomycin and its hydrochloride, sulfate, or phosphate salt; neomycin and its hydrochloride, sulfate, or phosphate salt; acetyl sulfisoxazole; colistimethate and its sodium salt; quinupristin; dalfopristin; amoxicillin; ampicillin and its sodium salt; clavulanic acid and its sodium or potassium salt; penicillin G; penicillin G benzathine, or procaine salt; penicillin G sodium or potassium salt; carbenicillin and its disodium or indanyl disodium salt; piperacillin and its sodium salt; ticarcillin and its disodium salt; sulbactam and its sodium salt; moxifloxacin; ciprofloxacin; ofloxacin; levofloxacins; norfloxacin; gatifloxacin; trovafloxacin mesylate; alatrofloxacin mesylate; trimethoprim; sulfamethoxazole; demeclocycline and its hydrochloride, sulfate, or phosphate salt; doxycycline and its hydrochloride, sulfate, or phosphate salt; oxytetracycline and its hydrochloride, sulfate, or phosphate salt; chlortetracycline and its hydrochloride, sulfate, or phosphate salt; metronidazole; dapsone; atovaquone; rifabutin; linezolide; polymyxin B and its hydrochloride, sulfate, or phosphate salt; sulfacetamide and its sodium salt; clarithromycin; and silver ions, salts, and complexes.", "One preferred embodiment of any of the aspects of the present invention, such as in accordance with the second aspect of the present invention, envisages the use of a complex of quinic acid with Fe III (Fe-QA, also denoted FeQ), such as defined by Formula IX, with any one or more of the foregoing antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "Another preferred embodiment, of any of the aspects of the present invention, such as in accordance with the second aspect of the present invention, envisages the use of a complex of L-tyrosine with Fe III (Fe-Tyr), such as defined by Formula VIII, with any one or more of the foregoing antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "In another preferred embodiment, of any of the aspects of the present invention, such as in accordance with the second aspect of the present invention, envisages the use of a complex of L-DOPA with Fe III (3,4 dihydrophenylalanine) (Fe-DOPA), such as defined by Formula VII, with any one or more of the foregoing antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "In another preferred embodiment, of any of the aspects of the present invention, such as in accordance with the second aspect of the present invention, envisages the use of a complex of L-phenylalanine with Fe III (Fe-Phe), with any one or more of the foregoing antibiotics, either formulated together in the same composition for administration or presented in separate compositions for use separately, simultaneously or sequentially.", "C. Excipients and Carriers The compounds as defined in section III.A above can be formulated for use in accordance with any of the first, second or third aspect of the present invention and may, for example, be formulated in a way that is suitable for enteral, parenteral, topical, or pulmonary administration.", "The compounds as defined in section III.A above can be combined with one or more pharmaceutically acceptable carriers and/or excipients that are considered safe and effective and may be administered to an individual without causing undesirable biological side effects or unwanted interactions.", "The carrier can include all components present in the pharmaceutical formulation other than the active ingredient or ingredients.", "The compounds are included in the formulation in an effective amount to achieve the effect of the first, second or third aspects of the present invention, for example in an amount that is effective to inhibit biofilm formation or reduce biofilm buildup.", "An effective amount of a compound provided to a subject may be an amount that is enough to provide the required degree of reduction of microbial colonization.", "This may depend on the type of compound and/or the size of the animal.", "In one embodiment an effective amount of the compound may be an amount that is effective to deliver the compound to the site at which action is required in a concentration that ranges from 1 μm to 1 M, preferably greater than 10 μM, 20 μM, 30 μM, 40 μM, 50 μM, 60 μM, 70 μM, 80 μM, 90 μM, 100 μM, 110 μM, 120 μM, 130 μM, 140 μM, 150 μM, 160 μM, 170 μM, 180 μM, 190 μM, 200 μM or more.", "A suitable concentration may be within the range of about 1 m to about 1 mM, or about 30 μm to about 0.5 mM, or about 60 μM to about 0.3 mM.", "These concentrations may particularly apply to the performance of the invention in the context of the second and/or third aspects of the present invention.", "In a further embodiment an effective amount of the compound may be 0.3 to 32 mg/day/kg bodyweight of the subject such as a chicken.", "In another embodiment an effective concentration of the compound may be between 0.001 to 1 mM for use in coatings or devices, or solutions.", "The compounds can also be formulated for use as a disinfectant, for example, in a hospital environment or for industrial application.", "1.Parenteral Formulations The compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention and may be formulated for parenteral administration.", "Parenteral administration may include administration to a patient intravenously, intradermally, intraarterially, intraperitoneally, intralesionally, intracranially, intraarticularly, intraprostatically, intrapleurally, intratracheally, intravitreally, intratumorally, intramuscularly, subcutaneously, subconjunctivally, intravesicularly, intrapericardially, intraumbilically, by injection, and by infusion.", "Parenteral formulations can be prepared as aqueous compositions using techniques known in the art.", "Typically, such compositions can be prepared as injectable formulations, for example, solutions or suspensions; solid forms suitable for using to prepare solutions or suspensions upon the addition of a reconstitution medium prior to injection; emulsions, such as water-in-oil (w/o) emulsions, oil-in-water (o/w) emulsions, and microemulsions thereof, liposomes, or emulsomes.", "The carrier can be a solvent or dispersion medium containing, for example, water, ethanol, one or more polyols (e.g., glycerol, propylene glycol, and liquid polyethylene glycol), oils, such as vegetable oils (e.g., peanut oil, corn oil, sesame oil, etc.", "), and combinations thereof.", "The proper fluidity can be maintained, for example, by the use of a coating, such as lecithin, by the maintenance of the required particle size in the case of dispersion and/or by the use of surfactants.", "In many cases, it will be preferable to include isotonic agents, for example, sugars or sodium chloride.", "Solutions and dispersions of the active compounds as the free acid or base or pharmacologically acceptable salts thereof can be prepared in water or another solvent or dispersing medium suitably mixed with one or more pharmaceutically acceptable excipients including, but not limited to, surfactants, dispersants, emulsifiers, pH modifying agents, viscosity modifying agents, and combination thereof.", "Suitable surfactants may be anionic, cationic, amphoteric or nonionic surface-active agents.", "Suitable anionic surfactants include, but are not limited to, those containing carboxylate, sulfonate and sulfate ions.", "Examples of anionic surfactants include sodium, potassium, ammonium ions of long chain alkyl sulfonates and alkyl aryl sulfonates such as sodium dodecylbenzene sulfonate; dialkyl sodium sulfosuccinates, such as sodium dodecylbenzene sulfonate; dialkyl sodium sulfosuccinates, such as sodium bis-(2-ethylthioxyl)-sulfosuccinate; and alkyl sulfates such as sodium lauryl sulfate.", "Cationic surfactants include, but are not limited to, quaternary ammonium compounds such as benzalkonium chloride, benzethonium chloride, cetrimonium bromide, stearyl dimethylbenzyl ammonium chloride, polyoxyethylene and coconut amine.", "Examples of nonionic surfactants include ethylene glycol monostearate, propylene glycol myristate, glyceryl monostearate, glyceryl stearate, polyglyceryl-4-oleate, sorbitan acylate, sucrose acylate, PEG-150 laurate, PEG-400 monolaurate, polyoxyethylene monolaurate, polysorbates, polyoxyethylene octylphenylether, PEG-1000 cetyl ether, polyoxyethylene tridecyl ether, polypropylene glycol butyl ether, Poloxamer® (triblock copolymer of polyoxyethylene, followed by a block of polyoxypropylene, followed by a block of polyoxyethylene) 401, stearoyl monoisopropanolamide, and polyoxyethylene hydrogenated tallow amide.", "Examples of amphoteric surfactants include sodium N-dodecyl-.beta.-alanine, sodium N-lauryl-3-iminodipropionate, myristoamphoacetate, lauryl betaine and lauryl sulfobetaine.", "The formulation can contain a preservative to prevent the growth of microorganisms.", "Suitable preservatives include, but are not limited to, parabens, chlorobutanol, phenol, sorbic acid, and thimerosal.", "The formulation may also contain an antioxidant to prevent degradation of the active agent(s).", "The formulation is typically buffered to a pH of 3-8 for parenteral administration upon reconstitution.", "Suitable buffers include, but are not limited to, phosphate buffers, acetate buffers, and citrate buffers.", "It is to be noted that FeQ and some of the other compounds as defined in Section III.A of the application are acidic, and so advantageously are formulated with a buffer in order to achieve a suitable pH, particularly in the context of preparing injectable formulation, including formulations for intravenous injection.", "Water-soluble polymers are often used in formulations for parenteral administration.", "Suitable water-soluble polymers include, but are not limited to, polyvinylpyrrolidone, dextran, carboxymethylcellulose, and polyethylene glycol.", "Sterile injectable solutions can be prepared by incorporating the active compounds in the required amount in the appropriate solvent or dispersion medium with one or more of the excipients listed above, as required, followed by filtered sterilization.", "Generally, dispersions are prepared by incorporating the various sterilized active ingredients into a sterile vehicle which contains the basic dispersion medium and the required other ingredients from those listed above.", "In the case of sterile powders for the preparation of sterile injectable solutions, the preferred methods of preparation are vacuum-drying and freeze-drying techniques which yield a powder of the active ingredient plus any additional desired ingredient from a previously sterile-filtered solution thereof.", "The powders can be prepared in such a manner that the particles are porous in nature, which can increase dissolution of the particles.", "Methods for making porous particles are well known in the art.", "a.", "Controlled Release Formulations The parenteral formulations described herein comprising one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention may be formulated for controlled release including immediate release, delayed release, extended release, pulsatile release, and combinations thereof.", "1.Nano- and Microparticles For parenteral administration, the one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention, and optional one or more additional active agents, can be incorporated into microparticles, nanoparticles, or combinations thereof that provide controlled release of the compounds and/or one or more additional active agents.", "In embodiments wherein the formulations contains two or more active components, such as drugs, then they can be formulated for the same type of controlled release (e.g., delayed, extended, immediate, or pulsatile) or they can be independently formulated for different types of release (e.g., immediate and delayed, immediate and extended, delayed and extended, delayed and pulsatile, etc.).", "For example, the compounds and/or one or more additional active agents can be incorporated into polymeric microparticles, which provide controlled release of the active agent(s).", "Release of the active agent (s) is controlled by diffusion of the drug(s) out of the microparticles and/or degradation of the polymeric particles by hydrolysis and/or enzymatic degradation.", "Suitable polymers include ethylcellulose and other natural or synthetic cellulose derivatives.", "Polymers, which are slowly soluble and form a gel in an aqueous environment, such as hydroxypropyl methylcellulose or polyethylene oxide, can also be suitable as materials for drug containing microparticles.", "Other polymers include, but are not limited to, polyanhydrides, poly(ester anhydrides), polyesters, such as polylactide (PLA), polyglycolide (PGA), poly(lactide-co-glycolide) (PLGA), polydioxanone, poly-3-hydroxybutyrate (PHB) and copolymers thereof, poly-4-hydroxybutyrate (P4HB) and copolymers thereof, polycaprolactone and copolymers thereof, polymers including, but not limited to, polymers of glycolic acid, lactic acid, 1,4-dioxanone, trimethylene carbonate, 3-hydroxybutyric acid, 4-hydroxybutyrate, e-caprolactone, including polyglycolic acid, polylactic acid, polydioxanone, polycaprolactone, copolymers of glycolic and lactic acids, such as VICRYL® polymer, MAXON® and MONOCRYL® polymers, and including poly(lactide-co-caprolactones); poly(orthoesters); polyanhydrides; poly(phosphazenes); polyhydroxyalkanoates; synthetically or biologically prepared polyesters; polycarbonates; tyrosine polycarbonates; polyamides (including synthetic and natural polyamides, polypeptides, and poly(amino acids)); polyesteramides; poly(alkylene alkylates); polyethers (such as polyethylene glycol, PEG, and polyethylene oxide, PEO); polyvinyl pyrrolidones or PVP; polyurethanes; polyetheresters; polyacetals; polycyanoacrylates; poly(oxyethylene)/poly(oxypropylene) copolymers; polyacetals, polyketals; polyphosphates; (phosphorous-containing) polymers; polyphosphoesters; polyalkylene oxalates; polyalkylene succinates; poly(maleic acids); silk (including recombinant silks and silk derivatives and analogs); chitin; chitosan; modified chitosan; biocompatible polysaccharides; hydrophilic or water soluble polymers, such as polyethylene glycol, (PEG) or polyvinyl pyrrolidone (PVP), with blocks of other biocompatible or biodegradable polymers, for example, poly(lactide), poly(lactide-co-glycolide, or polycaprolcatone and copolymers thereof, including random copolymers and block copolymers thereof.", "and combinations thereof.", "Alternatively, the active agent can be incorporated into microparticles prepared from materials which are insoluble in aqueous solution or slowly soluble in aqueous solution, but are capable of degrading within the GI tract by means including enzymatic degradation, surfactant action of bile acids, and/or mechanical erosion.", "As used herein, the term “slowly soluble in water” refers to materials that are not dissolved in water within a period of 30 minutes.", "Preferred examples include fats, fatty substances, waxes, wax-like substances and mixtures thereof.", "Suitable fats and fatty substances include fatty alcohols (such as lauryl, myristyl stearyl, cetyl or cetostearyl alcohol), fatty acids and derivatives, including but not limited to fatty acid esters, fatty acid glycerides (mono-, di- and tri-glycerides), and hydrogenated fats.", "Specific examples include, but are not limited to hydrogenated vegetable oil, hydrogenated cottonseed oil, hydrogenated castor oil, hydrogenated oils available under the trade name STEROTEX®, stearic acid, cocoa butter, and stearyl alcohol.", "Suitable waxes and wax-like materials include natural or synthetic waxes, hydrocarbons, and normal waxes.", "Specific examples of waxes include beeswax, glycowax, castor wax, carnauba wax, paraffins and candelilla wax.", "As used herein, a wax-like material is defined as any material, which is normally solid at room temperature and has a melting point of from about 30 to 300° C. In some cases, it may be desirable to alter the rate of water penetration into the microparticles.", "To this end, rate-controlling (wicking) agents can be formulated along with the fats or waxes listed above.", "Examples of rate-controlling materials include certain starch derivatives (e.g., waxy maltodextrin and drum dried corn starch), cellulose derivatives (e.g., hydroxypropylmethyl-cellulose, hydroxypropylcellulose, methylcellulose, and carboxymethyl-cellulose), alginic acid, lactose and talc.", "Additionally, a pharmaceutically acceptable surfactant (for example, lecithin) may be added to facilitate the degradation of such microparticles.", "Proteins, which are water insoluble, such as zein, can also be used as materials for the formation of active agent containing microparticles.", "Additionally, proteins, polysaccharides and combinations thereof, which are water-soluble, can be formulated with drug into microparticles and subsequently cross-linked to form an insoluble network.", "For example, cyclodextrins can be complexed with individual drug molecules and subsequently cross-linked.", "2.Method of Making Nano- and Microparticles Encapsulation or incorporation of active agent, such as the one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention, into carrier materials to produce drug-containing microparticles can be achieved through known pharmaceutical formulation techniques.", "In the case of formulation in fats, waxes or wax-like materials, the carrier material is typically heated above its melting temperature and the active agent is added to form a mixture comprising active agent particles suspended in the carrier material, active agent dissolved in the carrier material, or a mixture thereof.", "Microparticles can be subsequently formulated through several methods including, but not limited to, the processes of congealing, extrusion, spray chilling or aqueous dispersion.", "In a preferred process, wax is heated above its melting temperature, active agent is added, and the molten wax-drug mixture is congealed under constant stirring as the mixture cools.", "Alternatively, the molten wax-drug mixture can be extruded and spheronized to form pellets or beads.", "These processes are known in the art.", "For some carrier materials it may be desirable to use a solvent evaporation technique to produce active agent-containing microparticles.", "In this case active agent and carrier material are co-dissolved in a mutual solvent and microparticles can subsequently be produced by several techniques including, but not limited to, forming an emulsion in water or other appropriate media, spray drying or by evaporating off the solvent from the bulk solution and milling the resulting material.", "In some embodiments, active agent in a particulate form is homogeneously dispersed in a water-insoluble or slowly water soluble material.", "To minimize the size of the active agent particles within the composition, the active agent powder itself may be milled to generate fine particles prior to formulation.", "The process of jet milling, known in the pharmaceutical art, can be used for this purpose.", "In some embodiments active agent in a particulate form is homogeneously dispersed in a wax or wax like substance by heating the wax or wax like substance above its melting point and adding the active agent particles while stirring the mixture.", "In this case a pharmaceutically acceptable surfactant may be added to the mixture to facilitate the dispersion of the active agent particles.", "The particles can also be coated with one or more modified release coatings.", "Solid esters of fatty acids, which are hydrolyzed by lipases, can be spray coated onto microparticles or active agent particles.", "Zein is an example of a naturally water-insoluble protein.", "It can be coated onto active agent containing microparticles or active agent particles by spray coating or by wet granulation techniques.", "In addition to naturally water-insoluble materials, some substrates of digestive enzymes can be treated with cross-linking procedures, resulting in the formation of non-soluble networks.", "Many methods of cross-linking proteins, initiated by both chemical and physical means, have been reported.", "One of the most common methods to obtain cross-linking is the use of chemical cross-linking agents.", "Examples of chemical cross-linking agents include aldehydes (gluteraldehyde and formaldehyde), epoxy compounds, carbodiimides, and genipin.", "In addition to these cross-linking agents, oxidized and native sugars have been used to cross-link gelatin.", "Cross-linking can also be accomplished using enzymatic means; for example, transglutaminase has been approved as a GRAS substance for cross-linking seafood products.", "Finally, cross-linking can be initiated by physical means such as thermal treatment, UV irradiation and gamma irradiation.", "To produce a coating layer of cross-linked protein surrounding active agent containing microparticles or active agent particles, a water-soluble protein can be spray coated onto the microparticles and subsequently cross-linked by the one of the methods described above.", "Alternatively, active agent-containing microparticles can be microencapsulated within protein by coacervation-phase separation (for example, by the addition of salts) and subsequently cross-linked.", "Some suitable proteins for this purpose include gelatin, albumin, casein, and gluten.", "Polysaccharides can also be cross-linked to form a water-insoluble network.", "For many polysaccharides, this can be accomplished by reaction with calcium salts or multivalent cations, which cross-link the main polymer chains.", "Pectin, alginate, dextran, amylose and guar gum are subject to cross-linking in the presence of multivalent cations.", "Complexes between oppositely charged polysaccharides can also be formed; pectin and chitosan, for example, can be complexed via electrostatic interactions.", "b. Injectable/Implantable Formulations The one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention can be incorporated into injectable/implantable solid or semi-solid implants, such as polymeric implants.", "In one embodiment, the compounds are incorporated into a polymer that is a liquid or paste at room temperature, but upon contact with aqueous medium, such as physiological fluids, exhibits an increase in viscosity to form a semi-solid or solid material.", "Exemplary polymers include, but are not limited to, hydroxyalkanoic acid polyesters derived from the copolymerization of at least one unsaturated hydroxy fatty acid copolymerized with hydroxyalkanoic acids.", "The polymer can be melted, mixed with the active substance and cast or injection molded into a device.", "Such melt fabrication require polymers having a melting point that is below the temperature at which the substance to be delivered and polymer degrade or become reactive.", "The device can also be prepared by solvent casting where the polymer is dissolved in a solvent and the drug dissolved or dispersed in the polymer solution and the solvent is then evaporated.", "Solvent processes require that the polymer be soluble in organic solvents.", "Another method is compression molding of a mixed powder of the polymer and the drug or polymer particles loaded with the active agent.", "Alternatively, the compounds can be incorporated into a polymer matrix and molded, compressed, or extruded into a device that is a solid at room temperature.", "For example, the compounds can be incorporated into a biodegradable polymer, such as polyanhydrides, polyhydroalkanoic acids (PHAs), PLA, PGA, PLGA, polycaprolactone, polyesters, polyamides, polyorthoesters, polyphosphazenes, proteins and polysaccharides such as collagen, hyaluronic acid, albumin and gelatin, and combinations thereof and compressed into solid device, such as disks, or extruded into a device, such as rods.", "Further alternative polymers for use in this context include polymers include, but are not limited to, polymers of glycolic acid, lactic acid, 1,4-dioxanone, trimethylene carbonate, 3-hydroxybutyric acid, 4-hydroxybutyrate, e-caprolactone, including polyglycolic acid, polylactic acid, polydioxanone, polycaprolactone, copolymers of glycolic and lactic acids, such as VICRYL® polymer, MAXON® and MONOCRYL® polymers, and including poly(lactide-co-caprolactones); poly(orthoesters); polyanhydrides; poly(phosphazenes); polyhydroxyalkanoates; synthetically or biologically prepared polyesters; polycarbonates; tyrosine polycarbonates; polyamides (including synthetic and natural polyamides, polypeptides, and poly(amino acids)); polyesteramides; poly(alkylene alkylates); polyethers (such as polyethylene glycol, PEG, and polyethylene oxide, PEO); polyvinyl pyrrolidones or PVP; polyurethanes; polyetheresters; polyacetals; polycyanoacrylates; poly(oxyethylene)/poly(oxypropylene) copolymers; polyacetals, polyketals; polyphosphates; (phosphorous-containing) polymers; polyphosphoesters; polyalkylene oxalates; polyalkylene succinates; poly(maleic acids); silk (including recombinant silks and silk derivatives and analogs); chitin; chitosan; modified chitosan; biocompatible polysaccharides; hydrophilic or water soluble polymers, such as polyethylene glycol, (PEG) or polyvinyl pyrrolidone (PVP), with blocks of other biocompatible or biodegradable polymers, for example, poly(lactide), poly(lactide-co-glycolide, or polycaprolcatone and copolymers thereof, including random copolymers and block copolymers thereof.", "The release of the one or more compounds from the implant can be varied by selection of the polymer, the molecular weight of the polymer, and/or modification of the polymer to increase degradation, such as the formation of pores and/or incorporation of hydrolyzable linkages.", "Methods for modifying the properties of biodegradable polymers to vary the release profile of the compounds from the implant are well known in the art.", "2.Enteral Formulations The compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention and may be formulated for enteral administration.", "Suitable oral dosage forms include tablets, capsules, solutions, suspensions, syrups, and lozenges.", "Tablets can be made using compression or molding techniques well known in the art.", "Gelatin or non-gelatin capsules can be prepared as hard or soft capsule shells, which can encapsulate liquid, solid, and semi-solid fill materials, using techniques well known in the art.", "Formulations may be prepared using a pharmaceutically acceptable carrier.", "As generally used herein “carrier” includes, but is not limited to, diluents, preservatives, binders, lubricants, disintegrators, swelling agents, fillers, stabilizers, and combinations thereof.", "Carrier also includes all components of the coating composition, which may include plasticizers, pigments, colorants, stabilizing agents, and glidants.", "Examples of suitable coating materials include, but are not limited to, cellulose polymers such as cellulose acetate phthalate, hydroxypropyl cellulose, hydroxypropyl methylcellulose, hydroxypropyl methylcellulose phthalate and hydroxypropyl methylcellulose acetate succinate; polyvinyl acetate phthalate, acrylic acid polymers and copolymers, and methacrylic resins that are commercially available under the trade name EUDRAGIT® (Roth Pharma, Westerstadt, Germany), zein, shellac, and polysaccharides.", "Additionally, the coating material may contain conventional carriers such as plasticizers, pigments, colorants, glidants, stabilization agents, pore formers and surfactants.", "“Diluents”, also referred to as “fillers,” are typically necessary to increase the bulk of a solid dosage form so that a practical size is provided for compression of tablets or formation of beads and granules.", "Suitable diluents include, but are not limited to, dicalcium phosphate dihydrate, calcium sulfate, lactose, sucrose, mannitol, sorbitol, cellulose, microcrystalline cellulose, kaolin, sodium chloride, dry starch, hydrolyzed starches, pregelatinized starch, silicone dioxide, titanium oxide, magnesium aluminum silicate and powdered sugar.", "“Binders” are used to impart cohesive qualities to a solid dosage formulation, and thus ensure that a tablet or bead or granule remains intact after the formation of the dosage forms.", "Suitable binder materials include, but are not limited to, starch, pregelatinized starch, gelatin, sugars (including sucrose, glucose, dextrose, lactose and sorbitol), polyethylene glycol, waxes, natural and synthetic gums such as acacia, tragacanth, sodium alginate, cellulose, including hydroxypropylmethylcellulose, hydroxypropylcellulose, ethylcellulose, and veegum, and synthetic polymers such as acrylic acid and methacrylic acid copolymers, methacrylic acid copolymers, methyl methacrylate copolymers, aminoalkyl methacrylate copolymers, polyacrylic acid/polymethacrylic acid and polyvinylpyrrolidone.", "“Lubricants” are used to facilitate tablet manufacture.", "Examples of suitable lubricants include, but are not limited to, magnesium stearate, calcium stearate, stearic acid, glycerol behenate, polyethylene glycol, talc, and mineral oil.", "“Disintegrants” are used to facilitate dosage form disintegration or “breakup” after administration, and generally include, but are not limited to, starch, sodium starch glycolate, sodium carboxymethyl starch, sodium carboxymethylcellulose, hydroxypropyl cellulose, pregelatinized starch, clays, cellulose, alginine, gums or cross linked polymers, such as cross-linked PVP (POLYPLASDONE® XL from GAF Chemical Corp).", "“Stabilizers” are used to inhibit or retard drug decomposition reactions, which include, by way of example, oxidative reactions.", "Suitable stabilizers include, but are not limited to, antioxidants, butylated hydroxytoluene (BHT); ascorbic acid, its salts and esters; Vitamin E, tocopherol and its salts; sulfites such as sodium metabisulphite; cysteine and its derivatives; citric acid; propyl gallate, and butylated hydroxyanisole (BHA).", "a.", "Controlled Release Enteral Formulations Oral dosage forms, such as capsules, tablets, solutions, and suspensions, can be formulated for controlled release, for example, for the controlled release of the one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention.", "For example, the one or more compounds and optional one or more additional active agents can be formulated into nanoparticles, microparticles, and combinations thereof, and encapsulated in a soft or hard gelatin or non-gelatin capsule or dispersed in a dispersing medium to form an oral suspension or syrup.", "The particles can be formed of the active agent and a controlled release polymer or matrix.", "Alternatively, the active agent particles can be coated with one or more controlled release coatings prior to incorporation in to the finished dosage form.", "In another embodiment, the one or more compounds and optional one or more additional active agents are dispersed in a matrix material, which gels or emulsifies upon contact with an aqueous medium, such as physiological fluids.", "In the case of gels, the matrix swells entrapping the active agents, which are released slowly over time by diffusion and/or degradation of the matrix material.", "Such matrices can be formulated as tablets or as fill materials for hard and soft capsules.", "In still another embodiment, the one or more compounds, and optional one or more additional active agents are formulated into a sold oral dosage form, such as a tablet or capsule, and the solid dosage form is coated with one or more controlled release coatings, such as a delayed release coatings or extended release coatings.", "The coating or coatings may also contain the compounds and/or additional active agents.", "(1) Extended Release Dosage Forms The extended release formulations are generally prepared as diffusion or osmotic systems, which are known in the art.", "A diffusion system typically consists of two types of devices, a reservoir and a matrix, and is well known and described in the art.", "The matrix devices are generally prepared by compressing the drug with a slowly dissolving polymer carrier into a tablet form.", "The three major types of materials used in the preparation of matrix devices are insoluble plastics, hydrophilic polymers, and fatty compounds.", "Plastic matrices include, but are not limited to, methyl acrylate-methyl methacrylate, polyvinyl chloride, and polyethylene.", "Hydrophilic polymers include, but are not limited to, cellulosic polymers such as methyl and ethyl cellulose, hydroxyalkylcelluloses such as hydroxypropyl-cellulose, hydroxypropylmethylcellulose, sodium carboxymethylcellulose, and CARBOPOL® 934 (cross-linked polyacrylate polymer), polyethylene oxides and mixtures thereof.", "Fatty compounds include, but are not limited to, various waxes such as carnauba wax and glyceryl tristearate and wax-type substances including hydrogenated castor oil or hydrogenated vegetable oil, or mixtures thereof.", "In certain preferred embodiments, the plastic material is a pharmaceutically acceptable acrylic polymer, including but not limited to, acrylic acid and methacrylic acid copolymers, methyl methacrylate, methyl methacrylate copolymers, ethoxyethyl methacrylates, cyanoethyl methacrylate, aminoalkyl methacrylate copolymer, poly(acrylic acid), poly(methacrylic acid), methacrylic acid alkylamine copolymer poly(methyl methacrylate), poly(methacrylic acid)(anhydride), polymethacrylate, polyacrylamide, poly(methacrylic acid anhydride), and glycidyl methacrylate copolymers.", "In certain preferred embodiments, the acrylic polymer is comprised of one or more ammonio methacrylate copolymers.", "Ammonio methacrylate copolymers are well known in the art, and are described in NF XVII as fully polymerized copolymers of acrylic and methacrylic acid esters with a low content of quaternary ammonium groups.", "In one preferred embodiment, the acrylic polymer is an acrylic resin lacquer such as that which is commercially available from Rohm Pharma under the tradename EUDRAGIT®.", "In further preferred embodiments, the acrylic polymer comprises a mixture of two acrylic resin lacquers commercially available from Rohm Pharma under the trade names EUDRAGIT® RL30D and EUDRAGIT® RS30D, respectively.", "EUDRAGIT® RL30D and EUDRAGIT® RS30D are copolymers of acrylic and methacrylic esters with a low content of quaternary ammonium groups, the molar ratio of ammonium groups to the remaining neutral (meth)acrylic esters being 1:20 in EUDRAGIT® RL30D and 1:40 in EUDRAGIT® RS30D.", "The mean molecular weight is about 150,000.EUDRAGIT® S-100 and EUDRAGIT® L-100 are also preferred.", "The code designations RL (high permeability) and RS (low permeability) refer to the permeability properties of these agents.", "EUDRAGIT® RL/RS mixtures are insoluble in water and in digestive fluids.", "However, multiparticulate systems formed to include the same are swellable and permeable in aqueous solutions and digestive fluids.", "The polymers described above such as EUDRAGIT® RL/RS may be mixed together in any desired ratio in order to ultimately obtain a sustained-release formulation having a desirable dissolution profile.", "Desirable sustained-release multiparticulate systems may be obtained, for instance, from 100% EUDRAGIT® RL, 50% EUDRAGIT® RL and 50% EUDRAGIT t® RS, and 10% EUDRAGIT® RL and 90% EUDRAGIT® RS.", "One skilled in the art will recognize that other acrylic polymers may also be used, such as, for example, EUDRAGIT® L. Alternatively, extended release formulations can be prepared using osmotic systems or by applying a semi-permeable coating to the dosage form.", "In the latter case, the desired drug release profile can be achieved by combining low permeable and high permeable coating materials in suitable proportion.", "The devices with different drug release mechanisms described above can be combined in a final dosage form comprising single or multiple units.", "Examples of multiple units include, but are not limited to, multilayer tablets and capsules containing tablets, beads, or granules.", "An immediate release portion can be added to the extended release system by means of either applying an immediate release layer on top of the extended release core using a coating or compression process or in a multiple unit system such as a capsule containing extended and immediate release beads.", "Extended release tablets containing hydrophilic polymers are prepared by techniques commonly known in the art such as direct compression, wet granulation, or dry granulation.", "Their formulations usually incorporate polymers, diluents, binders, and lubricants as well as the active pharmaceutical ingredient.", "The usual diluents include inert powdered substances such as starches, powdered cellulose, especially crystalline and microcrystalline cellulose, sugars such as fructose, mannitol and sucrose, grain flours and similar edible powders.", "Typical diluents include, for example, various types of starch, lactose, mannitol, kaolin, calcium phosphate or sulfate, inorganic salts such as sodium chloride and powdered sugar.", "Powdered cellulose derivatives are also useful.", "Typical tablet binders include substances such as starch, gelatin and sugars such as lactose, fructose, and glucose.", "Natural and synthetic gums, including acacia, alginates, methylcellulose, and polyvinylpyrrolidone can also be used.", "Polyethylene glycol, hydrophilic polymers, ethylcellulose and waxes can also serve as binders.", "A lubricant is necessary in a tablet formulation to prevent the tablet and punches from sticking in the die.", "The lubricant is chosen from such slippery solids as talc, magnesium and calcium stearate, stearic acid and hydrogenated vegetable oils.", "Extended release tablets containing wax materials are generally prepared using methods known in the art such as a direct blend method, a congealing method, and an aqueous dispersion method.", "In the congealing method, the drug is mixed with a wax material and either spray-congealed or congealed and screened and processed.", "(2) Delayed Release Dosage Forms Delayed release formulations can be created by coating a solid dosage form with a polymer film, which is insoluble in the acidic environment of the stomach, and soluble in the neutral environment of the small intestine.", "The delayed release dosage units can be prepared, for example, by coating an active agent or an active agent-containing composition with a selected coating material.", "The active agent-containing composition may be, e.g., a tablet for incorporation into a capsule, a tablet for use as an inner core in a “coated core” dosage form, or a plurality of active agent-containing beads, particles or granules, for incorporation into either a tablet or capsule.", "Preferred coating materials include bioerodible, gradually hydrolyzable, gradually water-soluble, and/or enzymatically degradable polymers, and may be conventional “enteric” polymers.", "Enteric polymers, as will be appreciated by those skilled in the art, become soluble in the higher pH environment of the lower gastrointestinal tract or slowly erode as the dosage form passes through the gastrointestinal tract, while enzymatically degradable polymers are degraded by bacterial enzymes present in the lower gastrointestinal tract, particularly in the colon.", "Suitable coating materials for effecting delayed release include, but are not limited to, cellulosic polymers such as hydroxypropyl cellulose, hydroxyethyl cellulose, hydroxymethyl cellulose, hydroxypropyl methyl cellulose, hydroxypropyl methyl cellulose acetate succinate, hydroxypropylmethyl cellulose phthalate, methylcellulose, ethyl cellulose, cellulose acetate, cellulose acetate phthalate, cellulose acetate trimellitate and carboxymethylcellulose sodium; acrylic acid polymers and copolymers, preferably formed from acrylic acid, methacrylic acid, methyl acrylate, ethyl acrylate, methyl methacrylate and/or ethyl methacrylate, and other methacrylic resins that are commercially available under the tradename EUDRAGIT® (Rohm Pharma; Westerstadt, Germany), including EUDRAGIT® L30D-55 and L100-55 (soluble at pH 5.5 and above), EUDRAGIT® L-100 (soluble at pH 6.0 and above), EUDRAGIT® S (soluble at pH 7.0 and above, as a result of a higher degree of esterification), and EUDRAGITS® NE, RL and RS (water-insoluble polymers having different degrees of permeability and expandability); vinyl polymers and copolymers such as polyvinyl pyrrolidone, vinyl acetate, vinylacetate phthalate, vinylacetate crotonic acid copolymer, and ethylene-vinyl acetate copolymer; enzymatically degradable polymers such as azo polymers, pectin, chitosan, amylose and guar gum; zein and shellac.", "Combinations of different coating materials may also be used.", "Multi-layer coatings using different polymers may also be applied.", "The preferred coating weights for particular coating materials may be readily determined by those skilled in the art by evaluating individual release profiles for tablets, beads and granules prepared with different quantities of various coating materials.", "It is the combination of materials, method and form of application that produce the desired release characteristics, which one can determine only from the clinical studies.", "The coating composition may include conventional additives, such as plasticizers, pigments, colorants, stabilizing agents, glidants, etc.", "A plasticizer is normally present to reduce the fragility of the coating, and will generally represent about 3 wt.", "% to 50 wt.", "%”, or 10 wt % to 50 wt.", "%, relative to the dry weight of the polymer.", "Examples of typical plasticizers include polyethylene glycol, propylene glycol, triacetin, dimethyl phthalate, diethyl phthalate, dibutyl phthalate, dibutyl sebacate, triethyl citrate, tributyl citrate, triethyl acetyl citrate, castor oil and acetylated monoglycerides.", "A stabilizing agent is preferably used to stabilize particles in the dispersion.", "Typical stabilizing agents are nonionic emulsifiers such as sorbitan esters, polysorbates and polyvinylpyrrolidone.", "Glidants are recommended to reduce sticking effects during film formation and drying, and will generally represent approximately 25 wt.", "% to 100 wt.", "% of the polymer weight in the coating solution.", "One effective glidant is talc.", "Other glidants such as magnesium stearate and glycerol monostearates may also be used.", "Pigments such as titanium dioxide may also be used.", "Small quantities of an anti-foaming agent, such as a silicone (e.g., simethicone), may also be added to the coating composition.", "3.Topical Formulations The compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention and may be formulated for topical administration.", "The formulations may contain the one or more compounds discussed above, alone or in combination, in an effective amount to prevent or inhibit biofilm formation on a surface, or reduce the amount of biofilm on a surface being treated.", "1000 colony forming units (cfu) of Campylobacter are enough to infect a human and cause disease in a human.", "Therefore, in one embodiment, an effective amount of the one or more compounds as defined in section III.A of this application is, or are, enough of the compound(s), alone, or in combination with other compounds, to reduce the number of cfu of Campylobacter or other microorganism of interest on the surface being treated to a number that is unlikely to, or which will not, cause infection in humans.", "Suitable dosage forms for topical administration include creams, ointments, salves, sprays, gels, lotions, irrigants, and emulsions.", "“Buffers” are used to control pH of a composition.", "Preferably, the buffers buffer the composition from a pH of about 4 to a pH of about 7.5, more preferably from a pH of about 4 to a pH of about 7, and most preferably from a pH of about 5 to a pH of about 7.In a preferred embodiment, the buffer is triethanolamine.", "“Emollients” are an externally applied agent that softens or soothes skin and are generally known in the art and listed in compendia, such as the “Handbook of Pharmaceutical Excipients”, 4th Ed., Pharmaceutical Press, 2003.These include, without limitation, almond oil, castor oil, ceratonia extract, cetostearoyl alcohol, cetyl alcohol, cetyl esters wax, cholesterol, cottonseed oil, cyclomethicone, ethylene glycol palmitostearate, glycerin, glycerin monostearate, glyceryl monooleate, isopropyl myristate, isopropyl palmitate, lanolin, lecithin, light mineral oil, medium-chain triglycerides, mineral oil and lanolin alcohols, petrolatum, petrolatum and lanolin alcohols, soybean oil, starch, stearyl alcohol, sunflower oil, xylitol and combinations thereof.", "In one embodiment, the emollients are ethylhexylstearate and ethylhexyl palmitate.", "“Emulsifiers” are surface active substances which promote the suspension of one liquid in another and promote the formation of a stable mixture, or emulsion, of oil and water.", "Common emulsifiers are: metallic soaps, certain animal and vegetable oils, and various polar compounds.", "Suitable emulsifiers include acacia, anionic emulsifying wax, calcium stearate, carbomers, cetostearyl alcohol, cetyl alcohol, cholesterol, diethanolamine, ethylene glycol palmitostearate, glycerin monostearate, glyceryl monooleate, hydroxpropyl cellulose, hypromellose, lanolin, hydrous, lanolin alcohols, lecithin, medium-chain triglycerides, methylcellulose, mineral oil and lanolin alcohols, monobasic sodium phosphate, monoethanolamine, nonionic emulsifying wax, oleic acid, poloxamer, poloxamers, polyoxyethylene alkyl ethers, polyoxyethylene castor oil derivatives, polyoxyethylene sorbitan fatty acid esters, polyoxyethylene stearates, propylene glycol alginate, self-emulsifying glyceryl monostearate, sodium citrate dehydrate, sodium lauryl sulfate, sorbitan esters, stearic acid, sunflower oil, tragacanth, triethanolamine, xanthan gum and combinations thereof.", "In one embodiment, the emulsifier is glycerol stearate.", "“Penetration enhancers” are known in the art and include, but are not limited to, fatty alcohols, fatty acid esters, fatty acids, fatty alcohol ethers, amino acids, phospholipids, lecithins, cholate salts, enzymes, amines and amides, complexing agents (liposomes, cyclodextrins, modified celluloses, and diimides), macrocyclics, such as macrocylic lactones, ketones, and anhydrides and cyclic ureas, surfactants, N-methyl pyrrolidones and derivatives thereof, DMSO and related compounds, ionic compounds, azone and related compounds, and solvents, such as alcohols, ketones, amides, polyols (e.g., glycols).", "Examples of these classes are known in the art.", "“Preservatives” can be used to prevent the growth of fungi and microorganisms.", "Suitable antifungal and antimicrobial agents include, but are not limited to, benzoic acid, butylparaben, ethyl paraben, methyl paraben, propylparaben, sodium benzoate, sodium propionate, benzalkonium chloride, benzethonium chloride, benzyl alcohol, cetylpyridinium chloride, chlorobutanol, phenol, phenylethyl alcohol, and thimerosal.", "“Surfactants” are surface-active agents that lower surface tension and thereby increase the emulsifying, foaming, dispersing, spreading and wetting properties of a product.", "Suitable non-ionic surfactants include emulsifying wax, glyceryl monooleate, polyoxyethylene alkyl ethers, polyoxyethylene castor oil derivatives, polysorbate, sorbitan esters, benzyl alcohol, benzyl benzoate, cyclodextrins, glycerin monostearate, poloxamer, povidone and combinations thereof.", "In one embodiment, the non-ionic surfactant is stearyl alcohol.", "a. Emulsions An emulsion is a preparation of one liquid distributed in small globules throughout the body of a second liquid.", "In particular embodiments, the non-miscible components of the emulsion include a lipophilic component and an aqueous component.", "The dispersed liquid is the discontinuous phase, and the dispersion medium is the continuous phase.", "When oil is the dispersed liquid and an aqueous solution is the continuous phase, it is known as an oil-in-water emulsion, whereas when water or aqueous solution is the dispersed phase and oil or oleaginous substance is the continuous phase, it is known as a water-in-oil emulsion.", "Either or both of the oil phase and the aqueous phase may contain one or more surfactants, emulsifiers, emulsion stabilizers, buffers, and other excipients.", "Preferred excipients include surfactants, especially non-ionic surfactants; emulsifying agents, especially emulsifying waxes; and liquid non-volatile non-aqueous materials, particularly glycols such as propylene glycol.", "The oil phase may contain other oily pharmaceutically approved excipients.", "For example, materials such as hydroxylated castor oil or sesame oil may be used in the oil phase as surfactants or emulsifiers.", "The oil phase may consist at least in part of a propellant, such as an HFA propellant.", "Either or both of the oil phase and the aqueous phase may contain one or more surfactants, emulsifiers, emulsion stabilizers, buffers, and other excipients.", "Preferred excipients include surfactants, especially non-ionic surfactants; emulsifying agents, especially emulsifying waxes; and liquid non-volatile non-aqueous materials, particularly glycols such as propylene glycol.", "The oil phase may contain other oily pharmaceutically approved excipients.", "For example, materials such as hydroxylated castor oil or sesame oil may be used in the oil phase as surfactants or emulsifiers.", "A sub-set of emulsions are the self-emulsifying systems.", "These delivery systems are typically capsules (hard shell or soft shell) comprised of the compound dispersed or dissolved in a mixture of surfactant(s) and lipophilic liquids such as oils or other water immiscible liquids.", "When the capsule is exposed to an aqueous environment and the outer gelatin shell dissolves, contact between the aqueous medium and the capsule contents instantly generates very small emulsion droplets.", "These typically are in the size range of micelles or nanoparticles.", "No mixing force is required to generate the emulsion as is typically the case in emulsion formulation processes.", "b. Lotions A lotion can contain finely powdered substances that are insoluble in the dispersion medium through the use of suspending agents and dispersing agents.", "Alternatively, lotions can have as the dispersed phase liquid substances that are immiscible with the vehicle and are usually dispersed by means of emulsifying agents or other suitable stabilizers.", "In one embodiment, the lotion is in the form of an emulsion having a viscosity of between 100 and 1000 centistokes.", "The fluidity of lotions permits rapid and uniform application over a wide surface area.", "Lotions are typically intended to dry on the skin leaving a thin coat of their medicinal components on the skin's surface.", "c. Creams Creams may contain emulsifying agents and/or other stabilizing agents.", "In one embodiment, the formulation is in the form of a cream having a viscosity of greater than 1000 centistokes, typically in the range of 20,000-50,000 centistokes.", "Creams are often time preferred over ointments, as they are generally easier to spread and easier to remove.", "The difference between a cream and a lotion is the viscosity, which is dependent on the amount/use of various oils and the percentage of water used to prepare the formulations.", "Creams are typically thicker than lotions, may have various uses and often one uses more varied oils/butters, depending upon the desired effect upon the skin.", "In a cream formulation, the water-base percentage is about 60-75% and the oil-base is about 20-30% of the total, with the other percentages being the emulsifier agent, preservatives and additives for a total of 100%.", "d. Ointments Examples of suitable ointment bases include hydrocarbon bases (e.g., petrolatum, white petrolatum, yellow ointment, and mineral oil); absorption bases (hydrophilic petrolatum, anhydrous lanolin, lanolin, and cold cream); water-removable bases (e.g., hydrophilic ointment), and water-soluble bases (e.g., polyethylene glycol ointments).", "Pastes typically differ from ointments in that they contain a larger percentage of solids.", "Pastes are typically more absorptive and less greasy than ointments prepared with the same components.", "e. Gels Gels are semisolid systems containing dispersions of small or large molecules in a liquid vehicle that is rendered semisolid by the action of a thickening agent or polymeric material dissolved or suspended in the liquid vehicle.", "The liquid may include a lipophilic component, an aqueous component or both.", "Some emulsions may be gels or otherwise include a gel component.", "Some gels, however, are not emulsions because they do not contain a homogenized blend of immiscible components.", "Suitable gelling agents include, but are not limited to, modified celluloses, such as hydroxypropyl cellulose and hydroxyethyl cellulose; Carbopol homopolymers and copolymers; and combinations thereof.", "Suitable solvents in the liquid vehicle include, but are not limited to, diglycol monoethyl ether; alkylene glycols, such as propylene glycol; dimethyl isosorbide; alcohols, such as isopropyl alcohol and ethanol.", "The solvents are typically selected for their ability to dissolve the compound.", "Other additives, which improve the skin feel and/or emolliency of the formulation, may also be incorporated.", "Examples of such additives include, but are not limited to, isopropyl myristate, ethyl acetate, C12-C15 alkyl benzoates, mineral oil, squalane, cyclomethicone, capric/caprylic triglycerides, and combinations thereof.", "f. Foams Foams consist of an emulsion in combination with a gaseous propellant.", "The gaseous propellant consists primarily of hydrofluoroalkanes (HFAs).", "Suitable propellants include HFAs such as 1,1,1,2-tetrafluoroethane (HFA 134a) and 1,1,1,2,3,3,3-heptafluoropropane (HFA 227), but mixtures and admixtures of these and other HFAs that are currently approved or may become approved for medical use are suitable.", "The propellants preferably are not hydrocarbon propellant gases, which can produce flammable or explosive vapors during spraying.", "Furthermore, the compositions preferably contain no volatile alcohols, which can produce flammable or explosive vapors during use.", "4.Disinfecting and Cleaning Formulations The compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention may be formulated into cleaning formulations.", "The cleaning formulations include formulations that are highly efficacious for household cleaning applications (e.g., hard surfaces like floors, countertops, tubs, tile, dishes and softer cloth materials like clothing, sponges, paper towels, etc.", "), personal care applications (e.g.", "lotions, shower gels, soaps, shampoos, sprays, wipes, toothpaste, acne treatments, skin cleansers, mouthwash, wound irrigation solutions, towelettes, contact lenses and lens cases) and industrial and hospital applications (e.g., antifouling coatings, and disinfection of instruments, medical devices, gloves, filters, membranes, tubing, drains, pipes including gas pipes, oil pipes, drilling pipes, fracking pipes, sewage pipes, drainage pipes, hoses, animal carcasses, fish tanks, showers, children's toys, boat hulls, and cooling towers).", "These formulations are efficacious for cleaning surfaces which are infected or contaminated with biofilm or for preventing the formation of biofilm on these surfaces.", "The compounds can be formulated into a solution in a suitable solvent for administration in a spray bottle, the compounds can be formulated as an aerosol, as a foam, suitable for spraying onto surfaces, or, they can be imbibed into a cloth or other item suitable for wiping down a surface to be disinfected.", "Methods for making formulations for use as a disinfectant in the forms are known in the art.", "One embodiment provides the compounds or a derivative thereof in a composition containing a pH dye indicator and an alkaline substance.", "The pH indicator dye indicates what surface has been disinfected and ensures that a sufficient time has passed to disinfect the surface.", "See for example, U.S.", "Publication No.", "20140057987, which is incorporated by reference in its entirety.", "Cleaning formulations can include the compounds and an acceptable carrier.", "The carrier can be in a wide variety of forms.", "For example, the carrier may be an aqueous-based solution or cleanser, an alcohol-based solution or gel or an emulsion carrier, including, but not limited to, oil-in-water, water-in-oil, water-in-oil-in-water, and oil-in-water-in-silicone emulsions.", "The carrier solution containing the compound(s) can be applied directly to the surface to be treated or delivered via a suitable substrate.", "The cleaning formulations can be formulated for use on the skin.", "In these embodiments the compounds can be formulate in a dermatologically acceptable carrier.", "The dermatologically acceptable carriers can also be, for example, formulated as alcohol or water based hand cleansers, toilet bars, liquid soaps, shampoos, bath gels, hair conditioners, hair tonics, pastes, or mousses.", "Cleaning formulations can contain one or more surfactants.", "The surfactant is suitably selected from anionic, nonionic, zwitterionic, amphoteric and ampholytic surfactants, as well as mixtures of these surfactants.", "Such surfactants are well known to those skilled in the detergency art.", "Non limiting examples of possible surfactants include isoceteth-20, sodium methyl cocoyl taurate, sodium methyl oleoyl taurate, and sodium lauryl sulfate.", "Examples of a broad variety of additional surfactants are described in McCutcheon's Detergents and Emulsifiers.", "North American Edition (1986), published by Allured Publishing Corporation.", "The cleansing formulations can optionally contain, at their art-established levels, other materials which are conventionally used in cleansing formulations.", "Additional carriers suitable for the cleaning formulations may include various substrate-based products.", "In such instances, the present formulations may be impregnated into or onto the substrate products and may be allowed to remain wet or may be subjected to a drying process.", "For instance, suitable carriers include, but are not limited to, dry and wet wipes suitable for personal care and household use (e.g., nonwoven baby wipes, household cleaning wipes, surgical preparation wipes, etc.", "); diapers; infant changing pads; dental floss; personal care and household care sponges or woven cloths (e.g., washcloths, towels, etc.", "); tissue-type products (e.g.", "facial tissue, paper towels, etc.", "); and disposable garments (e.g., gloves, smocks, surgical masks, infant bibs, socks, shoe inserts, etc.).", "Cleaning formulations can be incorporated into various household care products including, but not limited to, hard surface cleaners (e.g., disinfectant sprays, liquids, or powders); dish or laundry detergents (liquid or solid), floor waxes, glass cleaners, etc.", "Exemplary carriers can include aqueous solutions, e.g.", "having from about 0% to about 98.8%, by weight of the composition, of water.", "Additionally, carriers may contain an aqueous alcohol solution.", "The amount of alcohol present in the alcohol solution will vary depending on the type of product in which the composition is incorporated, i.e.", "say a wipe where the preferred amount of alcohol present would be from about 0% to about 25% whereas a hand sanitizer preferably contains from about 60% to about 95%, of alcohol.", "Therefore, suitable dermatologically acceptable alcohol solutions or gels may contain from about 0% to about 95%, by weight of the composition, of an alcohol.", "Alcohols suitable for inclusion in the alcohol solutions of the carrier include, but are not limited to, monohydric alcohols, dihydric alcohols, and combinations thereof.", "More preferred alcohols are selected from the group consisting of monohydric linear or branched C2-C18 alcohols.", "The most preferred alcohols are selected from the group consisting of ethanol, isopropanol, n-propanol, butanol, and combinations thereof.", "The cleaning formulations which contain an alcohol solution may be anhydrous or water containing.", "Thickeners can be added to the water or alcohol based to form a gel.", "Examples of suitable thickeners include, but are not limited to, naturally-occurring polymeric materials such as sodium alginate, xanthan gum, quince seed extract, tragacanth gum, starch and the like, semi-synthetic polymeric materials such as cellulose ethers (e.g.", "hydroxyethyl cellulose, methyl cellulose, carboxymethyl cellulose, hydroxy propylmethyl cellulose), polyvinylpyrrolidone, polyvinylalcohol, guar gum, hydroxypropyl guar gum, soluble starch, cationic celluloses, cationic guars and the like and synthetic polymeric materials such as carboxyvinyl polymers, polyvinylpyrrolidone, polyvinyl alcohol, polyacrylic acid polymers, polymethacrylic acid polymers, polyvinyl acetate polymers, polyvinyl chloride polymers, and polyvinylidene chloride polymers.", "Inorganic thickeners may also be used such as aluminum silicates, such as, for example, bentonites, or a mixture of polyethylene glycol and polyethylene glycol stearate or distearate.", "The cleaning formulations can contain, in addition to the compounds described above, one or more antimicrobial or antifungal agents.", "Such agents are capable of destroying microbes, preventing the development of microbes or preventing the pathogenic action of microbes.", "Examples of additional antimicrobial and antifungal agents include β-lactam drugs, quinolone drugs, ciprofloxacin, norfloxacin, tetracycline, erythromycin, amikacin, 2,4,4′-trichloro-2′-hydroxy diphenyl ether (TRICLOSAN®), phenoxyethanol, phenoxy propanol, phenoxyisopropanol, doxycycline, capreomycin, chlorhexidine, chlortetracycline, oxytetracycline, clindamycin, ethambutol, hexamidine isethionate, metronidazole, pentamidine, gentamicin, kanamycin, lineomycin, methacycline, methenamine, minocycline, neomycin, netilmicin, paromomycin, streptomycin, tobramycin, miconazole, tetracycline hydrochloride, erythromycin, zinc erythromycin, erythromycin estolate, erythromycin stearate, amikacin sulfate, doxycycline hydrochloride, capreomycin sulfate, chlorhexidine gluconate, chlorhexidine hydrochloride, chlortetracycline hydrochloride, oxytetracycline hydrochloride, clindamycin hydrochloride, ethambutol hydrochloride, metronidazole hydrochloride, pentamidine hydrochloride, gentamicin sulfate, kanamycin sulfate, lineomycin hydrochloride, methacycline hydrochloride, methenamine hippurate, methenamine mandelate, minocycline hydrochloride, neomycin sulfate, netilmicin sulfate, paromomycin sulfate, streptomycin sulfate, tobramycin sulfate, miconazole hydrochloride, ketaconazole, amanfadine hydrochloride, amanfadine sulfate, octopirox, parachlorometa xylenol, nystatin, tolnaftate, pyrithiones (especially zinc pyrithione which is also known as ZPT), dimethyldimethylol hydantoin (GLYDANT®), methylchloroisothiazolinone/methylisothiazolinone (KATHON CG®), sodium sulfite, sodium bisulfite, imidazolidinyl urea (Germall 115®), diazolidinyl urea (GERMAILL II®), benzyl alcohol, 2-bromo-2-nitropropane-1,3-diol (BRONOPOL®), formalin (formaldehyde), iodopropenyl butylcarbamate (POLYPHASE P100®), chloroacetamide, methanamine, methyldibromonitrile glutaronitrile (1,2-Dibromo-2,4-dicyanobutane or TEKTAMER®), glutaraldehyde, 5-bromo-5-nitro-1,3-dioxane (BRONIDOX®), phenethyl alcohol, o-phenylphenol/sodium o-phenylphenol, sodium hydroxymethylglycinate (SUTTOCIDE A®), polymethoxy bicyclic oxazolidine (NUOSEPt C®), dimethoxane, thimersal dichlorobenzyl alcohol, captan, chlocphenenesin, dichlorophene, chlorbutanol, glyceryl laurate, halogenated diphenyl ethers like 2,4,4′-trichloro-2′-hydroxy-diphenyl ether (TRICLOSAN® or TCS), 2,2′-dihydroxy-5,5′-dibromo-diphenyl ether, phenolic compounds like phenol, 2-methyl phenol, 3-methyl phenol, 4-methyl phenol, 4-ethyl phenol, 2,4-dimethyl phenol, 2,5-dimethyl pPhenol, 3,4-dimethyl phenol, 2,6-dimethyl phenol, 4-n-propyl phenol, 4-n-butyl phenol, 4-n-amyl phenol, 4-tert-amyl phenol, 4-n-hexyl phenol, 4-n-heptyl phenol, mono- and poly-alkyl and aromatic halophenols such as p-chlorophenol, methyl p-chlorophenol, ethyl p-chlorophenol, n-propyl p-chlorophenol, n-butyl p-chlorophenol, n-amyl p-chlorophenol, sec-amyl p-chlorophenol, n-hexyl p-chlorophenol, cyclohexyl p-chlorophenol, n-heptyl p-chlorophenol, n-octyl p-chlorophenol, o-chlorophenol, methyl o-chlorophenol, ethyl o-chlorophenol, n-propyl o-chlorophenol, n-butyl o-chlorophenol, n-amyl o-chlorophenol, tert-amyl o-chlorophenol, n-hexyl o-chlorophenol, n-heptyl o-chlorophenol, o-benzyl p-chlorophenol, o-benzyl-m-methyl p-chlorophenol, o-benzyl-m, m-dimethyl p-chlorophenol, o-phenylethyl p-chlorophenol, o-phenylethyl-m-methyl p-chlorophenol, 3-methyl p-chlorophenol, 3,5-dimethyl p-chlorophenol, 6-ethy 1-3-methyl p-chlorophenol, 6-n-propyl-3-methyl p-chlorophenol, 6-iso-propyl-3-methyl p-chlorophenol, 2-ethyl-3,5-dimethyl p-chlorophenol, 6-sec-butyl-3-methyl p-chlorophenol, 2-iso-propyl-3,5-dimethyl p-chlorophenol, 6-diethylmethyl-3-methyl p-chlorophenol, 6-iso-propyl-2-ethyl-3-methyl p-chlorophenol, 2-sec-amyl-3,5-dimethyl p-chlorophenol, 2-diethylmethyl-3,5-dimethyl p-chlorophenol, 6-sec-octyl-3-methyl p-chlorophenol, p-chloro-m-cresol, p-bromophenol, methyl p-bromophenol, ethyl p-bromophenol, n-propyl p-bromophenol, n-butyl p-bromophenol, n-amyl p-bromophenol, sec-amyl p-bromophenol, n-hexyl p-bromophenol, cyclohexyl p-bromophenol, o-bromophenol, tert-amyl o-bromophenol, n-hexyl o-bromophenol, n-propyl-m,m-dimethyl o-bromophenol, 2-phenyl phenol, 4-chloro-2-methyl phenol, 4-chloro-3-methyl phenol, 4-chloro-3,5-dimethyl phenol, 2,4-dichloro-3,5-dimethylphenol, 3,4,5,6-terabromo-2-methylphenol, 5-methyl-2-pentylphenol, 4-isopropyl-3-methylphenol, para-chloro-meta-xylenol (PCMX), chlorothymol, 5-chloro-2-hydroxydiphenylmethane, resorcinol and its derivatives including methyl resorcinol, ethyl resorcinol, n-propyl resorcinol, n-butyl resorcinol, n-amyl resorcinol, n-hexyl resorcinol, n-heptyl resorcinol, n-octyl resorcinol, n-nonyl resorcinol, phenyl resorcinol, benzyl resorcinol, phenylethyl resorcinol, phenylpropyl resorcinol, p-chlorobenzyl resorcinol, 5-chloro 2,4-dihydroxydiphenyl methane, 4′-chloro 2,4-dihydroxydiphenyl methane, 5-bromo 2,4-dihydroxydiphenyl methane, and 4′-bromo 2,4-dihydroxydiphenyl methane, bisphenolic compounds like 2,2′-methylene bis (4-chlorophenol), 2,2′-methylene bis (3,4,6-trichlorophenol), 2,2′-methylene bis (4-chloro-6-bromophenol), bis (2-hydroxy-3,5-dichlorophenyl) sulphide, and bis (2-hydroxy-5-chlorobenzyl)sulphide, benzoic esters (parabens) like methylparaben, propylparaben, butylparaben, ethylparaben, isopropylparaben, isobutylparaben, benzylparaben, sodium methylparaben, and sodium propylparaben, halogenated carbanilides (e.g., 3,4,4′-trichlorocarbanilides (TRICLOCARBAN® or TCC), 3-trifluoromethyl-4,4′-dichlorocarbanilide, 3,3′,4-trichlorocarbanilide, etc.", "), cationic actives such as benzalkonium chloride, and clotrimazole.", "Another class of antimicrobial agents (specifically antibacterial agents) which are useful, are the so-called “natural” antibacterial actives, referred to as natural essential oils.", "Typical natural essential oil antibacterial actives include oils of anise, lemon, orange, rosemary, wintergreen, thyme, lavender, cloves, hops, tea tree, citronella, wheat, barley, lemongrass, cedar leaf, cedarwood, cinnamon, fleagrass, geranium, sandalwood, violet, cranberry, eucalyptus, vervain, peppermint, gum benzoin, basil, fennel, fir, balsam, menthol, ocmea origanum, Hydastis carradensis, Berberidaceae daceae, Ratanhiae and Curcuma longa.", "The cleaning formulations may be packaged in a variety of suitable packaging known to those skilled in the art.", "The liquid formulations may desirably be packaged in manually operated spray dispensing containers, which are usually made of synthetic organic polymeric plastic materials.", "Accordingly, disinfecting formulations containing the compounds and packaged in a spray dispenser, preferably in a trigger spray dispenser or a pump spray dispenser, are envisioned.", "Spray-type dispensers allow to uniformly apply to a relatively large area of a surface to be disinfected a liquid cleaning formulations described herein.", "The compounds can be impregnated into a nonwoven absorbent wipe.", "Disinfectant wet wipes are also disclosed for example in U.S. Pat.", "No.", "8,563,017.The compounds can be in an aqueous foam with a special surfactant system capable of generating a foam.", "See U.S. Pat.", "No.", "8,097,265, U.S. Pat.", "No.", "5,891,922 and U.S. Pat.", "No.", "4,889,645.The compounds can also be in a pressurized spray aerosol.", "See also, U.S.", "Publication No.", "20010053333 which discloses a liquid flash-dry aerosol disinfectant composition with a flash vaporization component and an effective amount of an antimicrobial agent.", "It is within the abilities of one of ordinary skill in the art to determine the effective amount of the compounds to include in an aerosol, foam, solution or disinfectant cloth for the purpose of sterilizing for example, high risk hospital surfaces.", "D. Conjugation and Immobilization of Compounds The one or more compounds as defined in section III.A above for use in accordance with any of the first, second or third aspect of the present invention and may be presented as conjugated and/or immobilized compounds.", "The compounds may be conjugated with other agents in order to retain the compounds on surfaces, for example, to prevent biofilm formation on a surface.", "In one embodiment, the compounds may be conjugated to an agent that has affinity for a surface in order to retain the compounds on that surface.", "For example, the compounds may be conjugated to an agent wherein the agent is a polymer or oligomer, and the polymer or oligomer has a high affinity for the surface.", "In another embodiment the compounds may be conjugated to an agent wherein the agent comprises a reactive moiety suitable for anchoring to a surface.", "The reactive moiety may, for example, be photo-reactive, or capable of coupling covalently to a surface.", "The reactive moiety may also incorporate spacers and linkers and other functional groups in order to place the compound in a desired location relative to the surface.", "FIGS.", "15A-C are examples of how FeQ (Fe-QA) may be conjugated to an agent comprising a reactive moiety suitable for anchoring to a surface.", "In each of the three examples, FeQ is conjugated to a calix[4] arene frame that comprises a reactive moiety.", "In FIG.", "15A, FeQ is conjugated via a linker to a calix[4] arene frame that contains a photoreactive functional group.", "FIG.", "15B is a variant of FIG.", "15A which shows that the reactive moiety can be positioned at a different location on the calix[4] arene frame.", "FIG.", "15C is an example of FeQ conjugated to a calix[4] arene frame, wherein the latter is functionalized with thiol groups that are capable of reacting with surfaces.", "It should be understood that different linkers or no linkers may be used, and that other agents may be used instead of the calix[4] arene frame, including cyclodextrins and other polymers and oligomers.", "In yet another embodiment, the compounds may be conjugated to an agent that comprises a substance with an affinity for a surface.", "The agent may incorporate spacers and linkers and other functional groups in order to place the compound in the desired location relative to the surface.", "In one embodiment, the agent contains hydroxyapatite.", "FIGS.", "16 A and B are examples of how FeQ (Fe-QA) may be conjugated via a linker to hydroxyapatite.", "In these examples, the linkers are attached in different positions to one of the quinic acid ligands via a functional group, Y′, and at the other end of the linker are attached to hydroxyapatite (HA) via a second functional group, X′.", "In an alternative embodiment, the HA group in the structures of FIGS.", "16A and B may be replaced with a reactive group that can attach (or be attached) to a surface, such as a photo-reactive compound, isocyanate, hydroxy group, amine, trialkoxysilyl ether, such as a triethoxysilyl ether, or phosphate ester.", "These groups may be attached directly to the polyethylene glycol, or an additional linker inserted between the reactive group and the polyethylene glycol.", "E. Feeds and Feed Supplements In accordance with the first aspect of the present invention, a further embodiment of the present invention provides that the compounds as defined in section III.A can be formulated into growth promoting formulations.", "The one or more compounds may be used, for example, in feed or formula to improve the growth of chicken, for example, a meat-type chicken such as broiler chicken, or an egg-laying chicken such as a pullet or hen, or a breeder chicken, other poultry, such as a turkey, geese, quail, pheasant, or ducks, or livestock such as cattle, sheep, goats, swine, alpaca, banteng, bison, camel, cat, deer, dog, donkey, gayal, guinea pig, horse, llama, mule, rabbit, reindeer, water buffalo, yak, although the skilled person will appreciate that other feeds for animals, including zoo animals, captive animals, game animals, fish (include freshwater and saltwater fish, farmed fish, and ornamental fish), other marine and aquatic animals, including shellfish such as, but not limited to, oysters, mussels, clams, shrimps, prawns, lobsters, crayfish, crabs, cuttlefish, octopus, and squid, domestic animals such as cats and dogs, rodents (such as mice, rats, guinnea pigs, hamsters), and horses, are also provided, as well as any other domestic, wild and farmed animal, including mammals, marine animals, amphibians, birds, reptiles, insects and other invertebrates.", "The one or more compounds may be added to drinking water for any of said animals to improve growth The compounds may be useful in treatment of ponds, tanks, or other aquatic or marine environments containing fish (include freshwater and saltwater fish, farmed fish and ornamental fish), other marine and aquatic animals, including shellfish or crustaceans such as shrimp, oysters, mussels, clams, prawns, lobsters, crayfish, crabs, cuttlefish, octopus and crawfish.", "The one or more compounds may be used alone or in combination with other anti-microbial, bactericidal or bacteriostatic compounds (for example, in accordance with the second or third aspect of the present invention) and/or growth enhancing agents.", "The compounds as defined in section III.A can improve growth performance, and can be used to increase average body weight during growth.", "The compounds can also be used to improve feed conversion ratio.", "In particular, the compounds can be used to decrease the mortality adjusted feed conversion ratios (MFCR).", "The compounds may be used to produce animals with higher average body weight in a given period of time, or may be used to reach a target average body weight in a shorter period of time.", "The compounds may be used to decrease the amount of feed necessary for an animal to attain a target weight.", "In addition, the compounds may be used in stressed environments to improve growth and MFCR.", "These environments include but are not limited to high stocking densities of animals, dirty pen litter, presence of pathogens, presence of Campylobacter and other bacteria, and high temperature environments.", "The compositions are particularly useful in feeds for commercial birds such as chickens, turkeys, pheasants, and ducks.", "Exemplary poultry feeds in which the as one or more compounds defined in section III.A can be included, include poultry feeds that are referred to as “complete” feeds, because they are designed to contain all the protein, energy, vitamins, minerals, and other nutrients necessary for proper growth, egg production, and health of the birds.", "Feeding any other ingredients, mixed with the feed or fed separately, upsets the balance of nutrients in the “complete” feed.", "Feeding additional grain or supplement with the complete poultry feed is not recommended.", "Chickens used in optimized commercial broiler production are typically fed different diets depending upon their age.", "For example, chickens for broiler production may be raised using three diets.", "These diets are typically called a “starter”, “grower” and “finisher”.", "The starter diet may be fed for about the first 10-12 days (typically in the range of 7-14 days).", "This starter diet is followed by the grower diet, which is provided to the broilers for almost 2 weeks (typically from about 11-24 days).", "The finisher diet is used for the remainder of the production period (typically from 24 to 42 days).", "Some broiler houses will use more or less diets (for example 4 diets), and vary the timing of diet changes.", "Broilers are typically harvested between 30 and 42 days, although this time can be longer or shorter.", "Further details and options are discussed above in the context of the first aspect of the present invention.", "F. Treatment to Promote Growth As discussed above in more detail, in the context of the first aspect of the present invention, it has been discovered that the one or more compounds defined in section III.A of this application, above, are particularly useful in promoting growth.", "The compounds may be added to animal feed or animal drinking water in order to promote growth.", "Addition of the compounds to feed or drinking water results in improved growth.", "It has also been discovered that the compounds can be added to animal feed or animal drinking water in order to decrease the mortality adjusted feed conversion ratio.", "Thus it is possible to use the compounds to decrease the amount of feed necessary for an animal to grow.", "The compounds may further be administered with other animal additives, and may be administered in commercial feeds.", "In a preferred embodiment, the compounds are administered in feeds.", "It has also been discovered that the compounds can be administered to animals that are in a stressed environment in order to improve their growth performance.", "In a stressed environment the compounds promote growth that yields animals with higher average body weights.", "The compounds also decrease mortality adjusted feed conversion ratios in stressed environments.", "EXAMPLES The following non-limiting examples are included to demonstrate particular embodiments of the various aspects of the present invention.", "It should be appreciated by those of skill in the art that the techniques disclosed in the examples which follow represent techniques discovered by the inventor to function well in the practice of the invention, and thus can be considered to constitute preferred modes for its practice.", "However, those of skill in the art should, in light of the present disclosure, appreciate that many changes can be made in the specific embodiments which are disclosed and still obtain a like or similar result without departing from the spirit and scope of the invention.", "Example 1.Inhibition of Biofilm Formation on Beads Surface by Enterococcus faecalis NCTC 12697 Using Fe-QA Materials and Methods Bacteria (Enterococcus faecalis NCTC 12697, Staphylococcus epidermidis F1513 and Staphylococcus aureus ATCC 25923) were grown on Brain heart infusion (BHI) passaged to new medium either containing Fe-QA or alone.", "Growth suspensions were prepared at 0.0001 OD/ml and then allowed to grow at 37° C. under normal atmospheric conditions for 24 h in BHI with plastic coated UV beads (Lascells).", "After 48 h, 10 μl suspension was serially diluted 10 fold to 10-3, 10-4, 10-5, 10-6, 10-7, 10-8.For each dilution, 10 μl was spotted on BHI agar plates and colonies counted after 24 h. The beads were also removed washed in PBS before adding to 1 ml PBS.", "After vortex mixing, 10 μl of the cell suspension was serially diluted as above and cell counts carried out.", "Results Enterococcus faecalis causes many of the antibiotic resistant infections in hospitals, a consequence of its inherent resistance to certain antibiotics and of its ability to survive and proliferate in the intestinal tract.", "A Ser/Thr kinase in Enterococcus faecalis is found to mediate antimicrobial resistance.", "Studies have shown that PrkC, a one-component signaling protein containing a eukaryotic-type Ser/Thr kinase domain, allowed for inherent antimicrobial resistance and intestinal persistence of E. faecalis (Kristich, et al., Proc.", "Nat.", "Acad.", "Sci.", "USA, 104(9):3508-3513 (2007)).", "Kristich, et al.", "found that an E. faecalis mutant lacking PrkC grew at a wild-type rate in the absence of antimicrobial stress but showed enhanced sensitivity to cell-envelope-active compounds, including antibiotics that targeted cell-wall biogenesis and bile detergents.", "PrkC regulates physiological processes in E. faecalis that are key to its success as a nosocomial pathogen.", "The effect of Fe-QA on biofilm formation by E. faecalis was tested as described in the materials and methods.", "The data (FIGS.", "1A and 1B) shows that Fe-QA inhibited E. faecalis biofilm formation as measured following treatment of E. faecalis grown on plastic coated UV beads.", "Example 2.Inhibition of Biofilm Formation on Beads Surface by Staphylococcus epidermidis F1513 Using Fe-QA Materials and Methods The effect of Fe-QA on biofilm formation by S. epidermidis F1513 was tested as described in the materials and methods of Example 1.Results The data (FIGS.", "2A and 2B) shows that Fe-QA inhibited S. epidermidis biofilm formation as measured following treatment of S. epidermidis grown on plastic coated UV beads.", "Example 3.Inhibition of Biofilm Formation on Beads Surface by Staphylococcus aureus ATCC 25923 Using Fe-QA The treatment of choice for S. aureus infection is penicillin; in most countries, however, penicillin resistance is extremely common, and first-line therapy is most commonly a penicillinase-resistant β-lactam antibiotic (for example, oxacillin or flucloxacillin).", "Combination therapy with gentamicin may be used to treat serious infections, such as endocarditis, but its use is controversial because of the high risk of damage to the kidneys (Cosgrove, et al., Clin Infect Dis, 48(6):713-721 (2009).", "The duration of treatment depends on the site of infection and on severity.", "Materials and Methods The effect of Fe-QA on biofilm formation by S. aureus was tested as described in the materials and methods of Example 1.Results The data (FIGS.", "3A and 3B) shows that Fe-QA inhibited S. aureus biofilm formation as measured following treatment of S. aureus grown on plastic coated UV beads.", "Example 4.Phenotypic Changes and Inhibition of Campylobacter jejuni NCTC 11168 Binding to Histo-Blood Group Antigens by Fe-QA Materials and Methods Binding of C. jejuni NCTC 11168 to the BgAgs (common ABO histo-blood group antigens), Leb and H-II was measured after growing the bacteria in a medium that has either Fe-QA at 0.34 mM or 3.4 mM.", "The binding is measured by washing away the Fe-Q containing medium prior to testing the bacteria by ELISA as described below.", "Binding was measured after one passage and four passages (4 generations) with Fe-QA included in the medium, and compared to a control without Fe-QA.", "Binding of C. jejuni 11168 to BgAgs (common ABO histo-blood group antigens) that are expressed, for example, on the surfaces of erythrocytes, and the inhibition of this binding by Fe-QA was quantified using the ELISA method described below.", "The ELISA was performed as follows: BSA-BgAg conjugates were obtained from IsoSep, Tullinge, Sweden.", "Coupling of BgAgs to 96-well plates (NUNC Immobilizer Amino) was carried out by the addition of 100 μl BSA-BgAg (5 μg/ml unless stated otherwise) in sodium carbonate buffer to each well.", "Plates were incubated at room temperature for 2 h before unbound reagent was removed by washing three times in PBS-T. All wells were blocked by the addition of 100 ml 1% BSA/PBS and incubated for 2 h at room temperature.", "After further washing in PBS-T, 100 ml of DIG-tagged bacteria (at OD600 of 0.05) were added to each well and incubated overnight at 4° C. Plates were washed three times in PBS-T before 100 ml anti-digoxigenin-POD solution (Roche Diagnostics; 1 in 5000 diluted in 1% BSA/PBS) was added and incubated for 1 h at room temperature.", "Plates were again vigorously washed in PBS-T and color developed by adding 100 μl ABTS substrate (Roche).", "Plates were read with an ELISA reader (Biotek EL800) at an absorbance of 405 nm.", "Specific binding was determined by subtracting the binding of each strain to BSA (typically OD405 0.07-0.09) from the binding to each BSA-BgAg conj ugate.", "Inhibition assays shown in FIG.", "4B were carried out as above but after the removal of the blocking solution, DIG-labeled C. jejuni was pre-incubated for 4 h with an Fe-QA solution (0.34 mM) before being added to each well.", "Results FIG.", "4A shows the binding of C. jejuni to the BgAgs (common ABO histo-blood group antigens), Leb and H-II, after growing the bacteria in a medium that has either Fe-QA at 0.34 mM or 3.4 mM.", "The results show a marked decrease in binding to Leb and H-II particularly in the group that was treated with the higher concentration of Fe-QA (3.4 mM).", "A statistically significant decrease was also found at the lower Fe-QA concentration of 0.34 mM when compared to the control group.", "It is therefore apparent that treating the bacteria with Fe-QA for several generations results in a phenotypic change, and the bacteria lose the ability to bind to the BgAg's permanently.", "FIG.", "4B shows the binding of C. jejuni 11168 to BgAgs (common ABO histo-blood group antigens) that are expressed, for example, on the surfaces of erythrocytes, and the inhibition of this binding by Fe-QA.", "Binding is quantified using the ELISA method described below.", "The bar graphs show binding for the non-treated C. jejuni 11168-NT (NT=non-treated) to BgAgs, and the significant inhibition of binding by pre-incubation of the bacteria with Fe-QA prior to adding the bacteria to the ELISA plate.", "Example 5.Inhibition of Helicobacter pylori CCUG 17875 Attachment to Human Gastric Tissue Materials and Methods The ability of H. pylori CCUG 17875 to bind to samples of human gastric tissue in the presence of Lewis b antigen, Leb, and two concentrations of Fe-QA (1 mM and 0.2 mM) was measured.", "Binding was quantified by fluorescence using H. pylori that had been labeled with fluorescein using FITC (fluorescein isothiocyanate), and human gastric tissue that was embedded in parafilm.", "The bacteria were suspended in blocking buffer (1% BSA in PBS) and applied to re-hydrated histo-sections of human gastric tissue.", "Binding was assessed microscopically, and quantified as the average number of bacteria bound to the tissue.", "Binding of Leb to H. pylori strain 17875 at pH 7.4 was assayed by labeling Leb with radioactive iodine (1-125), mixing the radioactive antigen with H. pylori bacteria, pelletizing the bacteria using a centrifuge, and measuring the radioactivity in the pellet and in the supernatant.", "Any Leb that is bound to the bacteria is quantified by the measurement of radioactivity in the pellet.", "The ratio of the radioactivity in the pellet to the supernatant therefore corresponds to the ratio of Leb bound by the bacteria to that which remains unbound, expressed as bound/free.", "Results The ability of H. pylori to bind to samples of human gastric tissue in the presence of Lewis b antigen, Leb, and two concentrations of Fe-QA (1 mM and 0.2 mM) is shown in FIG.", "5A The results show that the binding of H. pylori to human gastric tissue is significantly reduced in the presence of Leb (10 μg/ml) and Fe-QA at both 1 mM and 0.2 mM concentrations when incubated for 1 hour at room temperature.", "Reduction in bacterial binding was estimated by counting the number of specifically adhered bacteria to the gastric pit region under 200× magnification.", "Fe-QA therefore prevents bacterial attachment of H. pylori to gastric epithelium.", "FIG.", "5B shows the competitive inhibition of Leb binding to H. pylori by Fe-QA as the concentration of Fe-QA is increased.", "The graph is a plot of the ratio of bound/free Leb versus Fe-QA concentration (μM).", "The graph shows that Fe-QA increasingly inhibits binding of the Leb to H. pylori as the amount of Fe-QA is increased.", "Example 6.Fe-QA Prevention of Biofilm Formation by Pseudomonas aeruginosa and Uropathogenic E. coli (UPEC) Materials and Methods Pseudomonas aeruginosa PAO-1, and a clinically isolated uropathogenic Escherichia coli UPEC-536 were routinely grown on either LB (Luria-Bertani, Oxoid, UK) agar plates at 37° C. or in broth at 37° C. with 200 rpm shaking.", "UV-sterilized glass slides were incubated in either 15 mL RPMI-1640 defined medium (Sigma, UK) or 15 mL RPMI-1640 with Fe-QA inoculated with diluted (OD600=0.01) bacteria from overnight cultures at 37° C. with 60 rpm shaking for 72 hours.", "The slides were removed from bacterial culture and washed with 15 mL phosphate buffered saline at room temperature for 5 minutes three times and then rinsed with distilled H2O.", "After washing, the slides were stained with 20 μM SYTO17 dye (Invitrogen, UK) at room temperature for 30 minutes.", "After removing excess staining dye and air-drying, the samples were examined using a Carl Zeiss LSM 700 Laser Scanning Microscope with ZEN 2009 imaging software (Carl Zeiss, Germany).", "The coverage rate of bacteria on the surface was analysed using open source Image J 1.44 software (National Institute of Health, US).", "Results FIG.", "6A shows that Fe-QA (“X”) at 100 μM inhibits the formation of biofilm by Pseudomonas aeruginosa.", "In the absence of Fe-QA, a higher coverage rate was measured for Pseudomonas aeruginosa than in the presence of a 100 μM concentration of Fe-QA.", "FIG.", "6B shows that Fe-QA inhibits the formation of biofilm by Uropathogenic E. coli (UPEC).", "In the absence of Fe-Q (“0 μM), a higher coverage rate is measured for UPEC than in the presence of 0.1 μM, 1 μM, aM and 100 μM concentrations of Fe-QA.", "Example 7.Planktonic Growth of Bacteria in the Presence of Fe-QA Materials and Methods The growth rate of Uropathogenic E. coli UPEC-536 in RPMI-1640 media over a period of 24 hours was compared to the growth rate of UPEC in RPMI-1640 media, but in the presence of 100 μM Fe-QA.", "The growth rate of Pseudomonas aeruginosa in RPMI-1640 media was also compared to the growth rate of Pseudomonas aeruginosa in RPMI-1640 media, but in the presence of 100 μM Fe-QA.", "Results FIG.", "7A is a graph showing the growth rate of UPEC in RPMI-1640 media over a period of 24 hours.", "The growth rate is compared to the growth rate of UPEC in RPMI-1640 media, but in the presence of 100 μM Fe-QA.", "(The optical absorbance of the RPMI-1640 is also shown for reference.)", "The graph demonstrates that Fe-QA does not inhibit the growth of UPEC.", "However, as shown in Example 6 and FIG.", "6B, Fe-QA inhibits biofilm formation.", "Therefore, the inhibition of biofilm formation is not due to bacterial growth inhibition.", "FIG.", "7B is a graph showing the growth rate of Pseudomonas aeruginosa in RPMI-1640 media.", "The growth rate is compared to the growth rate of Pseudomonas aeruginosa in RPMI-1640 media, but in the presence of 100 μM Fe-QA.", "(The optical absorbance of the RPMI-1640 is also shown for reference.)", "The graph demonstrates that Fe-QA does not inhibit the growth of Pseudomonas aeruginosa as was also found for UPEC (above).", "However, as shown in Example 6 and FIG.", "6A, Fe-QA inhibits biofilm formation of Pseudomonas aeruginosa.", "Therefore, the inhibition of biofilm formation is not due to bacterial growth inhibition.", "Example 8.Planktonic Growth Versus Biofilm Growth of C. jejuni MOMP T268G Mutant Materials and Methods A MOMP-T strain of Campylobacter jejuni NCTC 11168 was prepared by mutating T268 of MOMP.", "The T268 of MOMP was replaced with glycine.", "The planktonic growth of the MOMP-T strain of Campylobacter jejuni and its ability to form a biofilm compared to the wild type strain was determined.", "Bacteria (wildtype and the MOMP-T strain) were grown on CCDA selective charcoal agar and then passaged to Mueller-Hinton broth (MHB).", "Growth suspensions were prepared at 0.02 OD/ml and then allowed to grow at 37° C. under atmospheric conditions (5% CO2, 6% O2) for 48 h in MHB with plastic coated UV beads (Lascells).", "After 48 h, 10 μl suspension was serially diluted 10 fold to 10−3, 10−4, 10−5, 10−6, 10−7, and 10−8.For each dilution, 10 μl was spotted on CCDA selective agar plates and colonies counted after 48 h. The beads were also removed and washed in PBS before adding to 1 ml PBS.", "After vortex mixing, 10 μl of the cell suspension was serially diluted as above and cell counts carried out to quantify biofilm formation.", "Results FIG.", "8A shows that mutation of T268 of MOMP does not impact the growth of Campylobacter jejuni relative to wildtype even though it is known that T268 of MOMP is O-glycosylated.", "However, FIG.", "8B shows that mutation of T268 of MOMP does impact biofilm formation.", "The experiment demonstrates that O-glycosylation of MOMP at T268 in Campylobacter is vital for biofilm formation, and the necessity of the MOMP-T268 glycan for aggregation and biofilm formation.", "Example 9.Fe-QA Treatment Makes Antibiotic Resistant Strain of E. coli Lose Resistance to Antibiotics Materials and Methods A kanamycin resistance gene was introduced into the genome of Enteropathogenic E. coli EPEC, (E2348/69), genotype; Wildtype EPEC-O-17:H6 allowing the kanamycin resistant strain of EPEC to grow in the presence of a patch that has been immersed in kanamycin (at a concentration of 50 μg/mL).", "The kanamycin resistant strain of EPEC was then immersed in a patch in a solution of Fe-QA.", "Results Immersing a patch in a solution of Fe-QA, and then placing the patch on a growth plate with the kanamycin resistant strain also had no significant effect on bacterial growth.", "However, immersing the patch in a solution of Fe-QA and kanamycin, and then placing on a growth plate caused the bacteria to fail to grow.", "The experiment demonstrates that Fe-QA has no significant impact on the growth of the bacteria.", "However, Fe-QA makes the bacteria more sensitive to kanamycin even though the bacteria carry a kanamycin resistant gene, and is therefore resistant to the antibiotic.", "The Fe-QA can therefore be used in conjunction with antibiotics to kill or inhibit the growth of antibiotic resistant bacteria.", "FIG.", "9 is a graph that shows the impact on the growth curve of the EPEC kanamycin resistant strain when grown in the presence of: (i) kanamycin (line number 2 from top, (triangles)), (ii) Fe-QA (line number 1, from top), and (iii) kanamycin and Fe-QA (line number 3, from top (squares)).", "The most rapid growth was found when the strain was grown in medium only containing Fe-QA.", "However, a difference was observed between the rate of growth of the strain in the presence of kanamycin versus kanamycin and Fe-QA.", "The double-headed arrow indicates the difference in rates between these two growth conditions.", "The rate of growth of the strain was inhibited in the presence of kanamycin and Fe-Q relative to the rate of growth of the strain just in the presence of kanamycin.", "This is further evidence that Fe-QA can be used in conjunction with antibiotics to kill or inhibit the growth of antibiotic resistant bacteria.", "Example 10.Fe-QA Treatment Makes Antibiotic Resistant Strain of C. jejuni NCYC 11168 Lose Resistance to Antibiotic Materials and Methods A patch immersed in a solution of Fe-QA was placed on a growth plate with wildtype Campylobacter jejuni NCTC 11168 (that does not carry a kanamycin resistance gene).", "The wildtype C. jejuni grew normally, and was not affected by FeQ.", "A patch was also immersed in a solution of kanamycin and Fe-QA, and placed on a growth plate of wildtype C. jejuni.", "The growth of C. jejuni was impacted showing that wildtype C. jejuni is sensitive to the presence of kanamycin.", "The patch experiments were repeated with a Campylobacter jejuni NCTC 11168 strain carrying a kanamycin resistance gene wherein patches were immersed in (i) kanamycin only, (ii) Fe-QA only, and (iii) kanamycin and Fe-QA.", "The growth of the C. jejuni strain carrying the kanamycin resistance gene was not impacted by the patches immersed in (i) kanamycin and (ii) Fe-QA, however, the growth was impacted by the patch that was immersed in both kanamycin and Fe-QA.", "The results further demonstrate that Fe-QA can be used in combination with antibiotics to inhibit or kill antibiotic resistant bacteria.", "Example 11.Fe-Tyr Treatment Makes Antibiotic Resistant Strain of Enteropathogenic E. Coli (EPEC) E2348/69 Lose Resistance to Antibiotic Materials and Methods The impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of (i) gentamicin (1.25 μM), (ii) Fe-Tyr (100 μM), (iii) gentamicin (1.25 μM) and Fe-Tyr (1.25 μM), and (iv) a control with no gentamicin or Fe-Tyr present was determined.", "Results FIG.", "10A is a graph that shows the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of (i) gentamicin (1.25 μM) (grey circles), (ii) Fe-Tyr (100 μM) (grey inverted triangles), (iii) gentamicin (1.25 μM) and Fe-Tyr (1.25 μM) (white upright triangles), and (iv) a control with no gentamicin or Fe-Tyr present (black circles).", "The most rapid growth (see FIG.", "10B) was found when the strain was grown in medium only containing Fe-Tyr, which was comparable to the control (without gentamicin or Fe-Tyr present).", "However, a difference was observed between the rate of growth of the strain in the presence of the antibiotic gentamicin versus gentamicin and Fe-Tyr.", "Thus it was shown that the rate of growth of the strain was inhibited in the presence of gentamicin and Fe-Tyr relative to the rate of growth of the strain just in the presence of gentamicin.", "This is evidence that Fe-Tyr can be used in conjunction with antibiotics to kill or inhibit the growth of antibiotic resistant bacteria.", "Example 12.FeQ (Known Also as “Fe-QA”) Treatment Makes Antibiotic Resistant Strain of Enteropathogenic E. Coli (EPEC) E2348/69 Lose Resistance to Antibiotic Materials and Methods The impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of a fixed concentration of gentamicin (1.25 μM) and an increasing concentration of FeQ versus the strain grown in the presence of only FeQ or only gentamicin, was determined.", "Results FIGS.", "11A-C are graphs that show the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of a fixed concentration of gentamicin (1.25 μM) and an increasing concentration of FeQ (FIG.", "11A: 10 μM, FIG.", "11B: 34 μM and FIG.", "11C: 68 μM) versus the strain grown in the presence of only FeQ or only gentamicin.", "As shown in FIG.", "11D, increasing the concentration of FeQ from 10 μM to 100 μM did not impact the growth rate of the strain.", "However, FIG.", "11E clearly shows that a difference was observed between the rate of growth of the strain in the presence of the antibiotic gentamicin versus the combination of gentamicin and FeQ.", "Thus it was shown the rate of growth of the strain was inhibited in the presence of gentamicin and FeQ relative to the rate of growth of the strain just in the presence of gentamicin.", "This is further evidence that FeQ can be used in conjunction with antibiotics to kill or inhibit the growth of antibiotic resistant bacteria.", "Example 13.FeQ Prevents Attachment of Bacteria to Surfaces Materials and Methods Enteropathogenic E. coli (EPEC) E2348/69 were grown in wells for 48 hours at 37° C. in the presence of FeQ (100 μM), and in the absence of FeQ (as control).", "After 48 hours, the wells were washed in order to remove suspended cells.", "Crystal violet was then added to each well.", "The wells were then washed to remove excess dye.", "A mixture of acetone/ethanol was then added to the wells to re-suspend any cells attached to the plastic surface of the wells, and dissolve any dye present.", "The presence of dye in each well was then quantified by measuring the O.D.", "at 570 nm.", "Results In the absence of FeQ, EPEC binds to the plastic surface and forms a biofilm that is readily detected by dying with crystal violet.", "However, in the presence of FeQ, EPEC is unable to attach to the plastic surface and form a biofilm, and is not detected by adding crystal violet.", "FIG.", "12 shows quantitatively the difference in the attachment of EPEC cells to the plastic well surface in the absence and presence of FeQ by measurement of the optical absorbance of crystal violet that was absorbed by EPEC cells attached to the surface.", "At an FeQ concentration of 100 μM there is little or no attachment of bacterial cells to the surface and no biofilm formation.", "Example 14.FeQ (Known Also as “Fe-QA”) Treatment Makes Antibiotic Resistant Strain of Pseudomonas aeruginosa PAO-1 Lose Resistance to Antibiotic Materials and Methods The impact on the growth curve of an antibiotic resistant clinical isolate of Pseudomonas aeruginosa (PAO-1 Clinical) grown in the presence of kanamycin and FeQ versus the clinical isolate grown in the presence of (i) FeQ, (ii) kanamycin or (iii) without addition of FeQ or kanamycin (control) was assessed.", "Results FIG.", "13 is a graph that shows the impact on the growth curve of an antibiotic resistant clinical isolate of Pseudomonas (PAO-1 Clinical) grown in the presence of kanamycin and FeQ versus the clinical isolate grown in the presence of (i) FeQ, (ii) kanamycin or (iii) without addition of FeQ or kanamycin (control).", "The graph shows a large reduction in the growth rate of the Pseudomonas PAO clinical isolate when kanamycin and FeQ are added to the growth media versus either kanamycin or FeQ alone.", "The example demonstrates that FeQ causes the Pseudomonas clinical isolate to lose its sensitivity to the antibiotic.", "Example 15.Impact of FeQ and Mutation of the Glycosylation Site of the MOMP Protein of Campylobacter jejuni on Protein Expression Materials and Methods In order to determine the role of FeQ and glycosylation on protein expression by Campylobacter, a quantitative proteomic approach was undertaken to determine protein contents upon FeQ treatment of Campylobacter jejuni NCTC 11168 wildtype (WT) and a mutant strain (MOMPT268G), also referred to as MOMP-T, where the O-glycosylation site of MOMP had been disrupted by an amino acid substitution preventing glycosylation of MOMP.", "Protein expression of (i) Campylobacter jejuni 11168 wildtype (WT), (ii) Campylobacter jejuni 11168 MOMP-T (MOMP-T), (iii) Campylobacter jejuni 11168 wildtype (WT) in the presence of FeQ, and (iv) Campylobacter jejuni 11168 MOMP-T in the presence of FeQ were determined using isobaric tags for relative and absolute quantitation (iTRAQ).", "Bacterial proteins were identified using LC-MS/MS and iTRAQ, and functions assigned according to the Gene Ontology classification system.", "A total of 274,533 mass spectra from all samples led to the identification of 626 peptides (i.e.", "420 unique peptides that were assigned to 160 Campylobacter proteins).", "Results Table 1 shows the results of iTRAQ analysis comparing the ratios of protein expression for (a) WT/MOMP-T, (b) WT+FeQ/WT, (c) MOMP-T+FeQ/MOMP-T, and (d) WT+FeQ/MOMP-T+FeQ, wherein (a) is the ratio of Campylobacter jejuni 11168 wildtype to the Campylobacter jejuni 11168 T268G mutant, (b) is the ratio of Campylobacter jejuni 11168 wildtype treated with FeQ to Campylobacter jejuni 11168 wildtype, (c) is the ratio of the Campylobacter jejuni 11168 T268G mutant treated with FeQ to the Campylobacter jejuni 11168 T268G mutant, and (d) is the ratio of Campylobacter jejuni 11168 wildtype treated with FeQ to the Campylobacter jejuni 11168 T268G mutant treated with FeQ.", "TABLE 1 iTRAQ analysis MOMP- WT + FeQ/ # WT/ WT + T + FeQ/ MOMP- MW Cover- # Unique # MOMP-T FeQ/WT MOMP-T T + FeQ Accession Description [kDa] age Proteins Peptides Peptides 114/116 115/114 117/116 115/117 A0A059H879 Molecular chaperone GroEL 57.9 56.51 30 20 24 0.821 1.460 1.222 1.082 OS = Campylobacter jejuni Cj1 GN = groEL PE = 4 SV = 1 - [A0A059H879_CAMJU] A3ZEC2 Elongation factor Tu 43.6 51.63 16 14 15 0.916 0.907 0.945 0.949 OS = Campylobacter jejuni subsp.", "jejuni HB93-13 GN = tuf PE = 3 SV = 1 - [A3ZEC2_CAMJU] H7YTU2 Putative GMC 63.7 27.23 34 11 12 1.494 1.476 1.319 1.894 oxidoreductase subunit OS = Campylobacter jejuni subsp.", "jejuni LMG 23357 GN = cje133_09008 PE = 4 SV = 1 - [H7YTU2_CAMJU] H7YEB5 Nickel-dependent 63.5 30.30 36 9 12 0.585 1.027 0.890 0.818 hydrogenase, large subunit OS = Campylobacter jejuni subsp.", "jejuni LMG 9879 GN = cje120_00450 PE = 3 SV = 1 - [H7YEB5_CAMJU] A0A059GL54 Cytochrome C biogenesis 36.8 34.90 18 9 11 1.162 0.694 0.778 1.118 protein CcsA OS = Campylobacter jejuni 255 GN = L034_08235 PE = 4 SV = 1 - [A0A059GL54_CAMJU] H7ZE54 Chemotaxis protein CheA 85.2 20.03 24 9 13 0.720 1.176 1.034 0.861 OS = Campylobacter jejuni subsp.", "jejuni 2008-1025 GN = cje145_01162 PE = 4 SV = 1 - [H7ZE54_CAMJU] E5Z9V4 Methyl-accepting chemotaxis 71.3 38.73 229 8 21 0.893 1.172 1.049 1.105 protein (MCP) signaling domain protein (Fragment) OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = CSQ_1786 PE = 4 SV = 1 - [E5Z9V4_CAMJU] A0A023WIW7 Fumarate reductase 73.7 19.76 30 8 11 0.865 0.832 0.766 0.992 flavoprotein subunit OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = CJ8421_02050 PE = 4 SV = 1 - [A0A023WIW7_CAMJU] W8JBW7 Cytochrome C 41.4 29.95 25 8 10 0.574 1.116 0.820 0.816 OS = Campylobacter jejuni subsp.", "jejuni NCTC 11168-Kfl GN = N919_05910 PE = 4 SV = 1 - [W8JBW7_CAMJE] A8FJR2 ATP synthase subunit beta 50.8 20.65 14 7 8 0.718 1.106 0.942 1.019 OS = Campylobacter jejuni subsp.", "jejuni serotype O:6 (strain 81116/NCTC 11828) GN = atpD PE = 3 SV = 1 - [ATPB_CAMJ8] Q9PPE0 Probable thiol peroxidase 18.4 60.00 12 7 8 1.159 1.281 1.162 1.446 OS = Campylobacter jejuni subsp.", "jejuni serotype O:2 (strain NCTC 11168) GN = tpx PE = 3 SV = 1 - [TPX_CAMJE] E5ZFX0 Methyl-accepting chemotaxis 41.8 26.39 95 7 8 0.676 1.183 1.061 0.748 protein (MCP) signaling domain protein (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_2003 PE = 4 SV = 1 - [E5ZFX0_CAMJU] H7ZH37 Putative amino-acid 30.9 46.95 29 7 12 0.568 1.623 1.058 0.980 transporter periplasmic solute-binding protein OS = Campylobacter jejuni subsp.", "jejuni 2008-1025 GN = cje145_06564 PE = 4 SV = 1 - [H7ZH37_CAMJU] D2MUR2 Possible bacterioferritin 17.2 69.80 14 7 9 0.766 1.045 0.951 0.939 OS = Campylobacter jejuni subsp.", "jejuni 1336 GN = C1336_000320070 PE = 3 SV = 1 - [D2MUR2_CAMJU] H8BN80 Methyl-accepting chemotaxis 76.1 21.16 79 6 10 0.702 1.106 1.005 0.857 protein (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 87459 GN = cje34_06680 PE = 4 SV = 1 - [H8BN80_CAMJU] W8J4X8 Chemotaxis protein 72.3 36.42 189 6 20 0.873 1.095 1.015 0.994 OS = Campylobacter jejuni subsp.", "jejuni NCTC 11168-Kf1 GN = N919_00720 PE = 4 SV = 1 - [W8J4X8_CAMJE] A0A059H748 Formate dehydrogenase 82.3 12.08 36 6 7 1.311 0.622 0.795 1.077 OS = Campylobacter jejuni Cj2 GN = N215_08730 PE = 4 SV = 1 - [A0A059H748_CAMJU] A0A059GQU9 Cytochrome C 69.2 15.90 39 6 8 0.785 0.882 0.892 0.817 OS = Campylobacter jejuni 255 GN = L034_04325 PE = 4 SV = 1 - [A0A059GQU9_CAMJU] Q9PI32 50S ribosomal protein L7/L12 13.1 48.00 12 5 5 0.555 1.156 0.899 0.849 OS = Campylobacter jejuni subsp.", "jejuni serotype O:2 (strain NCTC 11168) GN = rplL PE = 3 SV = 1 - [RL7_CAMJE] N4Y7C8 ATP synthase subunit alpha 52.0 20.21 26 5 9 0.943 0.985 1.042 1.145 OS = Campylobacter jejuni subsp.", "jejuni ICDCCJ07004 GN = atpA PE = 3 SV = 1 - [N4Y7C8_CAMJU] W2ANI8 Chemotaxis protein 70.2 35.36 175 5 19 0.557 1.240 0.923 0.655 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 81-176- UMCW7 GN = X909_03500 PE = 4 SV = 1 - [W2ANI8_CAMJJ] A0A059GKB1 Uncharacterized protein 20.5 42.63 20 5 7 1.416 0.969 0.688 2.228 OS = Campylobacter jejuni 30286 GN = N196_05010 PE = 4 SV = 1 - [A0A059GKB1_CAMJU] E5Z8A4 PPIC-type PPIASE domain 29.4 29.66 23 5 7 1.657 1.318 1.852 1.268 protein OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = CSQ_1024 PE = 4 SV = 1 - [E5Z8A4_CAMJU] E5ZDN6 Periplasmic nitrate 99.4 13.13 23 5 10 1.247 0.694 0.745 1.406 reductase, large subunit (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = napA PE = 3 SV = 1 - [E5ZDN6_CAMJU] A0A059GE96 Inosine-5-monophosphate 52.1 23.09 28 5 8 1.060 1.048 1.203 0.976 dehydrogenase OS = Campylobacter jejuni 10186 GN = N194_07695 PE = 4 SV = 1 - [A0A059GE96_CAMJU] A0A059GIX6 Pyruvate-flavodoxin 131.3 6.32 34 5 6 1.155 0.905 0.998 1.104 oxidoreductase OS = Campylobacter jejuni 10186 GN = N194_00565 PE = 4 SV = 1 - [A0A059GIX6_CAMJU] A0A059H231 DNA-directed RNA 155.5 4.29 34 5 5 1.143 1.013 1.208 1.014 polymerase subunit beta OS = Campylobacter jejuni Cj5 GN = N213_08515 PE = 4 SV = 1 - [A0A059H231_CAMJU] Q3HR22 Putative ATP/GTP binding 33.8 23.76 33 5 6 0.551 1.156 0.934 0.700 protein (Fragment) OS = Campylobacter jejuni PE = 4 SV = 1 - [Q3HR22_CAMJU] A8FNQ7 DNA-directed RNA 37.7 18.10 10 4 6 0.858 0.993 0.931 1.056 polymerase subunit alpha OS = Campylobacter jejuni subsp.", "jejuni serotype O:6 (strain 81116/NCTC 11828) GN = rpoA PE = 3 SV = 1 - [RPOA_CAMJ8] D3FK01 Alkyl hydroperoxide reductase 22.0 36.87 6 4 5 1.141 1.110 1.085 1.255 OS = Campylobacter jejuni subsp.", "jejuni (strain IA3902) GN = ahpC PE = 4 SV = 1 - [D3FK01_CAMJI] A0A059GHU6 Lipoprotein 17.8 33.94 10 4 5 0.520 1.095 0.681 0.994 OS = Campylobacter jejuni 30286 GN = N196_08005 PE = 4 SV = 1 - [A0A059GHU6_CAMJU] H8BUP1 Putative oxidoreductase 26.0 25.64 14 4 4 1.497 1.388 1.418 1.468 subunit OS = Campylobacter jejuni subsp.", "jejuni 140-16 GN = cje4_08690 PE = 4 SV = 1 - [H8BUP1_CAMJU] A0A059GU70 Serine protease 51.0 15.04 31 4 6 1.548 1.469 1.572 1.562 OS = Campylobacter jejuni 30318 GN = N212_01200 PE = 4 SV = 1 - [A0A059GU70_CAMJU] D3FN39 Bipartate energy taxis 19.3 24.85 19 4 4 0.375 2.172 1.256 0.740 response protein cetB OS = Campylobacter jejuni subsp.", "jejuni (strain IA3902) GN = cetB PE = 4 SV = 1 - [D3FN39_CAMJI] A0A059I201 Chemotaxis protein CheY 34.8 18.77 15 4 4 0.820 1.034 0.965 0.955 (Fragment) OS = Campylobacter jejuni K5 GN = N218_00260 PE = 4 SV = 1 - [A0A059I201_CAMJU] E5ZAL2 Ketol-acid reductoisomerase 36.7 16.27 24 3 4 0.851 1.126 1.009 1.019 OS = Campylobacter jejuni subsp.", "jejuni 305 GN = ilvC PE = 3 SV = 1 - [E5ZAL2_CAMJU] H7Y518 Major outer membrane 45.6 30.90 215 3 10 0.109 0.832 0.840 0.121 protein OS = Campylobacter jejuni subsp.", "jejuni LMG 23269 GN = cje114_01222 PE = 4 SV = 1 - [H7Y518_CAMJU] D6BWG0 Fibronectin-binding protein 23.4 17.54 32 3 4 0.478 1.008 0.784 0.678 (Fragment) OS = Campylobacter jejuni GN = cadF PE = 3 SV = 1 - [D6BWG0_CAMJU] N4Y4W1 Fumarate reductase iron-sulfur 24.9 24.77 11 3 4 0.737 0.776 0.716 0.868 subunit OS = Campylobacter jejuni subsp.", "jejuni ICDCCJ07004 GN = H741_1738 PE = 4 SV = 1 - [N4Y4W1_CAMJU] A0A059HYE2 50S ribosomal protein L5 19.7 26.55 16 3 4 0.880 0.878 1.078 0.736 (Fragment) OS = Campylobacter jejuni K5 GN = N218_12355 PE = 4 SV = 1 - [A0A059HYE2_CAMJU] T2D4H6 Nitrogen fixation protein NifU 32.4 19.73 22 3 5 1.099 1.379 1.207 1.364 OS = Campylobacter jejuni subsp.", "jejuni 00-2544 GN = N755_00276 PE = 3 SV = 1 - [T2D4H6_CAMJU] H7X7T5 Non-heme iron protein 17.0 48.99 17 3 6 1.198 0.956 0.748 1.957 OS = Campylobacter jejuni subsp.", "jejuni 51494 GN = cje10_07457 PE = 4 SV = 1 - [H7X7T5_CAMJU] A0A059I263 50S ribosomal protein L13 15.7 30.50 9 3 4 0.784 0.864 0.928 0.765 OS = Campylobacter jejuni K5 GN = N218_00710 PE = 4 SV = 1 - [A0A059I263_CAMJU] A0A059GLY8 O-acetylhomoserine 46.6 10.64 25 3 3 0.531 1.165 1.089 0.666 aminocarboxypropyltransferase OS = Campylobacter jejuni 30286 GN = N196_03230 PE = 4 SV = 1 - [A0A059GLY8_CAMJU] E5ZGX0 30S ribosomal protein S1 60.1 6.58 39 3 3 1.167 0.899 0.966 1.180 OS = Campylobacter jejuni subsp.", "jejuni 327 GN = CSU_0110 PE = 3 SV = 1 - [E5ZGX0_CAMJU] A0A059HDM4 Ferritin OS = Campylobacter 19.5 28.74 7 3 4 0.679 1.225 1.263 0.729 jejuni Cj1 GN = N214_07950 PE = 4 SV = 1 - [A0A059HDM4_CAMJU] A0A059H490 Capsule biosynthesis protein 42.5 9.68 37 3 3 0.848 1.123 1.000 1.034 OS = Campylobacter jejuni 30318 GN = N212_06885 PE = 4 SV = 1 - [A0A059H490_CAMJU] A0A059H487 Cytochrome Cbb3 31.1 12.54 14 3 3 0.605 0.898 0.814 0.799 OS = Campylobacter jejuni Cj5 GN = N213_05015 PE = 4 SV = 1 - [A0A059H487_CAMJU] A0A059H9K5 Uncharacterized protein 16.1 24.31 21 3 3 1.324 1.130 1.047 1.527 OS = Campylobacter jejuni Cj1 GN = N214_00085 PE = 4 SV = 1 - [A0A059H9K5_CAMJU] E6RSV9 Putative periplasmic protein 26.4 21.03 39 3 4 0.734 1.145 1.044 0.897 OS = Campylobacter jejuni subsp.", "jejuni (strain S3) GN = CJS3_0034 PE = 4 SV = 1 - [E6RSV9_CAMJS] H7ZHL3 Thioredoxin 11.3 39.42 3 3 3 1.049 1.096 1.010 1.208 OS = Campylobacter jejuni subsp.", "jejuni 2008-1025 GN = cje145_07496 PE = 3 SV = 1 - [H7ZHL3_CAMJU] A5KGI0 Trigger factor 50.9 9.26 32 3 4 1.196 0.959 1.622 0.690 OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = tig PE = 3 SV = 1 - [A5KGI0_CAMJU] A1VYF9 Acyl carrier protein 8.6 44.16 5 2 3 0.834 1.042 0.962 0.954 OS = Campylobacter jejuni subsp.", "jejuni serotype O:23/36 (strain 81-176) GN = acpP PE = 3 SV = 1 - [ACP_CAMJJ] A7H623 Protein RecA 37.0 8.75 13 2 2 0.333 0.827 1.507 0.199 OS = Campylobacter jejuni subsp.", "doylei (strain ATCC BAA-1458/RM4099/269.97) GN = recA PE = 3 SV = 1 - [RECA_CAMJD] A7H646 50S ribosomal protein L14 13.3 15.57 4 2 2 1.392 0.788 1.197 0.996 OS = Campylobacter jejuni subsp.", "doylei (strain ATCC BAA-1458/RM4099/269.97) GN = rplN PE = 3 SV = 1 - [RL14_CAMJD] A8FJQ0 50S ribosomal protein L27 9.3 32.14 4 2 2 0.427 0.991 0.987 0.466 OS = Campylobacter jejuni subsp.", "jejuni serotype O:6 (strain 81116/NCTC 11828) GN = rpmA PE = 3 SV = 1 - [RL27_CAMJ8] A0A059GI82 Preprotein translocase subunit 98.0 2.67 39 2 2 1.461 1.058 1.347 1.299 SecA OS = Campylobacter jejuni 10186 GN = N194_01400 PE = 4 SV = 1 - [A0A059GI82_CAMJU] R4VJ36 Methyl-accepting chemotaxis 40.5 9.89 68 2 3 1.356 0.726 0.954 1.120 protein (Fragment) OS = Campylobacter jejuni PE = 4 SV = 1 - [R4VJ36_CAMJU] E5ZGP1 Translation initiation factor IF- 74.4 3.52 45 2 2 1.518 0.950 1.416 1.106 2 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = infB PE = 3 SV = 1 - [E5ZGP1_CAMJU] A0A059HWV0 Molecular chaperone DnaK 44.2 6.80 30 2 2 1.115 1.065 1.140 1.161 (Fragment) OS = Campylobacter jejuni K5 GN = dnaK PE = 4 SV = 1 - [A0A059HWV0_CAMJU] D2MY32 DNA-binding protein HU 10.3 58.16 7 2 4 0.523 1.053 0.764 0.782 OS = Campylobacter jejuni subsp.", "jejuni 414 GN = C414_000220094 PE = 3 SV = 1 - [D2MY32_CAMJU] E5Z911 10 kDa chaperonin (Fragment) 8.5 33.33 11 2 2 0.833 1.334 1.307 0.937 OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = CSQ_1483 PE = 3 SV = 1 - [E5Z911_CAMJU] A5KF33 DNA-directed RNA 71.4 5.35 39 2 3 1.072 0.852 1.198 0.829 polymerase OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = Cj8486_0470 PE = 3 SV = 1 - [A5KF33_CAMJU] A0A059GK15 Chemotaxis protein CheY 25.5 10.76 11 2 2 1.082 1.172 0.861 1.497 OS = Campylobacter jejuni 30286 GN = N196_06275 PE = 4 SV = 1 - [A0A059GK15_CAMJU] H7X9T0 Putative periplasmic 10.8 26.00 9 2 3 2.195 0.784 0.518 3.608 cytochrome C OS = Campylobacter jejuni subsp.", "jejuni LMG 23216 GN = cje100_00683 PE = 4 SV = 1 - [H7X9T0_CAMJU] H8A1L3 Succinyl-CoA ligase [ADP- 41.8 10.85 31 2 4 1.598 0.731 0.879 1.443 forming] subunit beta OS = Campylobacter jejuni subsp.", "jejuni 1997-1 GN = sucC PE = 3 SV = 1 - [H8A1L3_CAMJU] E5ZK16 Aspartate ammonia-lyase 51.5 18.24 28 2 7 2.064 0.774 1.001 1.821 OS = Campylobacter jejuni subsp.", "jejuni 327 GN = aspA PE = 4 SV = 1 - [E5ZK16_CAMJU] H8AV03 Flavodoxin 17.1 46.63 15 2 5 0.869 1.453 0.941 1.505 OS = Campylobacter jejuni subsp.", "jejuni 1997-11 GN = cje23_01298 PE = 3 SV = 1 - [H8AV03_CAMJU] E6S147 Heat shock protein HtpG 43.4 8.49 38 2 2 1.211 0.971 1.132 1.129 OS = Campylobacter jejuni subsp.", "jejuni serotype HS:41 (strain ICDCCJ07001) GN = ICDCCJ07001_468 PE = 4 SV = 1 - [E6S147_CAMJC] T2DA57 Biotin sulfoxide reductase 83.5 4.83 24 2 3 1.297 0.947 1.394 0.957 OS = Campylobacter jejuni subsp.", "jejuni 00-2538 GN = N565_00245 PE = 3 SV = 1 - [T2DA57_CAMJU] W2AJL6 Hemolysin D 35.9 7.29 29 2 2 0.923 1.075 1.067 1.011 OS = Campylobacter jejuni subsp.", "jejuni 81-176-DRH212 GN = X908_07140 PE = 4 SV = 1 - [W2AJL6_CAMJJ] A0A059HWP5 50S ribosomal protein L6 19.6 13.48 11 2 2 0.685 0.939 0.944 0.740 OS = Campylobacter jejuni 20176 GN = N195_02450 PE = 4 SV = 1 - [A0A059HWP5_CAMJU] A0A059I3A1 Bifunctional aconitate 68.5 7.91 41 2 4 1.215 1.015 0.960 1.395 hydratase 2/2-methylisocitrate dehydratase (Fragment) OS = Campylobacter jejuni K5 GN = N218_00085 PE = 4 SV = 1 - [A0A059I3A1_CAMJU] E5Z763 Histidine-binding protein 16.6 12.00 25 2 2 0.536 1.228 0.916 0.781 OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = CSQ_0818 PE = 4 SV = 1 - [E5Z763_CAMJU] N4Y795 Periplasmic nitrate reductase, 18.5 23.08 14 2 2 1.045 0.800 0.679 1.337 electron transfer subunit OS = Campylobacter jejuni subsp.", "jejuni ICDCCJ07004 GN = H741_0553 PE = 3 SV = 1 - [N4Y795_CAMJU] E5ZDN8 Major antigenic peptide PEB2 23.4 11.06 24 2 2 1.820 0.919 1.187 1.624 OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_1183 PE = 4 SV = 1 - [E5ZDN8_CAMJU] A0A023WJ10 Multifunctional 53.7 7.87 41 2 3 2.246 0.792 1.851 1.044 aminopeptidase A OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = CJ8421_04595 PE = 4 SV = 1 - [A0A023WJ10_CAMJU] E5Z8E0 Ubiquinol-cytochrome c 17.0 26.75 18 2 3 0.661 1.010 0.843 0.861 reductase, iron-sulfur subunit OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = petA PE = 4 SV = 1 - [E5Z8E0_CAMJU] A3ZFB9 Protein TolB (Fragment) 37.1 7.51 20 2 2 1.168 0.974 1.030 1.200 OS = Campylobacter jejuni subsp.", "jejuni HB93-13 GN = CJJHB9313_0128 PE = 4 SV = 1 - [A3ZFB9_CAMJU] E5ZCI8 Cytochrome c oxidase, cbb3- 21.0 15.14 11 2 2 0.654 0.917 0.830 0.766 type, subunit II (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = ccoO PE = 4 SV = 1 - [E5ZCI8_CAMJU] E5ZDB1 UDP-N-acetylglucosamine 1- 43.3 6.47 29 2 2 1.339 0.913 1.188 1.119 carboxyvinyltransferase (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = murA PE = 3 SV = 1 - [E5ZDB1_CAMJU] A8FP13 50S ribosomal protein L29 7.0 11.48 4 1 1 OS = Campylobacter jejuni subsp.", "jejuni serotype O:6 (strain 81116/NCTC 11828) GN = rpmC PE = 3 SV = 1 - [RL29_CAMJ8] A7H1N3 50S ribosomal protein L31 7.3 15.38 4 1 1 1.075 0.960 1.247 0.899 OS = Campylobacter jejuni subsp.", "doylei (strain ATCC BAA-1458/RM4099/269.97) GN = rpmE PE = 3 SV = 1 - [RL31_CAMJD] E7G1N6 30S ribosomal protein S12 13.4 13.93 6 1 2 1.278 0.572 0.758 1.051 OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = rpsL PE = 3 SV = 1 - [E7G1N6_CAMJU] E5ZKB7 Methyl-accepting chemotaxis 66.0 3.42 77 1 2 1.419 1.018 1.069 1.467 protein (MCP) signaling domain protein OS = Campylobacter jejuni subsp.", "jejuni 327 GN = CSU_1383 PE = 4 SV = 1 - [E5ZKB7_CAMJU] H7Y9X9 50S ribosomal protein L25 19.5 12.36 8 1 2 OS = Campylobacter jejuni subsp.", "jejuni 55037 GN = rplY PE = 3 SV = 1 - [H7Y9X9_CAMJU] E5Z7S7 HAD-superfamily hydrolase, 114.6 1.54 2 1 1 1.275 0.867 1.201 1.000 subfamily IA, variant 1 family protein OS = Campylobacter jejuni subsp.", "jejuni DFVF1099 GN = CSQ_1071 PE = 4 SV = 1 - [E5Z7S7_CAMJU] A0A059HMD1 Membrane protein 45.7 23.29 114 1 8 0.224 0.963 0.840 0.279 OS = Campylobacter jejuni Cj2 GN = N215_00405 PE = 4 SV = 1 - [A0A059HMD1_CAMJU] A0A059GML6 Thiamine biosynthesis 47.4 2.33 29 1 1 1.462 0.787 1.142 1.095 protein ThiC OS = Campylobacter jejuni 255 GN = L034_06270 PE = 4 SV = 1 - [A0A059GML6_CAMJU] A0A059GQ49 NADH dehydrogenase 24.9 6.57 8 1 1 0.793 0.891 0.886 0.866 OS = Campylobacter jejuni 10186 GN = N194_04245 PE = 4 SV = 1 - [A0A059GQ49_CAMJU] D2MZ94 Fibronectin type III domain 45.2 3.74 26 1 2 protein OS = Campylobacter jejuni subsp.", "jejuni 414 GN = C414_000260115 PE = 4 SV = 1 - [D2MZ94_CAMJU] A0A059HT33 30S ribosomal protein S6 12.5 6.60 9 1 1 0.857 1.009 1.187 0.792 (Fragment) OS = Campylobacter jejuni K5 GN = N218_16365 PE = 4 SV = 1 - [A0A059HT33_CAMJU] A0A059HR90 Superoxide dismutase 24.8 14.09 15 1 3 OS = Campylobacter jejuni K1 GN = N217_00445 PE = 4 SV = 1 - [A0A059HR90_CAMJU] H7ZK03 ATP-dependent chaperone 31.6 7.39 49 1 2 1.019 1.107 1.339 0.915 protein ClpB (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 2008-894 GN = cje146_02596 PE = 4 SV = 1 - [H7ZK03_CAMJU] I6YES0 Flagellin A (Fragment) 58.9 22.24 355 1 10 0.477 0.897 0.908 0.512 OS = Campylobacter jejuni GN = flaA PE = 4 SV = 1 - [I6YES0_CAMJU] H7QVG3 DNA-binding response 34.4 2.03 2 1 1 3.811 0.308 1.290 0.990 regulator, putative OS = Campylobacter coli 111- 3 GN = cco1_05089 PE = 4 SV = 1 - [H7QVG3_CAMCO] A0A059HJZ0 Uncharacterized protein 13.9 13.22 9 1 1 1.048 0.911 1.037 1.000 OS = Campylobacter jejuni Cj2 GN = N215_00975 PE = 4 SV = 1 - [A0A059HJZ0_CAMJU] A3YTA0 Ribosomal protein L3 18.6 10.00 9 1 2 0.614 0.838 0.685 0.816 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 260.94 GN = rplC PE = 4 SV = 1 - [A3YTA0_CAMJU] A3ZGX1 Flagellin subunit protein 59.2 19.06 241 1 8 2.832 0.535 0.869 1.896 FlaB OS = Campylobacter jejuni subsp.", "jejuni 84-25 GN = flaB PE = 4 SV = 1 - [A3ZGX1_CAMJU] H7QW70 RNA polymerase sigma factor 72.7 1.13 16 1 1 1.195 1.023 1.175 1.130 RpoD OS = Campylobacter coli 111-3 GN = rpoD PE = 3 SV = 1 - [H7QW70_CAMCO] A0A059GGJ8 Aspartate ammonia-lyase 51.7 19.23 11 1 8 2.534 0.638 0.947 1.855 OS = Campylobacter jejuni 10186 GN = aspA PE = 4 SV = 1 - [A0A059GGJ8_CAMJU] A0A059GN06 50S ribosomal protein L1 25.0 10.30 4 1 2 0.724 1.063 0.790 1.058 OS = Campylobacter jejuni 255 GN = L034_06405 PE = 4 SV = 1 - [A0A059GN06_CAMJU] A0A023WJ77 2-oxoglutarate-acceptor 41.1 10.96 20 1 2 1.317 1.251 1.456 1.230 oxidoreductase subunit OorA OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = oorA PE = 4 SV = 1 - [A0A023WJ77_CAMJU] H7XU40 Putative transmembrane 30.7 9.71 32 1 3 protein OS = Campylobacter jejuni subsp.", "jejuni 60004 GN = cje11_00070 PE = 4 SV = 1 - [H7XU40_CAMJU] H7XPE7 Uncharacterized protein 28.2 2.81 27 1 1 0.602 1.000 0.949 0.689 OS = Campylobacter jejuni subsp.", "jejuni LMG 23263 GN = cje109_01311 PE = 4 SV = 1 - [H7XPE7_CAMJU] H7YV89 Uncharacterized protein 14.7 7.20 27 1 1 0.825 0.963 0.972 0.888 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni ATCC 33560 GN = cje135_02523 PE = 4 SV = 1 - [H7YV89_CAMJU] A0A059HSX2 Membrane protein 17.7 6.04 11 1 1 0.672 1.062 0.884 0.877 OS = Campylobacter jejuni 20176 GN = N195_04100 PE = 4 SV = 1 - [A0A059HSX2_CAMJU] A5KI22 ATP synthase F1 sector 27.7 12.81 22 1 3 0.666 1.217 0.853 1.033 gamma subunit OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = Cj8486_0101 PE = 4 SV = 1 - [A5KI22_CAMJU] A0A059I444 Branched-chain amino acid 32.7 6.80 18 1 2 aminotransferase (Fragment) OS = Campylobacter jejuni K5 GN = N218_11890 PE = 4 SV = 1 - [A0A059I444_CAMJU] E5ZGJ6 Delta-aminolevulinic acid 34.3 2.89 27 1 1 dehydratase OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_2245 PE = 3 SV = 1 - [E5ZGJ6_CAMJU] E5ZFV6 Fibronectin type III domain 28.2 8.40 43 1 2 2.266 0.906 0.963 2.318 protein (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_1989 PE = 4 SV = 1 - [E5ZFV6_CAMJU] A0A059GNV7 2-oxoglutarate ferredoxin 31.2 4.27 14 1 1 1.156 1.022 1.005 1.276 oxidoreductase subunit beta OS = Campylobacter jejuni 10186 GN = N194_05915 PE = 4 SV = 1 - [A0A059GNV7_CAMJU] A5KFW1 Membrane bound zinc 40.7 7.55 20 1 2 1.000 0.979 1.086 0.979 metallopeptidase OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = Cj8486_1154c PE = 3 SV = 1 - [A5KFW1_CAMJU] H8A911 Putative endonuclease 64.2 1.65 9 1 1 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 2008-979 GN = cje160_10022 PE = 4 SV = 1 - [H8A911_CAMJU] X0N637 30S ribosomal protein S15 10.0 10.23 4 1 1 0.180 0.759 1.075 0.138 OS = Campylobacter jejuni X GN = rpsO PE = 3 SV = 1 - [X0N637_CAMJU] Q29XU5 50S ribosomal protein L15 11.4 10.58 13 1 1 0.464 1.015 0.568 0.901 (Fragment) OS = Campylobacter jejuni GN = rpsLO PE = 3 SV = 1 - [Q29XU5_CAMJU] A0A059HZ15 30S ribosomal protein S5 13.3 9.45 4 1 1 0.374 1.290 0.833 0.629 (Fragment) OS = Campylobacter jejuni K5 GN = N218_07570 PE = 4 SV = 1 - [A0A059HZ15_CAMJU] A0A059GK29 Uncharacterized protein 21.0 14.21 15 1 2 OS = Campylobacter jejuni 30286 GN = N196_05955 PE = 4 SV = 1 - [A0A059GK29_CAMJU] E1PQD5 Transcription termination 38.8 3.16 11 1 1 0.856 1.519 1.405 1.005 factor Rho OS = Campylobacter jejuni subsp.", "jejuni serotype HS21 (strain M1/99/308) GN = rho PE = 3 SV = 1 - [E1PQD5_CAMJM] A0A059GFH4 7-alpha-hydroxysteroid 28.1 8.49 23 1 2 1.528 0.847 1.582 0.888 dehydrogenase OS = Campylobacter jejuni 255 GN = L034_05345 PE = 4 SV = 1 - [A0A059GFH4_CAMJU] W2AH04 50S ribosomal protein L21 10.4 10.53 9 1 1 0.584 1.000 0.737 0.861 OS = Campylobacter jejuni subsp.", "jejuni 81-176-DRH212 GN = X908_06720 PE = 3 SV = 1 - [W2AH04_CAMJJ] D2MWN2 Uncharacterized protein 8.9 11.84 2 1 1 1.634 1.000 1.134 1.566 OS = Campylobacter jejuni subsp.", "jejuni 414 GN = C414_000040068 PE = 4 SV = 1 - [D2MWN2_CAMJU] A0A059H8K1 Ubiquinol cytochrome C 48.1 2.40 10 1 1 0.689 0.984 0.821 0.897 oxidoreductase OS = Campylobacter jejuni Cj1 GN = N214_01665 PE = 4 SV = 1 - [A0A059H8K1_CAMJU] W2U6P5 Uncharacterized protein 5.8 19.23 22 1 1 OS = Campylobacter jejuni subsp.", "jejuni 81-176-UMCW9 GN = X910_08590 PE = 4 SV = 1 - [W2U6P5_CAMJJ] E5ZLJ7 3-dehydroquinate dehydratase 17.2 6.41 8 1 1 1.063 0.892 1.114 0.838 OS = Campylobacter jejuni subsp.", "jejuni 327 GN = aroQ PE = 3 SV = 1 - [E5ZLJ7_CAMJU] A3YNF7 Oxaloacetate decarboxylase, 65.8 2.50 16 1 1 1.673 0.966 1.200 1.463 alpha subunit, putative OS = Campylobacter jejuni subsp.", "jejuni CF93-6 GN = CJJCF936_1007 PE = 4 SV = 1 - [A3YNF7_CAMJU] Q29VV8 Putative nucleotidyl sugar 28.5 8.27 15 1 2 epimerase OS = Campylobacter jejuni subsp.", "jejuni serotype O:23/36 (strain 81-176) GN = CJB1426c PE = 4 SV = 1 - [Q29VV8_CAMJJ] A0A059I1M1 30S ribosomal protein S7 15.0 9.02 5 1 1 0.704 1.048 0.835 0.961 (Fragment) OS = Campylobacter jejuni K5 GN = N218_01130 PE = 4 SV = 1 - [A0A059I1M1_CAMJU] W2AGE13 Fur family transcriptional 8.8 13.92 7 1 1 1.333 1.021 1.255 1.178 regulator OS = Campylobacter jejuni subsp.", "jejuni 81-176- DRH212 GN = X908_06930 PE = 4 SV = 1 - [W2AGH3_CAMJJ] E5ZEC9 Methionyl-tRNA synthetase 9.7 13.41 45 1 1 2.302 0.862 1.589 1.380 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_1425 PE = 4 SV = 1 - [E5ZEC9_CAMJU] Q001V3 Putative cytochrome C-type 17.3 7.33 13 1 1 0.902 1.006 1.038 0.951 haem-binding periplasmic protein (Fragment) OS = Campylobacter jejuni PE = 4 SV = 1 - [Q001V3_CAMJU] E5ZBV9 30S ribosomal protein S8 6.0 22.64 8 1 1 0.718 0.827 0.960 0.672 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_0466 PE = 4 SV = 1 - [E5ZBV9_CAMJU] A5KH24 Glutamate-1-semialdehyde 2,1- 44.3 2.69 27 1 1 1.252 0.933 0.921 1.378 aminomutase OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = hemL PE = 1 SV = 1 - [A5KH24_CAMJU] A0A023WHY9 Succinyl-CoA synthase, alpha 30.0 9.69 15 1 2 1.069 0.851 0.923 1.071 subunit OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = CJ8421_02610 PE = 4 SV = 1 - [A0A023WHY9_CAMJU] A0A023WJZ2 Bifunctional adhesin/ABC 28.2 4.25 25 1 1 1.215 0.799 0.747 1.412 transporter aspartate/glutamate-binding protein OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = CJ8421_04555 PE = 4 SV = 1 - [A0A023WJZ2_CAMJU] E5ZG55 Nucleoside diphosphate kinase 11.4 10.68 11 1 1 OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_2085 PE = 3 SV = 1 - [E5ZG55_CAMJU] A0A059HYD1 Enolase (Fragment) 36.6 3.53 33 1 1 OS = Campylobacter jejuni K5 GN = eno PE = 4 SV = 1 - [A0A059HYD1_CAMJU] A3YPW8 Uncharacterized protein 13.1 10.17 18 1 1 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 260.94 GN = CJJ26094_1432 PE = 4 SV = 1 - [A3YPW8_CAMJU] A0A059GQ16 50S ribosomal protein L16 16.3 8.51 4 1 1 OS = Campylobacter jejuni 10186 GN = N194_05010 PE = 4 SV = 1 - [A0A059GQ16_CAMJU] E6RY61 Cytochrome c biogenesis 43.7 3.45 41 1 1 1.247 1.052 1.234 1.154 protein, CcmF/CycK/CcsA family OS = Campylobacter jejuni subsp.", "jejuni serotype HS:41 (strain ICDCCJ07001) GN = ICDCCJ07001_974 PE = 4 SV = 1 - [E6RY61_CAMJC] A5KHZ1 Uncharacterized protein 33.8 4.03 24 1 1 2.039 0.831 0.889 2.072 OS = Campylobacter jejuni subsp.", "jejuni CG8486 GN = Cj8486_0065 PE = 4 SV = 1 - [A5KHZ1_CAMJU] A0A059GQV0 Flagellar basal body protein 19.9 6.18 11 1 1 0.539 1.269 0.875 0.850 FliL OS = Campylobacter jejuni 255 GN = L034_04560 PE = 4 SV = 1 - [A0A059GQV0_CAMJU] E5ZIW7 Elongation factor P 20.5 7.07 8 1 1 OS = Campylobacter jejuni subsp.", "jejuni 327 GN = efp PE = 3 SV = 1 - [E5ZIW7_CAMJU] A1VX93 Membrane protein, putative, 10.8 13.98 9 1 1 0.478 1.120 1.284 0.453 degenerate OS = Campylobacter jejuni subsp.", "jejuni serotype O:23/36 (strain 81-176) GN = CJJ81176_0041 PE = 4 SV = 1 - [A1VX93_CAMJJ] A0A059GDI2 Membrane protein 35.6 8.33 12 1 2 0.808 1.432 0.862 1.459 OS = Campylobacter jejuni 30286 GN = N196_02850 PE = 4 SV = 1 - [A0A059GDI2_CAMJU] A1VXK7 Uncharacterized protein 33.7 8.74 20 1 2 OS = Campylobacter jejuni subsp.", "jejuni serotype O:23/36 (strain 81-176) GN = CJJ81176_0159 PE = 4 SV = 1 - [A1VXK7_CAMJJ] E5ZAK6 Glucosamine-fructose-6- 25.0 5.88 31 1 1 1.599 1.108 1.171 1.644 phosphate aminotransferase OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_0017 PE = 4 SV = 1 - [E5ZAK6_CAMJU] E5ZDP4 Amino-acid ABC transporter 22.0 6.74 22 1 1 1.000 1.001 0.965 1.127 ATP-binding protein YecC (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_1189 PE = 3 SV = 1 - [E5ZDP4_CAMJU] E5ZBA2 50S ribosomal protein L4 18.6 8.77 17 1 1 (BL4) (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_0286 PE = 4 SV = 1 - [E5ZBA2_CAMJU] Q9R5T9 PEB2 = MAJOR antigenic 3.7 42.86 1 1 1 peptide (Fragment) OS = Campylobacter jejuni PE = 1 SV = 1 - [Q9R5T9_CAMJU] E5ZIC4 4-oxalocrotonate tautomerase 7.5 20.59 4 1 1 family enzyme family protein OS = Campylobacter jejuni subsp.", "jejuni 327 GN = dmpI PE = 4 SV = 1 - [E5ZIC4_CAMJU] A0A059GHF9 Protease OS = Campylobacter 48.0 3.85 23 1 1 jejuni 10186 GN = N194_01570 PE = 4 SV = 1 - [A0A059GHF9_CAMJU] A0A059HXA8 50S ribosomal protein L2 26.5 7.05 15 1 1 0.841 0.997 0.884 1.031 (Fragment) OS = Campylobacter jejuni K5 GN = N218_11745 PE = 4 SV = 1 - [A0A059HXA8_CAMJU] A0A023WM76 Homoserine O- 34.2 5.12 11 1 1 1.023 0.983 1.241 0.880 succinyltransferase OS = Campylobacter jejuni subsp.", "jejuni CG8421 GN = CJ8421_08685 PE = 4 SV = 1 - [A0A023WM76_CAMJU] E5ZF74 Uncharacterized protein 14.5 12.10 14 1 1 1.093 0.936 1.113 0.998 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_1726 PE = 4 SV = 1 - [E5ZF74_CAMJU] H7YSG7 Quinone-reactive Ni/Fe- 31.9 6.19 25 1 1 0.635 1.131 0.790 0.989 hydrogenase, small subunit (Fragment) OS = Campylobacter jejuni subsp.", "jejuni LMG 23357 GN = cje133_06525 PE = 4 SV = 1 - [H7YSG7_CAMJU] H7YGU5 L-lactate permease 56.2 3.80 22 1 1 0.494 1.037 0.781 0.713 (Fragment) OS = Campylobacter jejuni subsp.", "jejuni LMG 9879 GN = cje120_05071 PE = 4 SV = 1 - [H7YGU5_CAMJU] A0A059GH71 Uncharacterized protein 25.9 7.79 13 1 1 0.977 1.155 1.268 0.967 OS = Campylobacter jejuni 30286 GN = N196_08385 PE = 4 SV = 1 - [A0A059GH71_CAMJU] E5ZBY8 Plasminogen-binding protein 29.3 7.25 31 1 1 1.100 0.994 1.094 1.086 PgbB domain protein (Fragment) OS = Campylobacter jejuni subsp.", "jejuni 305 GN = CSS_0530 PE = 4 SV = 1 - [E5ZBY8_CAMJU] U4NW65 Flagellin OS = Campylobacter 59.0 21.85 350 0 10 jejuni 4031 GN = BN867_13230 PE = 4 SV = 1 - [U4NW65_CAMJU] The results in Table 1 show significant changes in protein expression for 34 proteins when Campylobacter jejuni NCTC11168 wildtype or the Campylobacter jejuni 11168 T268G mutant are treated with FeQ (see ratios of WT+FeQ/WT and MOMP-T+FeQ/MOMP-T).", "The impact of mutating the MOMP protein of Campylobacter jejuni at Thr-268 to glycine is also demonstrated by the ratio of Campylobacter jejuni 11168 wildtype to Campylobacter jejuni 11168 T268G mutant (WT/MOMP-T in Table 1), and showed an up-regulation with a ratio higher than 1.5 for nine proteins (Putative periplasmic cytochrome C, Aspartate ammonia-lyase, Multifunctional aminopeptidase, Succinyl-CoA ligase, DNA-binding response regulator, Flagellin subunit protein FlaB, Aspartate ammonia-lyase, Fibronectin type III domain protein and Glucosamine-fructose-6-phosphate aminotransferase) while ten proteins showed down-regulation with a ratio of less than 0.6 (Nickel-dependent hydrogenase, Putative amino-acid transporter, Chemotaxis protein (Fragment), Putative ATP/GTP binding protein, Lipoprotein, Bipartate energy taxis response protein cetB, Major outer membrane protein, Membrane protein, Flagellin A, Membrane protein and L-lactate permease).", "These results at least demonstrate that glycosylation of Campylobacter jejuni 11168 wildtype affects the expression of MOMP and Flagellin A/B, which are involved in bacterial motility, adhesion to BgAgs, aggregation and biofilm formation.", "Comparison of the ratios of WT+FeQ/WT in Table 1 shows that five proteins (Putative GMC oxidoreductase, Chemotaxis protein (Fragment), Putative amino-acid transporter, Bipartate energy taxis response protein cetB and Ferritin) were all up-regulated above 20% and ten proteins ((Formate dehydrogenase, Periplasmic nitrate reductase, Putative periplasmic cytochrome C, Succinyl-CoA ligase, Aspartate ammonia-lyase, Multifunctional aminopeptidase A, Thiamine biosynthesis protein ThiC, DNA-binding response regulator, Aspartate ammonia-lyase (different subunit) and Flagellin B, FlaB) were down-regulated more than 20%.", "Notably, the results demonstrate that the glycosylated MOMP of the wildtype strain was down-regulated about 20%, and Flagellin B (major subunit of flagellum) was down-regulated about 45% when Campylobacter jejuni 11168 wildtype was treated with FeQ.", "These results at least demonstrate that FeQ has an impact on the expression of two essential proteins involved in colonization, adhesion and motility.", "Example 16.Glycosylated Campylobacter Dominates Colonization of Chicken in a Mixed Glycosylated and Non-Glycosylated Population of Campylobacter Materials and Methods Chickens were colonized by a mixed strain (105 cfu, 50/50) of Campylobacter jejuni 11168 wildtype (O-glycosylated) and the MOMPT268G mutant of Campylobacter (non-glycosylated).", "The chickens were orally challenged and after 7 days post-infection caecal samples were analyzed.", "Results FIG.", "16 is a graph showing the colonization of chickens by a mixed strain (105 cfu, 50/50) of Campylobacter jejuni 11168 wildtype (0-glycosylated) and the MOMPT268G mutant of Campylobacter (non-glycosylated).", "The chickens were orally challenged and after 7 days post-infection caecal samples were analyzed.", "The results show that the 0-glycosylated wildtype strain dominates, and is able to establish an infection.", "In contrast, the non-glycosylated strain (MOMPT268G) was unable to colonize the chicken's GI tract, and no mutant strain could be detected.", "Example 17.FeQ Prevents Formation of Biofilm on Human Teeth Materials and Methods Molar teeth were extracted from human patients, and mouth swabs taken from each patient to obtain samples of each patient's bacterial flora present in the mouth.", "The mouth swabs were cultured in the laboratory using LB media in order to grow bacterial populations ordinarily present in the mouth of each patient.", "The extracted teeth were washed and brushed extensively using PBS buffer and ethanol.", "Each patient's tooth was then placed in the bacterial culture prepared from that patient's bacterial flora sample, and cultured aerobically for 24 hours in LB media.", "The teeth were then stained with CEPLAC™ (Manx Healthcare Ltd, Warwick, UK), and washed three times with PBS-Tween (50 mL) to determine if biofilm had been formed on the teeth.", "Results All teeth stained red indicating the presence of biofilm on the teeth after just 24 hours.", "The same teeth were then cleaned using PBS buffer and ethanol, and the procedure repeated except with 48 hours of culturing in the presence of FeQ (340 μM).", "After 48 hours, no teeth stained red demonstrating that biofilms could not be established on the teeth in the presence of FeQ.", "Example 18.Efficacy of FeQ and FeTyr to Reduce Campylobacter Carriage in Chickens and Promote Growth in Chickens Materials and Methods A study was performed to evaluate growth promotion and reduction of Campylobacter carriage using FeQ and FeTyr in Ross 308 male broilers with 7 treatment groups.", "Each treatment group comprised four replicates of 10 birds per pen (40 birds/treatment group and 4 pens of 10 birds/treatment group), and there were 2 control groups and 5 test groups.", "All the test groups and one of the control groups were exposed at day 20 of the trial to dirty litter, which tested positive for Campylobacter.", "This method was used to provide a more natural method to Campylobacter challenge the birds.", "Thus there was a positive control where one treatment group was challenged with Campylobacter and one negative control group where the birds were not challenged, and five treatment groups that were all challenged with Campylobacter.", "The total number of birds used in the 7 treatment groups was 280.Details of the treatments are provided in Table 2.Treatment group 1 was a negative control where birds just received the commercial feed, and were not challenged with dirty litter containing Campylobacter.", "Treatment group 2 was the positive control where the birds received the commercial feed, and were challenged with dirty litter containing Campylobacter at day 20.Treatment group 3 received 0.22 g/L of FeQ in their drinking water and 0.22 g/Kg FeQ in their feed during the entire trial, and was challenged with dirty litter containing Campylobacter at day 20.Treatment group 5 received 0.22 g/L of FeQ in their drinking water during the entire trial, and was challenged with dirty litter containing Campylobacter at day 20.Treatment group 6 received 0.22 g/kg FeQ in their feed during the entire trial, and was challenged with dirty litter containing Campylobacter at day 20.Treatment group 7 received 0.022 g/L FeQ in their drinking water during the entire trial, and was challenged with dirty litter containing Campylobacter at day 20.Treatment group 8 received 0.02 g/L FeTyr in their drinking water during the entire trial, and was challenged with dirty litter containing Campylobacter at day 20.The FeTyr was pre-dissolved in DMSO, and diluted to provide a solution of 0.02 g/L of FeTyr in water.", "(An additional treatment group 4 was terminated due to solubility issues.)", "TABLE 2 Treatment Details Campylobacter Treatment Description Challenge 1 Control-1 Commercial feed No 2 Control-2 Commercial feed Yes 3 0.22 g/L FeQ in water + 0.22 g/kg FeQ in Yes feed 5 0.22 g/L FeQ in water Yes 6 0.22 g/kg FeQ in feed Yes 7 0.022 g/L FeQ in water Yes 8 0.02 g/L FeTyr in water Yes The birds were fed with a commercial three-phase feeding program using starter, grower and finisher feeds with formulations shown in Table 3.All diets had coccidiostat (MAXIBAN® at 0.0625% in starter and finisher phase diets and MONTEBAN® at 0.06% in finisher phase).", "Xylanase (RONOZYME® WX at 200 g per ton) and phytase (RONOZYME® P at TABLE 3 Basal feed formulation for starter, grower and finisher diets Raw Material STARTER % GROWER % FINISHER % Barley 10.5 8.4 7.2 Wheat 50.0 55.0 60.0 Soya Ext Hipro 26.0 23.0 19.0 Full fat Soya Cherwell 5.0 5.0 5.0 L Lysine HCl 0.40 0.30 0.30 DL-methionine 0.40 0.35 0.30 L-threonine 0.15 0.15 0.15 Soya Oil 4.0 4.50 4.75 Limestone 1.25 1.25 1.25 MonoCal phosphate 1.50 1.25 1.25 Salt 0.25 0.25 0.25 Sodium bicarbarbonate 0.15 0.15 0.15 Broiler Premix 0.40 0.40 0.40 Nutrient Analysis Analysis Analysis Fat (ether extract) 6.34 6.85 7.11 Protein 21.85 20.64 19.14 Fibre 3.08 3.02 2.97 Ash 6.01 5.68 5.50 ME-P 12.78 13.04 13.22 Total lysine 1.45 1.28 1.17 Available lysine 1.35 1.19 1.09 Methionine 0.69 0.62 0.55 Total methionine and 1.03 0.95 0.85 cysteine Threonine 0.91 0.86 0.79 Tryptophan 0.25 0.23 0.21 Calcium 0.95 0.91 0.89 Phosphorus 0.72 0.66 0.65 Available phosphorus 0.48 0.42 0.42 Salt 0.30 0.30 0.30 Sodium 0.17 0.17 0.17 Vit A 13.20 13.5 13.50 Vit D3 5.0 5.0 5.00 Vit E 100 100 100 The feeding program is show in Table 4.The birds were reared in floor pens to day 42, and fed starter, grower and finisher feed at day 0 to 11, 11 to 24, and 24 to 42 days, respectively.", "All birds were weighed individually and feed weigh backs recorded per pen at day 0, 11, 21, 24 and 42 days.", "TABLE 4 Feeding Program Feeding Phase Starter Grower Finisher (days of age) 0-11 11-24 24-42 Prior to challenging the chickens with dirty litter containing Campylobacter at day 20, each pen was tested for Campylobacter using cloacal swabs.", "All pens tested negative for Campylobacter prior to the challenge.", "At day 20, litter, which was naturally Campylobacter-contaminated was tested to confirm the presence of Campylobacter, and then added (approximately 2 kg/pen) to the litter in all pens except in pens for treatment group 1 (the negative control).", "At day 28, the pen litter was sampled to confirm the presence or absence of Campylobacter.", "At day 41 and 42, caecal samples were taken from 3 birds per pen (12 birds per treatment group) and tested for Campylobacter enumeration.", "At day 42, digesta, fecal samples, and caecal content was taken from all birds, and pooled per pen.", "Two birds per pen were also taken from treatment groups 1-3, euthanized, and blood samples taken.", "Samples were analyzed for blood chemistry, including analysis for alkaline phosphatase, aspartate amino transferase, alanine amino transferase, gamma-glutamyl transferase, lactate dehydrogenase, total protein, albumin, globulin, amylase and glucose.", "In order to minimize risk of cross-contamination, standard industry biosecurity measures were used including: disinfecting boots, changing overshoes and gloves between pens/treatments, entering Campylobacter negative pens before entering Campylobacter positive pens, and leaving adjacent pens empty.", "Daily health, culls, and mortality were recorded.", "All bird weights were recorded at 0, 11, 21, 24, 33 and 42 days.", "Weight gains, feed intake and feed conversion ratio (FCR) were derived for each feeding period.", "The effect of the treatment groups compared to the negative control group (treatment group 1) and the positive control group (treatment group 2) is shown in Tables 5-12 for the periods 0-11 days, 11-20 days, 20-25 days, 11-25 days, 25-42 days, 20-42 days, 0-20 days, and 0-42 days.", "Results FIG.", "17 shows the average body weight at day 42 for all treatment groups, and a comparison to a commercial control labeled “Target”.", "The figure shows that treatment group 1 (the negative control labeled “CNC”) attained an average body weight (ABW) of 3.437 kg at day 42 (which was higher than the commercial target of 2.979 kg).", "The positive control (labeled “CC”), which was challenged with dirty litter containing Campylobacter at day 20, in contrast only attained an ABW of 3.186 kg at day 42, which was significantly less than the negative control (treatment group 1).", "This result demonstrates that challenging with dirty litter contaminated with Campylobacter resulted in a reduction of growth of the chicken by an average of 251 grams.", "However, when the chickens were challenged with dirty litter containing Campylobacter but treated with FeQ or FeTyr in treatment groups 3, 5, 6, 7 and 8, all treatment groups performed better than the positive control demonstrating that FeQ and FeTyr treatment had a positive effect on growth.", "In fact, FeQ in feed at 0.22 g/kg (treatment group 6) produced chicken with an ABW of 3.464 kg, which was higher than the negative control ABW of 3.437 kg even though treatment group 6 had been challenged with dirty litter containing Campylobacter.", "FIG.", "18 shows the mortality adjusted feed conversion rate (MFCR) at day 42 for all treatment groups, and a comparison to a commercial control labeled “Target”.", "(A lower MFCR number is a better result.)", "The figure shows that treatment group 1 (the negative control labeled “CNC”) had a MFCR of 1.563, which was lower than the commercial target of 1.703.The positive control, labeled “CC” which was challenged with the dirty litter containing Campylobacter at day 20 had a significantly higher MFCR of 1.679 than the negative control.", "Thus challenging with dirty litter infected with Campylobacter resulted in a higher MFCR.", "However, when the chickens were challenged with dirty litter infected with Campylobacter but treated with FeQ or FeTyr in treatment groups 3, 5, 6, 7 and 8, all treatment groups performed better than the positive control demonstrating that FeQ and FeTyr treatment had a positive effect on MFCR (i.e.", "decreasing the numerical MFCR).", "The results show that treatment groups 3, 5, 6, 7 and 8 had MFCR values of 1.595, 1.560, 1.563, 1.612 and 1.577, respectively.", "Furthermore, treatment groups 5 and 6 performed as well as the negative control even when challenged with dirty litter containing Campylobacter.", "FIG.", "19 shows the number of Campylobacter colony forming units per gram (cfu/g) of bird droppings at day 42 for treatment groups 1-3 and 6-8.", "(A lower number is a better result.)", "The results show that treatment groups 3 and 6-8 all performed better than the positive control (treatment group 2) demonstrating that FeQ and FeTyr had a positive effect on reducing Campylobacter infection of poultry.", "Notably, chicken treated with FeTyr, FeQ in feed, and FeQ in feed and water all had colony forming units of Campylobacter per gram of dropping that were similar to, or less than, those of the negative control group (treatment group 1).", "The detection of low levels of Campylobacter in the negative controls demonstrates how highly contagious the bacterium is, and is likely to be an indication that a small number of birds in the negative control group became infected despite not being experimentally challenged with dirty litter.", "The results in FIG.", "19 for the low concentration of FeQ in water (0.022 g/L; treatment group 7) appears to show less of an effect than the other treatment groups, although this difference was considered more likely due to experimental error for example following cross contamination of samples.", "As discussed below, the results obtained from a further experiment, as given in FIG.", "20 confirm that treatment group 7 did, indeed, also provide the highly beneficial effect.", "FIG.", "20 shows the average number of Campylobacter colony forming units per gram (cfu/g) of caeca samples at day 42 for treatment groups 1-3 and 5-8.The results show that all the treatment groups (3 and 5-8) all performed better than the positive control (treatment group 2) demonstrating that FeQ and FeTyr had a positive effect on reducing Campylobacter infection of poultry.", "The effect of the treatments on overall liveability and European production and efficiency factor (EPEF) is shown in Table 5.", "(EPEF=[(Liveability×Live weight in kg at end of trial/Age in Days×FCR commercial)×100].", "The effect of FeQ treatment on growth performance in the absence of Campylobacter challenge during the starter phase (0-11 days) and period from 0-20 days is shown in Table 14.Since the negative and positive controls (treatment groups 1 and 2) are identical prior to challenge with the dirty litter at day 20, these groups may be pooled for comparison to treatment groups 3, 5, 6, and 7 in order to see if FeQ had an effect on growth in the absence of a challenge by dirty litter contaminated with Campylobacter during the first 20 days of growth.", "The results demonstrate that FeQ promotes growth of chicken even in the absence of a challenge from dirty litter contaminated with Campylobacter.", "At day 20, the average body weight (ABW) for the control groups (treatment groups 1 and 2) is 0.927 kg versus 0.963 kg for treatment groups 3, 5, 6 and 7 which all received FeQ.", "This improvement in body weight is also reflected in a significantly better MFCR for the FeQ treated birds.", "Table 11 shows the MFCR for the birds treated in groups 3, 5, 6 and 7 is 1.2996 versus 1.3374 for the control groups (treatment groups 1 and 2).", "Notably the P-value is less than 0.05.The same positive effect of FeTyr treatment on growth performance in the absence of Campylobacter challenge is also evident from Table 11.The AWG during the first 20 days of production for chicken treated with FeTyr (treatment group 8) is 0.895 kg compared to 0.884 and 0.889 kg for treatment groups 1 and 2 (negative and positive controls).", "Furthermore, the MFCR during the first 20 days of production for chicken treated with FeTyr (treatment group 8) is 1.311 versus 1.32 and 1.355 for treatment groups 1 and 2, respectively.", "(A lower MFCR value is an improvement.)", "The results of this study demonstrate that both FeQ and FeTyr promote growth and decrease the mortality adjusted feed conversion ratio (MFCR) in the absence or presence of dirty litter contaminated with Campylobacter.", "TABLE 5 Effect of treatments on growth performance during starter phase (day 0-11) ABW AFD AWG MFCR Treatment Day 0 Day 11 Day 0-11 1 0.040 0.331 0.348 0.291 1.239b 2 0.040 0.337 0.359 0.297 1.228b 3 0.040 0.346 0.356 0.306 1.181ab 5 0.040 0.334 0.352 0.294 1.210ab 6 0.041 0.351 0.360 0.310 1.168a 7 0.040 0.325 0.348 0.285 1.236b 8 0.040 0.329 0.353 0.289 1.229b P-value 0.136 0.418 0.979 0.463 0.005 SED 0.000 0.013 0.016 0.013 0.018 P-value for contrast 1 vs 2 0.512 0.667 0.519 0.682 0.584 1 vs 2 to 8 0.666 0.573 0.603 0.583 0.045 2 vs 3567 0.632 0.844 0.723 0.834 0.054 5 vs 6 0.099 0.213 0.627 0.233 0.033 5 vs 7 0.141 0.466 0.804 0.494 0.170 2 vs 8 0.645 0.538 0.709 0.549 0.982 a-bwithin a column reflects differences between treatments when P < 0.05; SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted feed conversion ratio; FCR = Feed conversion ratio-commercial.", "TABLE 6 Effect of treatments on growth performance during grower phase (day 11-20) ABW AFD AWG MFCR Treatment Day 20 Day 11-20 1 0.924 0.799 0.593 1.362 2 0.929 0.838 0.592 1.421 3 0.972 0.857 0.625 1.375 5 0.943 0.821 0.609 1.348 6 0.991 0.841 0.640 1.343 7 0.947 0.829 0.622 1.333 8 0.935 0.809 0.606 1.351 P-value 0.358 0.311 0.279 0.279 SED 0.032 0.025 0.021 0.036 P-value for contrast 1 vs 2 0.875 0.133 0.977 0.115 1 vs 2 to 8 0.248 0.094 0.175 0.987 2 vs 3567 0.189 0.961 0.075 0.020 5 vs 6 0.145 0.427 0.160 0.884 5 vs 7 0.913 0.737 0.546 0.673 2 vs 8 0.850 0.253 0.516 0.065 SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted FCR; FCR = FCR commercial.", "TABLE 7 Effect of treatments on growth performance during period day 20-25.ABW AFD AWG MFCR Treatment Day 25 Day 20-25 1 1.366 0.662 0.442 1.500 2 1.371 0.652 0.442 1.550 3 1.424 0.667 0.453 1.477 5 1.384 0.658 0.441 1.495 6 1.426 0.685 0.434 1.599 7 1.388 0.661 0.441 1.513 8 1.377 0.662 0.442 1.499 P-value 0.723 0.916 0.999 0.882 SED 0.044 0.026 0.030 0.096 P-value for contrast 1 vs 2 0.912 0.685 0.998 0.604 1 vs 2 to 8 0.403 0.932 0.996 0.759 2 vs 3567 0.339 0.444 0.990 0.707 5 vs 6 0.361 0.311 0.826 0.294 5 vs 7 0.930 0.902 0.988 0.854 2 vs 8 0.892 0.693 0.999 0.604 SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted FCR; FCR = FCR commercial.", "TABLE 8 Effect of treatments on overall growth performance during grower phase (day 11-25) AFD AWG MFCR Treatment Day 11-25 1 1.462 1.035 1.421 2 1.490 1.034 1.457 3 1.524 1.078 1.417 5 1.479 1.050 1.409 6 1.526 1.075 1.440 7 1.490 1.064 1.406 8 1.471 1.048 1.414 P-value 0.660 0.804 0.598 SED 0.042 0.036 0.030 P-value for contrast 1 vs 2 0.516 0.984 0.241 1 vs 2 to 8 0.293 0.406 0.891 2 vs 3567 0.657 0.267 0.118 5 vs 6 0.280 0.498 0.300 5 vs 7 0.787 0.707 0.925 2 vs 8 0.664 0.695 0.165 SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted FCR.", "TABLE 9 Effect of treatments on overall growth performance during finisher phase (day 25-42) ABW AFD AWG MFCR Treatment Day 42 Day 25-42 1 3.437 3.479 2.070b 1.688 2 3.186 3.480 1.814a 1.889 3 3.342 3.387 1.918ab 1.773 5 3.407 3.357 2.023b 1.706 6 3.464 3.315 2.039b 1.704 7 3.304 3.362 1.916ab 1.793 8 3.341 3.434 1.964ab 1.716 P-value 0.027 0.56 0.009 0.211 SED 0.075 0.099 0.062 0.081 P-value for contrast 1 vs 2 0.004 0.997 <.001 0.022 1 vs 2 to 8 0.110 0.247 0.016 0.233 2 vs 3567 0.004 0.129 0.004 0.035 5 vs 6 0.455 0.680 0.800 0.988 5 vs 7 0.187 0.960 0.101 0.294 2 vs 8 0.053 0.649 0.027 0.046 a-bwithin a column reflects differences between treatments when P < 0.05; SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted FCR; FCR = FCR commercial.", "TABLE 10 Effect of treatments on the growth performance during the experimental period of day 20-42 (after the birds were challenged) AFD AWG MFCR Treatment Day 20-42 1 4.142 2.512b 1.653 2 4.131 2.256a 1.820 3 4.054 2.370ab 1.713 5 4.015 2.464ab 1.665 6 4.001 2.473ab 1.678 7 4.023 2.357ab 1.739 8 4.096 2.406ab 1.676 P-value 0.767 0.025 0.344 SED 0.110 0.068 0.075 P-value for contrast 1 vs 2 0.926 0.001 0.038 1 vs 2 to 8 0.306 0.028 0.290 2 vs 3567 0.229 0.008 0.055 5 vs 6 0.898 0.894 0.856 5 vs 7 0.941 0.138 0.331 2 vs 8 0.752 0.042 0.070 a-bwithin a column reflects differences between treatments when P < 0.05; SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted.", "TABLE 11 Overall effect of treatments on growth performance during the experimental period of day 0-20 (before birds were challenged).", "AFD AWG MFCR Treatment Day 0-20 1 1.147 0.884 1.320 2 1.196 0.889 1.355 3 1.213 0.931 1.310 5 1.173 0.903 1.303 6 1.201 0.951 1.284 7 1.178 0.907 1.302 8 1.161 0.895 1.311 P-value 0.623 0.368 0.225 SED 0.038 0.032 0.025 P-value for contrast 1 vs 2 0.215 0.881 0.181 1 vs 2 to 8 0.191 0.251 0.627 2 vs 3567 0.860 0.188 0.012 5 vs 6 0.476 0.150 0.469 5 vs 7 0.907 0.899 0.978 2 vs 8 0.371 0.846 0.094 SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted.", "TABLE 12 Overall effect of treatment groups on growth performance (day 0-42) AFD AWG MFCR Treatment Day 0-42 1 5.289 3.397b 1.563 2 5.328 3.145a 1.679 3 5.267 3.302ab 1.595 5 5.188 3.367ab 1.560 6 5.201 3.423b 1.563 7 5.201 3.265ab 1.612 8 5.258 3.301ab 1.577 P-value 0.920 0.028 0.193 SED 0.132 0.075 0.047 P-value for contrast 1 vs 2 0.773 0.004 0.024 1 vs 2 to 8 0.633 0.111 0.352 2 vs 3567 0.29 0.004 0.018 5 vs 6 0.920 0.461 0.954 5 vs 7 0.924 0.190 0.284 2 vs 8 0.601 0.053 0.043 a-bwithin a column reflects differences between treatments when P < 0.05; SED = Standard errors of difference of means; ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = Mortality adjusted.", "TABLE 13 The effect of treatments on overall liveability and European production and efficiency factor (EPEF) EPEF Treatment Day 20 Day 42 1 318.3 282.8 2 334.7 250.7 3 350.4 262.9 5 352.0 278.3 6 364.8 265.0 7 354.5 276.2 8 336.4 296.0 P-value 0.547 0.842 SED 23.83 31.68 P-value for contrast 1 vs 2 0.500 0.323 1 vs 2 to 8 0.111 0.645 2 vs 3567 0.285 0.437 5 vs 6 0.599 0.680 5 vs 7 0.919 0.949 2 vs 8 0.945 0.170 TABLE 14 Effect of treatments on growth performance in absence of Campylobacter challenge during starter phase (0-11 days) and period 0-20 days.", "ABW ABW AFD 0-20 AWG 0-20 MFCR Treatment Day 11 Day 20 days days 0-20 Groups 1 & 2 0.334 0.927 1.172 0.887 1.3374 FeQ 0.339 0.963 1.191 0.923 1.2996 (Groups 3, 5, 6, 7) P-value 0.584 0.079 0.432 0.078 0.029 SED 0.009 0.020 0.024 0.020 0.016 ABW = average body weight (kg); AFD = average feed intake (kg); AWG = average weight gain (kg); MFCR = mortality adjusted feed conversion ratio Example 19.FeDOPA Treatment Makes Antibiotic Resistant Strain of Enteropathogenic E. Coli (EPEC) E2348/69 Lose Resistance to Antibiotic Materials and Methods The impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of a fixed concentration of gentamicin (1.25 μM) and an increasing concentration of FeDOPA versus the strain grown in the presence of only FeDOPA or only gentamicin, was determined.", "Results FIGS.", "21A-C are graphs that show the impact on the growth curve of antibiotic resistant Enteropathogenic E. coli (EPEC) strain E2348/69 (genotype Wild Type EPEC O17:H6) when grown in the presence of a fixed concentration of gentamicin (1.25 μM) and an increasing concentration of FeDOPA (FIG.", "21A: 130 μM, FIG.", "21B: 160 μM and FIG.", "11C: 200 μM) versus the strain grown in the presence of only FeDOPA or only gentamicin.", "The graphs show that the rate of growth of the strain was inhibited in the presence of gentamicin and FeDOPA relative to the rate of growth of the strain just in the presence of gentamicin.", "This is evidence that FeDOPA can be used in conjunction with antibiotics to kill or inhibit the growth of antibiotic resistant bacteria.", "Example 20.FeDOPA Prevents Attachment of Bacteria to Surfaces Materials and Methods Enteropathogenic E. coli (EPEC) E2348/69 were grown in wells for 48 hours at 37° C. in the presence of FeDOPA (10-250 μM), and in the absence of FeDOPA (as control).", "After 48 hours, the wells were washed in order to remove suspended cells.", "Crystal violet was then added to each well.", "The wells were then washed to remove excess dye.", "A mixture of acetone/ethanol was then added to the wells to re-suspend any cells attached to the plastic surface of the wells, and dissolve any dye present.", "The presence of dye in each well was then quantified by measuring the O.D.", "at 570 nm.", "Results In the absence of FeDOPA, EPEC binds to the plastic surface and forms a biofilm that is readily detected by dying with crystal violet.", "However, in the presence of FeDOPA, EPEC attachment to the plastic surface and formation of a biofilm is inhibited.", "FIG.", "22 shows quantitatively the difference in the attachment of EPEC cells to the plastic well surface in the absence and presence of FeDOPA by measurement of the optical absorbance of crystal violet that was absorbed by EPEC cells attached to the surface.", "At an FeDOPA concentration of 68-250 μM attachment of bacterial cells to the surface and biofilm formation is inhibited.", "Example 21.Campylobacter jejuni Loses Motility after Treatment with FeQ Camyplobacter jejuni NCTC 11168 was treated with FeQ (34 μM) and a plate containing brain-heart infusion (BHI) medium inoculated with 5 al, 2×105 colony forming units of the treated bacteria and the plate was cultured for 43 hours.", "The growth and motility of the bacteria after treatment with FeQ was compared to a positive control where the bacteria had not been treated with FeQ, and also to a negative control where no bacteria were applied to a plate of the BHI medium.", "The data (not shown) showed that after 43 hours, the Campylobacter jejuni treated with FeQ had a clear zone around the bacteria indicating that the bacteria was not motile.", "In contrast, Campylobacter jejuni that was not treated with FeQ was motile, and spread around the culture plate.", "There was no growth visible, as expected, on the plates that were not inoculated with bacteria.", "The experiment demonstrates that the Campylobacter jejuni loses motility after treatment with FeQ, and is consistent with the results obtained by iTRAQ analysis that demonstrate that FeQ down regulates FlaA expression (the Flagella of Campylobacter).", "Example 22.Disruption of a Preformed Biofilm with FeTyr Crystal violet assays were used as described above to demonstrate that FeTyr could disrupt a pre-formed biofilm.", "A mature biofilm formed by EPEC-pgA++ was treated with FeTyr for 24, 48 and 72 hours at FeTyr concentrations of 100 μM, 150 μM and 200 μM and the presence of the biofilm after these times was compared to an untreated biofilm (labeled “Control”) using a crystal violet assay.", "The color of the control wells was more intense in color at 72 hours than those that were treated with FeTyr at 100, 150 and 200 μM for 72 hours.", "FIG.", "23 shows quantitatively the optical absorbance of crystal violet at 570 nm that was absorbed by the EPEC cells that remained attached to the surface of the plastic well after a mature biofilm formed by EPEC-pgA++ was treated with FeTyr (shown as FeY in FIG.", "23) at 100 μM, 150 μM and 200 μM compared to an untreated biofilm (labeled “Control”) in the crystal violet assay.", "A significantly lower optical absorbance was found at 72 hours for the biofilm treated with FeTyr at 100, 150 and 200 μM at 72 hours.", "These results demonstrate that FeTyr can disrupt a pre-formed biofilm.", "Example 23.Disruption of a Preformed Biofilm with FeTyr and Fe-DOPA A BioFlux system was used to demonstrate that FeTyr and Fe-DOPA can be used to disrupt a mature EPEC-AcsrA biofilm.", "Our studies showed that that a mature biofilm of EPEC-AcsrA can be formed in the presence of LB medium 30% v/v and imaged (data not shown).", "The mature biofilm was treated with FeTyr at concentrations of 100, 150 and 200 μM for 20 hours and compared to a control biofilm that had just been treated with LB medium 30% v/v.", "It was found that biofilm dispersion increased as the concentration of FeTyr was increased from 100 to 200 μM (data not shown).", "Mature biofilm was treated with FeDOPA at a concentration of 100 μM for 20 hours and compared to a control biofilm that had just been treated with LB medium 30% v/v.", "It was found that Fe-DOPA dispersed the biofilm at a concentration of 100 μM (data not shown).", "Example 24.Treatment of an Acne Patient with FeQ An 18 year old female patient was treated continuously for 30 days by applying a solution of ferric quinate (340 μM) to her acne vulgarism (“acne”) once each day.", "Within 5 days of the start of treatment, her acne, which had not previously responded to treatment with antibiotics, began to show signs of healing.", "After treatment for 30 days, her acne was completely healed.", "Her acne did not recur even after discontinuation of treatment for over one year.", "Example 25.Effect of FeQ on Biofilm Formation of a Medical Device Materials and Methods To investigate the effect of Ferric Quinate (FeQ) on the surface integrity of contact lenses, two contact lenses were independently incubated in either saline solution, or saline solution with a final concentration of 340 μM FeQ at 4° C. for 7 days, whilst gently shaking.", "The lenses were then washed 6 times with phosphate-buffered solution (PBS)+0.05% Tween.", "Each lens was then washed twice with distilled water before analysis via environmental scanning electron microscope (ESEM).", "To investigate biofilm formation on the contact lens, clinically determined PAO-1 strains of Pseudomonas aeruginosa were incubated with the lenses in either Luria-Bertani Media (LB) or LB with a final concentration of 340 μM FeQ at 37° C. for 24 hours in a non-shaking incubator.", "The lenses were then washed 6 times with PBS+0.05% Tween, before being stored overnight in PBS+0.05% Tween.", "In preparation for the ESEM, formaldehyde was added to a final concentration of 1% and incubated for 10 minutes in order to inactivate the bacteria.", "The lenses were then washed 4 times with PBS+0.05% Tween, and immediately before analysis the lenses were washed a further two times with distilled water.", "Results Surface Integrity Lenses were treated with 340 μM FeQ or left untreated (control) to investigate the effect, if any, of FeQ treatment on the surface integrity of the contact lens.", "The results show that FeQ at 340 μM does not have any visible significant effect (via ESEM) on the surface integrity of the contact lens.", "Biofilm Formation ESEM images (data not shown) following incubation with bacteria only showed large scale biofilm development of Pseudomonas aeruginosa, over the surface of the contact lens.", "Analysis via the ESEM requires vacuum and causes areas of the biofilm to dehydrate, which is responsible for the perforated appearance of the biofilm of the contact lens.", "The dehydration gives perception of depth, and shows the biofilm formed in the absence of FeQ to be substantial.", "Individual bacteria were visible in the biofilm, surrounded by the extracellular matrix (ECM).", "The impact of incubation with bacteria in the presence of FeQ 340 μM was also investigated.", "These images (data not shown) showed that, in the presence of FeQ at 340 μM, Pseudomonas aeruginosa appears as either single bacterium, or small aggregates of bacteria, with no apparent ECM formation.", "These results indicate that FeQ inhibits biofilm formation of Pseudomonas aeruginosa.", "Based on these results, it can be concluded the FeQ and other compounds as described herein can be used to inhibit or prevent biofilm formation on medical devices, such as but not limited to, contact lenses.", "Example 26: Metabolomic Analysis Materials and Methods Strains analysed were the wild type Campylobacter jejuni NCTC 11168, and a mutant (MOMP268T/G) where Thr-268 of the MOMP protein of the wild type is mutated to glycine, resulting in a strain of the bacteria in which the MOMP protein cannot be glycosylated.", "The mutant is further described in WO 2013/121214.The strains were grown for 48 hours in Mueller Hinton Broth (MHB).", "In non-control samples, the bacteria (wild type and mutant) were treated with FeQ at a concentration of 340 μM.", "Three replicates of a sample were taken from each culture, and each replicate was analysed three times (i.e.", "producing 9 reads for each sample).", "Thus, for example, the sample taken from fresh media (FM) produced nine reads, labelled FM-1_1, 1_2, 1_3, 2_1, 2_2, 2_3, 3_1, 3_2 and 3_3, respectively.", "It is the same for each of the other samples, which are: SMWT: spent media from the wild type control culture SMWTF: spent media from the wild type culture grown in the presence of FeQ SMMT: spent media from the mutant control culture SMMTF: spent media from the mutant culture grown in the presence of FeQ For metabolite profiling, LC was performed on an Accela system (Thermo Fisher Scientific, Hemel Hempstead, UK).", "Chromatographic separation was carried out using a ZIC-pHILIC (150 mm×4.6 mm, 5 μm column, Merck Sequant) as previously described (Creek et al.", "2011, Anal Chem 83, 8703-8710).", "Briefly, the column was maintained at 45° C. and samples were eluted with a linear gradient from 80% B to 5% B over 15 min, followed by an 2 min linear gradient from 5% B to 80% B, and 7 min re-equilibration with 80% B at the flow rate of 300 μl/min.", "Mobile phase A was 20 mM ammonium carbonate in water and mobile phase B was acetonitrile 100% acetonitrile.", "The injection volume was 10 μl and samples were maintained at 4° C. An Orbitrap Exactive (Thermo Fisher Scientific, Hemel Hempstead, UK) with a HESI 2 probe was operated in polarity switching mode, with the following settings: resolution 50 000, AGC 1×106, m/z range 70-1400, sheath gas 40, auxiliary gas 5, sweep gas 1, probe temperature 150° C., and capillary temperature 275° C. For positive mode ionisation: source voltage +4.5 kV, capillary voltage +50 V, tube voltage +70 kV, skimmer voltage +20 V. For negative mode ionisation: source voltage −3.5 kV, capillary voltage −50 V, tube voltage −70 V, skimmer voltage −20 V. Mass calibration was performed for each polarity immediately before each analysis batch.", "The calibration mass range was extended to cover small metabolites by inclusion of low-mass contaminants with the standard Thermo calibration mixture masses (below m/z 1400), C2H6NO2 for positive ion electrospray ionisation (PIESI) mode (m/z 76.0393) and C3H5O3 for negative ion electrospray ionisation (NIESI) mode (m/z 89.0244).", "Data Processing and Analysis Raw LC-MS data were processed with XCMS for untargeted peak-picking (Tautenhahn et al.", "2008, BMC Bioinformatics 9, 504) and mzMatch.R for peak matching and annotation of related peaks (Scheltema et al.", "2011, Analytical Chemistry 83, 2786-2793).", "Putative metabolite identification was carried out by IDEOM using the default parameters (Creek et al.", "2012, Analytical Chemistry 84, 8442-8447).", "Metabolite identification was performed by matching accurate masses and retention times of authentic standards (Level 1 metabolite identification according to the Metabolomics Standards Initiative (Sumner et al.", "2014, Metabolomics 10, 1047-1049; Sumner et al.", "2007, Metabolomics 3, 211-221).", "However, when standards were not available, predicted retention times were used, hence these identifications should be considered as putative (Level 2 identification).", "Results and Conclusions FIG.", "24A shows the data from positive mode analysis, as an OPLS-DA scores plot.", "This shows a clear separation between fresh media (FM) and other spent media (SMWT; SMWTF; SMMT; SMMTF) which demonstrates that a lot of metabolites were excreted and consumed during cell culture.", "FIG.", "24B also shows the data from the positive mode analysis.", "The fresh media (FM) results were removed from the plot, because they are so different from the other samples so that any differences between the different spent media samples could be hidden.", "This plot shows a clear separation between wild type (SMWT) and wild type+FeQ (SMMTF), but the SMMT and SMMTF clustered more closely.", "This indicates that FeQ does not cause large detectable change between mutant and mutant+FeQ.", "Overall, it is clear that there are less metabolic changes caused by FeQ in the mutant than in the wild type.", "FIG.", "24C contrasts from FIG.", "24A in that it shows the data from the negative mode analysis, although essentially the same pattern and conclusions apply as in FIG.", "24A.", "FIG.", "24D contrasts with FIG.", "24B in that it shows the data from the negative mode analysis.", "The negative mode data in FIG.", "24D shows slightly different trends from the positive mode data, and demonstrates a clear separation between mutant (SMMT) and mutant+FeQ (SMMTF) samples, as well as between the SMWT and SMWTF samples.", "These data demonstrate how fundamentally the metabolism of bacteria is changed by treatment with FeQ.", "This is consistent with the phenotypic changes observed in bacteria treated with FeQ (as confirmed by iTRAQ results as discussed in Example 15), and provides an insight into the mechanism underlying the ability of FeQ and its related compounds as discussed in section III.A of this application to treat bacteria and cause an inhibition in their ability to form biofilm, colonise chickens and other animals, and even make the bacteria less resistance to antibiotics.", "Example 27: Preparation Protocol for K[Fe(C7H11O6)3](OH)3H2O(FeQ) FeCl3.6H2O (50 g, 184 mmol, Alfa Aesar, 97%) was placed in a flask and dissolved in 300 mL of H2O (J.T.", "baker, HPLC grade).", "To that solution, D-(−)-quinic acid (110 g, 572 mmol, Buchlr Gmbh, 96%) was added slowly with continuous stirring.", "The pH of the solution was adjusted to ˜3 by addition of 10M KOH (Alfa Aesar, 85%) (˜80 mL was required).", "The dark yellow solution darkened to brownish upon addition of KOH.", "The dark solution was stirred for 1 h at room temperature.", "After stirring at room temperature for 1 h ethanol (EMD, 94%) (2.5 l) was added slowly to the solution with stirring.", "After addition of approximately ¼ of the total ethanol, the solution lightened visibly and a fine solid began to precipitate from solution.", "After addition of the remaining ethanol, the solution is allowed to sit overnight at room temperature.", "The solids are collected by vacuum filtration on a fritted funnel and allowed to dry on the funnel while the vacuum is continued for 2-3 h. The bright yellow solid is spread in a thin layer in a drying dish and dried open to the air for 3 days followed by drying under vacuum for 48 h to give 155 g of the final product.", "Example 28: Synthesis of Fe(Tyr)3 L-tyrosine (5.43 g, 30 mmol, Chem Impex, 99.5%) and LiOH.H2O (1.26 g, 30 mmol, EMD, 94%) were dissolved in water (250 ml, J.T.", "Baker, HPLC grade)) and the solution heated to 70° C. for 20 min.", "The FeCl3 salt (1.62 g, 10 mmol, Alfa Aesar, 98%)) was dissolved in a minimum quantity of water (3-5 ml) and was added to the tyrosine/LiOH solution.", "Precipitation (brown solid) was almost instantaneous but stirring with heating continued for 15 min.", "The product was allowed to cool to room temperature and was collected by filtration.", "The product was air dried and then further dried in a lyophilizer.", "Isolated yield was 5.85 g. Example 29: Synthesis of Fe(DOPA)3 L-Dopa (11.84 g, 60 mmol, AK Scientific, 98%) and LiOH.H2O (2.52 g, 60 mmol, EMD, 94%) were dissolved in water (100 ml, J.T.", "Baker, HPLC grade) and the solution heated to 70° C. for 20 min.", "The FeCl3 salt (3.2 g, 20 mmol, Alfa Aesar, 98%) was dissolved in a minimum quantity of water (6-10 ml) and was added to the Dopa/LiOH solution vigorous.", "Precipitation (very dark purple) was almost instantaneous but stirring with heating continued for 15 min.", "The product was allowed to cool to room temperature and was collected by filtration.", "The product was air dried and then further dried in a lyophilizer.", "Isolated yield was 6.5 g. More solid (4 g) was collected from the filtrate and dried in the same way.", "Overall yield was 10.5 g. Example 30: Fe-Q and Fe-Phe Potentiate the Effect of Antibiotics Methods To investigate effects upon antibiotic resistance, a laboratory strain of Psuedomonas aeruginosa (PAO1N) and a mixed population of clinical isolates (PAO Mixed) were incubated in Luria-Bertani (LB) media alone, or with different concentrations (34 μM, 100 μM, 200 μM and 340 μM) of FeQ or FePhe.", "Each of the different media, bar one control, contained 10 g/ml of the aminoglycoside antibiotic Amikacin.", "10 μl of the bacterial strains were added into each well of a 96-well micro-titer plate, before 290 μl of the relevant media was added to wells.", "Each different condition was repeated in sextuplicate.", "The plate was incubated at 37° C. within a micro-titer plate reader for 17.5 hours, with the OD600 read every 30 minutes.", "Results The results are shown in FIGS.", "25A and 25B.", "These figures show that Fe-Q and Fe-Phe provide similar effects at reducing tolerance of PAO1N and PAO Mixed to the aminoglycoside Amikacin." ] ]
Patent_15875623
[ [ "TACTILE TOUCH SENSOR SYSTEM AND METHOD", "A tactile touch sensor (TTS) system and method allowing physical augmentation of a high-resolution touch sensor array (TSA) is disclosed.", "Physical augmentation is accomplished using a TSA physical overlay (TPO) placed on top of the TSA.", "The TPO is constructed to transmit forces to the underlying TSA.", "Force transmission is accomplished by either using a flexible overlay or with a rigid mechanical overlay that transmits user forces exerted on the overlay to the underlying TSA.", "Incorporation of TPO identifiers (TPI) within the TPO permits identification of the TPO by a TPO detector (TPD) allowing operational characteristics of the TSA to be automatically reconfigured to conform to the currently applied TPO structure by a user computing device (UCD).", "The UCD may be configured to automatically load an appropriate application software driver (ASD) in response to a TPI read by the TPD from the currently applied TPO." ], [ "1.", "(canceled) 2.A tactile touch sensor system comprising: an overlay associated with a functionality and capable of receiving a first force; an identifier associated with the overlay; a touch sensor array coupled to the overlay; and wherein the overlay is capable of transmitting the first force to the sensor array; and the touch sensor array generates a first touch data based on the force.", "3.The tactile touch sensor system of claim 2, wherein the first touch data comprises an input for the functionality.", "4.The tactile touch sensor system of claim 2, wherein the functionality is an application program.", "5.The tactile touch sensor system of claim 2, wherein the tactile touch sensor system is configured for the functionality based on the identifier.", "6.The tactile touch sensor system of claim 2, wherein the overlay includes an indicator associated with the identifier.", "7.The tactile touch sensor system of claim 2, wherein the indicator comprises one of a group consisting of a magnet, a radio frequency identification (RFID) tag, a dot pattern, a raised indicia, an antenna coupled to a microcontroller, an optical indicia, a shorting bar, a conductive electrode, and a conductive bar.", "8.The tactile touch sensor system of claim 2, wherein the overlay is detachably coupled to the touch sensor array.", "9.The tactile touch sensor system of claim 2, wherein the touch sensor array is capable of generating a second touch data based on a second force received on the overlay in a same user input as the first force.", "10.A method for operating a tactile touch sensor system comprising: coupling an overlay associated with a functionality to a touch sensor array; associating an identifier with the overlay; receiving a first force on the overlay; transmitting a first force from the overlay to the touch sensor array; and generating a first touch data based on the first force.", "11.The method of claim 10, wherein the first touch data comprises an input for the functionality.", "12.The method of claim 10, wherein the functionality is an application program.", "13.The method of claim 10, further comprising configuring the tactile touch sensor system for the functionality based on the identifier.", "14.The method of claim 10, wherein the overlay includes an indicator associated with the identifier.", "15.The method of claim 10, wherein the indicator comprises one of a group consisting of a magnet, a radio frequency identification (RFID) tag, a dot pattern, a raised indicia, an antenna coupled to a microcontroller, an optical indicia, a shorting bar, a conductive electrode, and a conductive bar.", "16.The method of claim 10, wherein the overlay is detachably coupled to the touch sensor array.", "17.The method of claim 10, further comprising generating a second touch data based on a second force received on the overlay in a same user input as the first force.", "18.An overlay comprising: a first contact location for receiving a first force; a second contact location for transmitting the first force to a touch sensor array; and means for indicating a functionality associated with the overlay 19.The overlay of claim 18, wherein the functionality is an application program.", "20.The overlay of claim 18, wherein the means for indicating is associated with an identifier.", "21.The overlay of claim 18, wherein the means for indicating comprises one of a group consisting of a magnet, a radio frequency identification (RFID) tag, a dot pattern, a raised indicia, an antenna coupled to a microcontroller, an optical indicia, a shorting bar, a conductive electrode, and a conductive bar.", "22.The overlay of claim 18, wherein the overlay is capable of being detachably coupled to a touch sensor array." ], [ "<SOH> PRIOR ART AND BACKGROUND OF THE INVENTION <EOH>Touch sensors are input devices and are therefore typically paired with a complementary output device to provide a user with some form of feedback.", "In modern electronic devices this feedback is typically visual (i.e., a display).", "In smartphones, for instance, touch sensors are placed directly on top of displays to allow the direct manipulation of on-screen user interfaces.", "The display provides visual feedback and guides the user through the interaction.", "When using a force-sensing touch solution, visual feedback can be implemented by actually printing visual indicators on top of the touch surface itself.", "For example, treadmills often have force-sensitive buttons behind a flexible membrane.", "This membrane is printed with a pattern that indicates button location and functionality.", "Some of these membranes also have raised edges to indicate boundaries between buttons.", "This adds tactile feedback for the user, and increases the interface's usability.", "Since the membrane is flexible, the user can transmit forces through the membrane and activate the force-sensitive buttons lying underneath.", "The membrane provides the user with adequate visual/tactile feedback, rendering a display unnecessary.", "With this background as an application context, the present invention disclosure describes how physical augmentation of high-resolution force-sensitive touch sensors allows for the development of next-generation user interfaces.", "By replacing the set of discrete force-sensitive buttons with a high-resolution two-dimensional array of force sensors, the use of physical augmentation via overlays provides a much more powerful implementation and user experience.", "Instead of having a fixed set of buttons with a fixed membrane, it is possible to have one touch sensor that is compatible with an infinite number of membranes, each augmenting the sensor to add a different user experience.", "Touches may still be tracked across the entire sensor so much more data is available to application software directing the overall user experience." ], [ "<SOH> BRIEF SUMMARY OF THE INVENTION <EOH>" ], [ "CROSS REFERENCE TO RELATED APPLICATIONS Utility Patent Applications This application claims benefit under 35 U.S.C.", "§ 120 and incorporates by reference United States Utility Patent Application for TACTILE TOUCH SENSOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Jun.", "25, 2015, with EFS ID 2274923, confirmation number 9331, Ser.", "No.", "14/751,076, docket JSENS.00003.This application claims benefit under 35 U.S.C.", "§ 120 and incorporates by reference United States Utility Patent Application for TOUCH SENSOR DETECTOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Jun.", "25, 2014, with EFS ID 19410170, confirmation number 8306, Ser.", "No.", "14/314,662, docket JSENS.00002, issued as U.S. Pat.", "No.", "9,001,082 on Apr.", "7, 2015.This application claims benefit under 35 U.S.C.", "§ 120 and incorporates by reference United States Utility Patent Application for TOUCH SENSOR DETECTOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Sep. 26, 2014, with EFS ID 20257165, confirmation number 2413, Ser.", "No.", "14/498,478, docket JSENS.00002CON1., issued as U.S. Pat.", "No.", "9,582,098 on Feb. 28, 2017.This application claims benefit under 35 U.S.C.", "§ 120 and incorporates by reference United States Utility Patent Application for RESISTIVE TOUCH SENSOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Sep. 26, 2014, with EFS ID 20262520, confirmation number 8298, Ser.", "No.", "14/499,001, docket JSENS.00002CON2, issued as U.S. Pat.", "No.", "9,465,477 on Oct. 11, 2016.This application claims benefit under 35 U.S.C.", "§ 120 and incorporates by reference United States Utility Patent Application for CAPACITIVE TOUCH SENSOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Sep. 27, 2014, with EFS ID 20263634, confirmation number 8881, Ser.", "No.", "14/499,090, docket JSENS.00002CIP3, issued as U.S. Pat.", "No.", "9,459,746 on Oct. 4, 2016.Provisional Patent Applications This application claims benefit under 35 U.S.C.", "§ 119 and incorporates by reference United States Provisional Patent Application for TACTILE TOUCH SENSOR SYSTEM AND METHOD by inventors Ilya Daniel Rosenberg and John Aaron Zarraga, filed electronically with the USPTO on Jul.", "17, 2014, with EFS ID 19606351, confirmation number 5185, Ser.", "No.", "62/025,589, docket JSENS.00003P.", "This application claims benefit under 35 U.S.C.", "§ 119 and incorporates by reference United States Provisional Patent Application for INTERPOLATING FORCE SENSING ARRAY by inventor Ilya Daniel Rosenberg, filed electronically with the USPTO on Sep. 27, 2013, with Ser.", "No.", "61/883,597, docket P2224.This application claims benefit under 35 U.S.C.", "§ 119 and incorporates by reference United States Provisional Patent Application for INTERPOLATING FORCE SENSING ARRAY by inventor Ilya Daniel Rosenberg, filed electronically with the USPTO on Jan. 16, 2014, with Ser.", "No.", "61/928,269, docket P2224.01.PARTIAL WAIVER OF COPYRIGHT All of the material in this patent application is subject to copyright protection under the copyright laws of the United States and of other countries.", "As of the first effective filing date of the present application, this material is protected as unpublished material.", "However, permission to copy this material is hereby granted to the extent that the copyright owner has no objection to the facsimile reproduction by anyone of the patent documentation or patent disclosure, as it appears in the United States Patent and Trademark Office patent file or records, but otherwise reserves all copyright rights whatsoever.", "STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT Not Applicable REFERENCE TO A MICROFICHE APPENDIX Not Applicable FIELD OF THE INVENTION The present invention generally relates to systems and methods in the field of touch sensor devices and has specific application to tactile overlays for multi-touch and/or pressure-sensitive touch sensors.", "Specific invention embodiments may have particular applicability to touch-based force-sensing devices and methods for determining the location and amount of force exerted on a pressure-sensitive surface.", "PRIOR ART AND BACKGROUND OF THE INVENTION Touch sensors are input devices and are therefore typically paired with a complementary output device to provide a user with some form of feedback.", "In modern electronic devices this feedback is typically visual (i.e., a display).", "In smartphones, for instance, touch sensors are placed directly on top of displays to allow the direct manipulation of on-screen user interfaces.", "The display provides visual feedback and guides the user through the interaction.", "When using a force-sensing touch solution, visual feedback can be implemented by actually printing visual indicators on top of the touch surface itself.", "For example, treadmills often have force-sensitive buttons behind a flexible membrane.", "This membrane is printed with a pattern that indicates button location and functionality.", "Some of these membranes also have raised edges to indicate boundaries between buttons.", "This adds tactile feedback for the user, and increases the interface's usability.", "Since the membrane is flexible, the user can transmit forces through the membrane and activate the force-sensitive buttons lying underneath.", "The membrane provides the user with adequate visual/tactile feedback, rendering a display unnecessary.", "With this background as an application context, the present invention disclosure describes how physical augmentation of high-resolution force-sensitive touch sensors allows for the development of next-generation user interfaces.", "By replacing the set of discrete force-sensitive buttons with a high-resolution two-dimensional array of force sensors, the use of physical augmentation via overlays provides a much more powerful implementation and user experience.", "Instead of having a fixed set of buttons with a fixed membrane, it is possible to have one touch sensor that is compatible with an infinite number of membranes, each augmenting the sensor to add a different user experience.", "Touches may still be tracked across the entire sensor so much more data is available to application software directing the overall user experience.", "BRIEF SUMMARY OF THE INVENTION Overview A tactile touch sensor system and method providing for physical augmentation of a high-resolution force-sensitive touch sensor (FSTS) is disclosed.", "This physical augmentation is enabled through the use of physical overlays that are placed on top of the FSTS.", "These overlays may be constructed to transmit forces to the underlying FSTS.", "This force transmission is accomplished by either using a flexible overlay or by fashioning a rigid mechanical overlay such that forces exerted on the overlay by a user are transmitted to the FSTS underneath.", "Identification of individual overlays by the FSTS permits operational characteristics of the FSTS to be automatically reconfigured to conform to the currently applied overlay format.", "Various methods teach the construction of these physical overlays and describe how this type of physical augmentation may be used to increase the functionality and modularity of a FSTS module and FSTS systems.", "These systems may in some embodiments be augmented with additional resistive and/or capacitive sensors to automatically identify or interact with the physical overlay applied to the FSTS.", "The present invention involves coupling a physical overlay with a high-resolution, multi-touch, force-sensitive touch sensor.", "The physical overlay is designed to provide a user with visual/tactile feedback, and may be coupled with matching software to create a functional user interface.", "Since the physical overlay is placed between a user and the touch sensor, the overlay must be designed so that it transmits forces coming from the user to the sensor.", "These overlays can be flat or three-dimensional membranes, molded out of a flexible and/or compliant material.", "If an overlay is flexible, the overlay will naturally transmit forces from the user to the touch sensor.", "Alternatively, it is possible to construct rigid, mechanical widgets (buttons, sliders, knobs, etc.)", "which are designed to transmit user-supplied force to the underlying touch sensor.", "Finally, a programmable, deformable physical interface can be used to support a wide range of application-specific user interfaces.", "Characteristics and Advantages of the Invention Today, touch interfaces are primarily found on smartphones and tablets.", "One of the issues with these interfaces is that they have no tactile feedback.", "There have been industry efforts to “add back” the tactile feedback in these interfaces through the use of haptics.", "This has a wide set of challenges, and many efforts fail to effectively offer adequate tactile feedback.", "By physically augmenting force-sensitive touch sensors, it is possible to create physical and intuitive interfaces that offer both tactile and visual feedback, which increases the usability of the touch sensor.", "Instead of trying to “add back” the sensation of a button, you can design an overlay for a force-sensitive touch sensor that actually has a button.", "One can create overlays out of different materials, with different elasticity/compliance.", "With a “squishy” material, the user can better determine the level of force he/she is exerting on the sensor.", "A major advantage of the disclosed invention is that it allows construction using a modular approach, so that one touch sensor is compatible with a wide array of physically flexible overlays.", "This becomes much more cost-effective for the end-user, and eliminates the end-user purchase requirement of sensor interfaces that are designed for a single application.", "For instance, a musician can have a force-sensitive touch sensor and also have two overlays: a piano keyboard overlay and a drum pad overlay.", "This modular approach allows the musician to purchase more overlays (which are relatively inexpensive) and use them on his one touch sensor.", "Alternatively, the end-user could have multiple touch sensors and mix and match which overlays he is using at any given time.", "Exemplary Invention Application Contexts One of the most obvious use-cases for the present invention is the implementation of a standard QWERTY keyboard functionality.", "Typing on a flat touch sensor is very unpleasant, and most people need tactile feedback to type accurately and efficiently.", "Creating a physical QWERTY keyboard overlay would solve some of these issues and make typing on a touch sensor much more enjoyable.", "In addition to a standard QWERTY keyboard, simply by changing the overlay, keyboards for different languages (such as French) and different key arrangements (such as DVORAK) can be made.", "Even unusual keyboards, such as court-stenographer keyboards, and keyboards that use highly unconventional layouts and interface schemes can be created simply by changing the overlay.", "An infinite number of musical instruments could be fashioned using the present invention.", "For example, it is possible to make a drum pad or even a piano keyboard using the present invention teachings.", "For a drum pad, it is possible to 3D-print with a flexible material, and create any type of drum kit or layout.", "For a piano, it is possible to build an overlay that indicates piano key location.", "Since touches are tracked across the entire sensor, the sensor knows where the user is touching within a given key.", "This data can be used to expand the functionality of the piano.", "For example, software can use the fingers position within a given key to pitch-bend the current note a user is playing.", "Taking this idea further, novel forms of instruments having different button layouts, sizes, and shapes can be created just by creating custom overlays.", "Instead of having a monolithic overlay that covers the entire sensor, it is possible to augment the touch interface with multiple smaller overlays.", "In order to secure the overlays to the touch sensor, it is possible to employ the use of magnets to hold each overlay against the surface of the sensor.", "This allows a user to create user interface building blocks and allow a user to develop new interfaces on the fly.", "These magnetic building blocks could be as simple as a rectangle which marks a special area of the sensor.", "This might include a drawing software application with a rectangle indicating where the user can draw on the sensor.", "Other building blocks could be more complicated, such as a physical slider bar.", "This slider may be built so that it transmits forces through to the touch sensor.", "This permits adding the slider next to the drawing rectangle and using it to control the drawing line width or other sketching parameters.", "Besides a slider and a drawing area, many other mechanical building blocks may be created to emulate physical interfaces, such as knobs, physical buttons, toggle switches, and joysticks.", "With this modular approach, it is possible to develop both simple and highly complex physical interfaces.", "This modular approach to building physical interfaces could have huge implications in medical and industrial fields, where custom controls for specialized equipment can be very expensive.", "BRIEF DESCRIPTION OF THE DRAWINGS For a fuller understanding of the advantages provided by the invention, reference should be made to the following detailed description together with the accompanying drawings wherein: FIG.", "1 illustrates an overview block diagram of a preferred exemplary invention system embodiment; FIG.", "2 illustrates an overview flowchart of a preferred exemplary invention method embodiment; FIG.", "3 illustrates a block diagram of a preferred exemplary invention system embodiment; FIG.", "4 illustrates a flowchart of a preferred exemplary invention method embodiment; FIG.", "5 illustrates a flowchart of a preferred exemplary contact/event mapping method embodiment; FIG.", "6 illustrates a flowchart of a preferred exemplary event generation method embodiment depicting how the system software reads touch data and eventually determines if a particular touch has activated a region of interest on an overlay; FIG.", "7 illustrates how the system software reads touch data and eventually determines if a particular touch has activated a region of interest on a TPO overlay; FIG.", "8 illustrates various methods by which magnets may be incorporated into TSA/TPO structures; FIG.", "9 illustrates a schematic depicting detection of TPO identification using magnetometers; FIG.", "10 illustrates a diagram depicting detection of TPO identification using a magnet-encoded overlay; FIG.", "11 illustrates a diagram depicting the use of magnets/magnetometers to detect the presence of a TPO overlay; FIG.", "12 illustrates a flowchart depicting a method for automatic detection of a TPO overlay using magnetometers; FIG.", "13 illustrates a flowchart depicting a method for automatic detection of a TPO overlay using an embedded RFID device within the TPO overlay and a RFID antenna in the TSA; FIG.", "14 illustrates a flowchart depicting a method for automatic detection of an arbitrarily placed TPO overlay using an embedded RFID device within the TPO overlay and RFID antennas in the TSA; FIG.", "15 illustrates a flowchart depicting vertical and horizontal antennas placed within the TSA for the purposes of automatic identification of a TPO overlay using an embedded RFID device within the TPO overlay; FIG.", "16 illustrates a flowchart depicting a method for automatic detection of a TPO overlay using RFID communications; FIG.", "17 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "18 illustrates a top right rear perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "19 illustrates a bottom right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "20 illustrates a bottom right rear perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "21 illustrates a top view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "22 illustrates a bottom view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "23 illustrates a right side view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "24 illustrates a rear side view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) useful in implementing some embodiments of the present invention; FIG.", "25 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary custom TTA pressure overlay (TPO); FIG.", "26 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary custom TTA pressure overlay (TPO); FIG.", "27 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary custom TTA pressure overlay (TPO); FIG.", "28 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary custom TTA pressure overlay (TPO); FIG.", "29 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a third exemplary custom TTA pressure overlay (TPO); FIG.", "30 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a third exemplary custom TTA pressure overlay (TPO); FIG.", "31 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "32 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "33 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "34 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "35 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a third exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "36 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a third exemplary typewriter keyboard TTA pressure overlay (TPO); FIG.", "37 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary piano keyboard TTA pressure overlay (TPO); FIG.", "38 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a first exemplary piano keyboard TTA pressure overlay (TPO); FIG.", "39 illustrates a top right front perspective view of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary piano keyboard TTA pressure overlay (TPO); FIG.", "40 illustrates a top view and right/front sectional perspective views of a preferred exemplary touch sensitive array (TSA) tablet interface (TTI) with a second exemplary piano keyboard TTA pressure overlay (TPO); FIG.", "41 illustrates perspective and sectional views of an exemplary deformable membrane activated by piezo-electric elements; FIG.", "42 illustrates perspective and sectional views of an exemplary deformable membrane activated by pumped air/fluid elements; FIG.", "43 illustrates perspective and sectional views of an exemplary deformable membrane activated by heat expanding elements; FIG.", "44 illustrates an exemplary TSA/TPO configuration in which light piping is used to illuminate TPO structures; FIG.", "45 illustrates an exemplary TSA/TPO configuration in which light piping is used to illuminate TPO structures; FIG.", "46 illustrates an exemplary TSA/TPO configuration that implements energy harvesting for use by the TPO structure; FIG.", "47 illustrates an exemplary TSA/TPO configuration that implements energy harvesting for use by the TPO structure; FIG.", "48 illustrates an exemplary TSA tablet structure on which a variety of TPO elements are attached.", "FIG.", "49 illustrates top perspective and top perspective sectional views of a TPO peripheral edge insertion attachment mechanism between the TPO and the TSA assembly; FIG.", "50 illustrates front perspective sectional views of a TPO peripheral edge insertion attachment mechanism sequence between the TPO and the TSA assembly; FIG.", "51 illustrates top perspective and top perspective sectional views of a TPO side edge insertion attachment mechanism between the TPO and the TSA assembly; FIG.", "52 illustrates top and bottom perspective views of a TPO side edge insertion attachment mechanism between the TPO and the TSA assembly depicting open and closed edge invention variants; FIG.", "53 illustrates a top perspective view of a TPO magnetic bezel attachment mechanism between the TPO and the TSA assembly; FIG.", "54 illustrates a bottom perspective view of a TPO magnetic bezel attachment mechanism between the TPO and the TSA assembly; FIG.", "55 illustrates a top perspective exploded view of a TPO hinged bezel attachment mechanism between the TPO and the TSA assembly; FIG.", "56 illustrates a top perspective assembled view of a TPO hinged bezel attachment mechanism between the TPO and the TSA assembly; FIG.", "57 illustrates a perspective view of an exemplary TPO and TSA assembly for use in describing TPO identification methodologies taught by the present invention; FIG.", "58 illustrates perspective views of an exemplary TPO incorporating magnetic attachment means; FIG.", "59 illustrates a perspective view of an exemplary TPO incorporating magnetic attachment means and TPO magnetic identification means; FIG.", "60 illustrates a perspective view of an exemplary TPO incorporating magnetic attachment means and raised indicia identification means; FIG.", "61 illustrates a bottom view of an exemplary TPO incorporating magnetic attachment means with bar code identification means and QR-code identification means; FIG.", "62 illustrates a perspective view of an exemplary TPO incorporating raised bar code identification means; FIG.", "63 illustrates bottom and perspective views of an exemplary TPO incorporating magnetic attachment means and RFID identification means; FIG.", "64 illustrates perspective views of an exemplary TPO incorporating magnetic attachment means and switched TPO identification using shorting strips on the TPO and corresponding switch contacts on the surface of the TSA; FIG.", "65 illustrates top perspective and bottom perspective views of an exemplary TPO key embodiment; FIG.", "66 illustrates top front perspective and front sectional views of an exemplary TPO key embodiment with the key in an un-depressed state; FIG.", "67 illustrates top front perspective and front sectional views of an exemplary TPO key embodiment with the key in a depressed state; FIG.", "68 illustrates top diagonal perspective and diagonal sectional views of an exemplary TPO key embodiment with the key in an un-depressed state and depicts the attachment magnet structures; FIG.", "69 illustrates top front and top rear perspective views of an exemplary TPO rocker switch embodiment; FIG.", "70 illustrates bottom front perspective and bottom front sectional perspective views of an exemplary TPO rocker switch embodiment; FIG.", "71 illustrates side sectional perspective and side sectional views of an exemplary TPO rocker switch embodiment in a first switch position; FIG.", "72 illustrates side sectional perspective and side sectional views of an exemplary TPO rocker switch embodiment in a second switch position; FIG.", "73 illustrates a top perspective view of an exemplary TPO slider embodiment; FIG.", "74 illustrates a bottom perspective view of an exemplary TPO slider embodiment; FIG.", "75 illustrates top and bottom views of an exemplary TPO slider embodiment; FIG.", "76 illustrates front, side, and diagonal perspective sectional views of an exemplary TPO slider embodiment; FIG.", "77 illustrates top and bottom perspective views of an exemplary TPO dial knob embodiment; FIG.", "78 illustrates front sectional views of an exemplary TPO dial knob embodiment; FIG.", "79 illustrates side sectional views of an exemplary TPO dial knob embodiment; FIG.", "80 illustrates diagonal sectional views of an exemplary TPO dial knob embodiment; FIG.", "81 illustrates a top right front perspective view of an exemplary two-piece TPO mouse/puck embodiment; FIG.", "82 illustrates a bottom right front perspective view of an exemplary two-piece TPO mouse/puck embodiment; FIG.", "83 illustrates front, rear, and side views of an exemplary two-piece TPO mouse/puck embodiment; FIG.", "84 illustrates top and bottom views of an exemplary two-piece TPO mouse/puck embodiment; FIG.", "85 illustrates an assembly view of an exemplary two-piece TPO mouse/puck embodiment; FIG.", "86 illustrates perspective isolation views of the mouse/puck shell in an exemplary two-piece TPO mouse/puck embodiment; FIG.", "87 illustrates perspective isolation views of the mouse/puck contact surface in an exemplary two-piece TPO mouse/puck embodiment; FIG.", "88 illustrates a perspective isolation view of a mouse/puck contact surface variant in an exemplary two-piece TPO mouse/puck embodiment; FIG.", "89 illustrates top and bottom perspective views of an exemplary TPO joystick embodiment; FIG.", "90 illustrates front, top, and bottom views of an exemplary TPO joystick embodiment; FIG.", "91 illustrates front perspective sectional and front sectional views of an exemplary TPO joystick embodiment; FIG.", "92 illustrates side perspective sectional and side sectional views of an exemplary TPO joystick embodiment; FIG.", "93 illustrates diagonal perspective sectional views of an exemplary TPO joystick embodiment; FIG.", "94 illustrates side sectional views of an exemplary TPO joystick embodiment illustrating various joystick positions and spring conditions; FIG.", "95 illustrates top and bottom perspective views of an exemplary TPO joystick embodiment incorporating a pushbutton selector; FIG.", "96 illustrates front perspective sectional and front sectional views of an exemplary TPO joystick embodiment incorporating a pushbutton selector; FIG.", "97 illustrates a top perspective view of an exemplary TPO trackpad embodiment; FIG.", "98 illustrates a bottom perspective view of an exemplary TPO trackpad embodiment; FIG.", "99 illustrates a top perspective front section view of an exemplary TPO trackpad embodiment; FIG.", "100 illustrates a top perspective diagonal section view of an exemplary TPO trackpad embodiment; FIG.", "101 illustrates a top perspective view of an exemplary TPO keypad embodiment; FIG.", "102 illustrates a bottom perspective view of an exemplary TPO keypad embodiment; FIG.", "103 illustrates a top perspective front section view of an exemplary TPO keypad embodiment; FIG.", "104 illustrates a top perspective diagonal section view of an exemplary TPO keypad embodiment; FIG.", "105 illustrates a top perspective view and top perspective front/side sectional views of a basic flat trackpad/keypad overlay that may or may not have printed text or surface key texturing associated with its construction; FIG.", "106 illustrates a top perspective view and top perspective front/side sectional views of a trackpad/keypad overlay that incorporates edge indentations around buttons/keys; FIG.", "107 illustrates a top perspective view and top perspective front/side sectional views of a trackpad/keypad overlay that incorporates edge ridges around buttons/keys; FIG.", "108 illustrates a top perspective view and top perspective front/side sectional views of a raised key/button trackpad/keypad overlay; FIG.", "109 illustrates a top perspective view and top perspective front/side sectional views of a depressed/lowered key/button trackpad/keypad overlay; FIG.", "110 illustrates a top perspective view and top perspective front/side sectional views of a depressed/lowered key/button trackpad/keypad overlay with raised bump indicia; FIG.", "111 illustrates a top perspective view and top perspective front/side sectional views of a domed key/button trackpad/keypad overlay; FIG.", "112 illustrates a top perspective view and top perspective front/side sectional views of a domed key/button trackpad/keypad overlay with key caps; FIG.", "113 illustrates top front right and top rear left perspective views of an exemplary TPO key structure incorporating modular construction features; FIG.", "114 illustrates bottom front right and bottom rear left perspective views of an exemplary TPO key structure incorporating modular construction features; FIG.", "115 illustrates top and bottom views of an exemplary TPO key structure incorporating modular construction features; FIG.", "116 illustrates front and side views of an exemplary TPO key structure incorporating modular construction features; FIG.", "117 illustrates top front right and top rear left perspective views of an exemplary assembled TPO keyboard structure incorporating modular construction features; FIG.", "118 illustrates a top front side sectional perspective view of an exemplary assembled TPO keyboard structure incorporating modular construction features; FIG.", "119 illustrates a top right side sectional perspective view of an exemplary assembled TPO keyboard structure incorporating modular construction features; FIG.", "120 illustrates a top front side sectional perspective detail view of an exemplary assembled TPO keyboard structure incorporating modular construction features; FIG.", "121 illustrates top and bottom views of an exemplary TPO key structure incorporating modular construction features with integrated automatic identification mechanisms; FIG.", "122 illustrates bottom right front and bottom left rear perspective views of an exemplary TPO key structure incorporating modular construction features with integrated automatic identification mechanisms; FIG.", "123 illustrates a front view of an exemplary TPO key structure incorporating modular construction features with integrated automatic identification mechanisms; FIG.", "124 illustrates a side view of an exemplary TPO key structure incorporating modular construction features with integrated automatic identification mechanisms; FIG.", "125 illustrates a bottom view, top right front perspective view, and bottom right front perspective view an exemplary assembled TPO keyboard structure incorporating modular construction features with integrated automatic identification mechanisms; FIG.", "126 illustrates a top right front perspective view an exemplary TSA tablet combined with a number of TPO keyboard structures; FIG.", "127 illustrates a top view an exemplary TSA tablet combined with a number of TPO keyboard structures; and FIG.", "128 illustrates a flowchart depicting automatic loading of application software and device drivers associated with placement of automatically identified TPO keyboard structures on a TSA.", "DESCRIPTION OF THE PRESENTLY PREFERRED EXEMPLARY EMBODIMENTS While the present invention is susceptible of embodiment in many different forms, there is shown in the drawings and will herein be described in detailed preferred embodiments of the invention with the understanding that the present disclosure is to be considered as an exemplification of the principles of the invention and is not intended to limit the broad aspect of the invention to the embodiment illustrated.", "The numerous innovative teachings of the present application will be described with particular reference to the presently preferred embodiment, wherein these innovative teachings are advantageously applied to the particular problems of a TACTILE TOUCH SENSOR SYSTEM AND METHOD.", "However, it should be understood that this embodiment is only one example of the many advantageous uses of the innovative teachings herein.", "In general, statements made in the specification of the present application do not necessarily limit any of the various claimed inventions.", "Moreover, some statements may apply to some inventive features but not to others.", "Touch Sensor Array (TSA) Definition Within the context of the present invention, the term “Touch Sensor Array (TSA)” is defined as the interpolating high-resolution force-sensitive touch sensor (FSTS) systems as described in the above-referenced patents and patent applications incorporated by reference in this application.", "TPO Manufacturing Not Limitive The present invention anticipates a means of producing TPO overlays in many preferred embodiments by injection molding and/or 3D printing.", "However, the present invention also anticipates that TPO overlays may be laser-cut from blank overlays or stock overlay materials.", "TPO Overlay Transparency Not Limitive An additional type of overlay anticipated by the present invention is a transparent overlay that retains a printed sheet of paper.", "This TPO overlay enables end-users that do not have access to a 3D printer or laser cutter to quickly prototype new overlay designs and apply them to the transparent overlay front or back surface.", "TPO Overlay Material Not Limitive With respect to the manufacture of TPO overlays, it should be noted that TPO overlays may be made of a squishy material such as a urethane foam (Rogers Corporation PORON® brand microcellular urethanes are provided as an example), silicone foam, neoprene foam, and any regular (non-foamed) silicone or rubber (including thermoplastic polyurethane (TPU)).", "Mouse Not Limitive The term “mouse” when used in the context of a moveable or non-movable TPO overlay should be given a broad interpretation to cover any kind of “puck” which might not even have buttons or a scroll wheel on it.", "Thus, the term “mouse” when used herein may literally be an object that the user moves and which the TSA sensor tracks.", "Within this context it is also possible with the present invention for the TSA to track the rotational orientation of the mouse/puck as well as the force distribution (so as to be able to detect tilting pressure applied to the mouse/puck).", "TPO Automatic Identification Not Limitive While the discussion herein regarding identification of TPO overlays concentrates on automatic identification of these structures, the present invention also anticipates scenarios in which some types of TPO overlays (such as home-made 3D printed overlays), allow the user to specify the overlay ID and/or location on the TSA surface manually.", "TSA/TPO Magnetic Coupling Not Limitive Many embodiments illustrated herein make use of paired magnets in the TSA and TPO structures to provide a mechanical coupling mechanism between the TSA and TPO.", "In some embodiments one of these magnets may be replaced by a ferromagnetic material (iron, steel, etc.)", "that is magnetically coupled to the remaining magnet in the coupled pair.", "This would provide, for example, the use of a ferromagnetic TPO or TPO bezel to be mated to magnets within the TSA or alternatively magnets within the TPO or TPO bezel to be mated to ferromagnetic material embedded within the TSA or the periphery of a bezel retaining the TSA.", "Thus, the term “magnet” and similar terms when used herein covers a wide variety of magnetically coupled mating methodologies.", "TSA Construction The TSA as described herein may comprise a pressure-sensitive surface (PSS) incorporating row-column force detection and/or row-column force interpolation detection.", "Sensor Technologies Application Context One of the main components of the present invention is a high-resolution, multi-touch, force-sensitive touch sensor.", "This technology is perfectly suited for physical augmentation, because unlike other touch technologies, the touch sensor can be activated with any object that exerts a force.", "With a capacitive touch technology, you would only be able to activate the sensor with conductive objects.", "This would make construction of overlays more difficult and would require special materials for fabrication.", "Many optical solutions will also not work, because most solutions transmit/receive light signals from the side of the sensor.", "If you placed an overlay on the sensor, it would potentially block paths for this light to travel, and you wouldn't be able to sense interaction on the overlay itself.", "A multi-touch, force-sensitive touch sensor is used in the preferred embodiment of the present invention.", "In the rest of the disclosure, “touch sensor” should be understood to be a multi-touch, force-sensitive touch sensor.", "System Overview (0100) A general overview of the present invention system is depicted in the block diagram of FIG.", "1 (0100).", "Here the tactile touch sensor system (TTS) (0110) comprises a touch sensor array (TSA) (0111) as generally described in the patents and patent applications identified above and incorporated herein by reference.", "To this TSA (0111) a TSA physical overlay (TPO) (0112) is applied.", "This TPO (0112) may incorporate a wide variety of physical forms, many of which are provided by example in the present application and described further in detail below.", "The TPO (0112) may be of a fixed integrated form but also may be of disparate forms that are mated together to form a customized physical form.", "Each of the TPO (0112) (whether integrated form or disparate form) may incorporate a TPO identifier (TPI) (0113) that uniquely identifies the type of TPO (0112) that constitutes the overlay structure.", "This TPI (0113) is then read by a TPO detector (TPD) (0114) that translates this information into a binary identification format (BIF).", "This BIF is suitable for interpretation by a TTS hardware computer interface (HCl) (0115) and is subsequently transmitted to a user computing device (UCD) (0101).", "The UCD (0101) loads appropriate software and/or device drivers from an application software driver (ASD) (0102) database that are then used to interpret contact/pressure information retrieved from the TSA (0111) as the user (0103) interacts with the TPO (0112).", "Depending on the TPI (0113) detected by the TPD (0114) and the subsequent software driver loaded by the UCD (0101) from the ASD (0102), a variety of graphical user interfaces (GUI) (0104) may be presented to the user (0101).", "Method Overview (0200) A general overview of the present invention method is depicted in the flowchart of FIG.", "2 (0200).", "This tactile touch sensor (TTS) method comprises the following steps: (1) Encoding a TPI overlay identification within a touch sensor physical overlay (TPO) to uniquely identify the function of the TPO (0201); (2) Applying the TPO to the surface of a touch sensor array (TSA) (0202); (3) Reading the TPI with a TPO detector (0203); (4) Interrogating the TPI using TTS hardware computer interface (HCS) using a user computing device (UCD) (0204); (5) Loading an application software driver (ASD) on said UCD based on the TPI read by said TPD (0205); (6) Presenting a software application/interface to a user based on the TSI read by the TPD (0206); (7) Interpreting inputs from the TSA inputs through the HCl based on the TSI read by the TPD (0207); and (8) Proceeding to step (6) if the ISO has not been modified or replaced and proceeding to step (2) if the TPD has detected a change in the TPO applied to the TSA.", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "Integration of this and other preferred exemplary embodiment methods in conjunction with a variety of preferred exemplary embodiment systems described herein is anticipated by the overall scope of the present invention.", "System Detail (0300) A detail overview of the present invention system is depicted in the flowchart of FIG.", "3 (0300).", "The touch sensor array detector (TSA) (0301) forms the basis for collection of pressure sensor information from a pressure sensitive surface and is described in the referenced patent documents included by reference.", "The user (0302) interacts with a graphical user interface (0303) which is associated with a physical TSA pressure overlay (TPO) (0304) that is detected and identified with a TPO detector (TPD) (0305).", "A database of contact/event mappings (0306) is then used to associated software functions with the raw touch sensor data (0307) received from the TSA (0301).", "The raw touch sensor data (0307) is then interpreted by a contact location extraction process (0308) as configured by a contact/event mapping and event generator (0309) that has been configured by the automatic TPD detection (0305) of the TPO (0304).", "Events generated by the event generator (0309) are then transformed by a USB/BLUETOOTH® composite software interface (0310) into appropriate protocols (MIDI protocol (0311), serial protocol (0312), HID mouse protocol (0313), HID keyboard protocol (0314), HID digitizer protocol (0315), HID joystick protocol (0316), etc.)", "and delivered to a USB/BLUETOOTH® host (0320) for interpretation by the appropriate software driver ((0321), (0323) (0325)) and associated software application ((0322), (0324), (0326)).", "One advantage of this system is the ability to automatically identify (0305) a particular TPO overlay (0304) and load appropriate software drivers/applications (0309) based on this identification process.", "Software Interface It is important to note that physical touch sensor augmentation requires a software component to enable an effective user interface.", "There must be software that is aware of what TPO overlay is on top of the TSA touch sensor, so that touch data can be translated into functionality as indicated by the overlay.", "For instance, if a piano keyboard overlay is placed on a sensor, the user must also have software enabled that is translating touches into piano key presses.", "The application software can generate audio directly or can send key press events to other software using a standard format such as MIDI.", "It is also important to keep the overlay “in sync” with the software.", "If you replace the previously mentioned piano keyboard overlay with a drum pad and the piano software is still running, the drum pad will have very unexpected results (playing the drum pads would activate keys in the piano software).", "This invention disclosure teaches several methods for keeping the software “in sync” with the overlay.", "These methods will be described in a later section.", "Method Detail (0400) A detail overview of the present invention method is depicted in the flowchart of FIG.", "4 (0400).", "This tactile touch sensor (TTS) method comprises the following steps: (1) Reading touch sensor data from a TSA touch sensor (0401); (2) Extracting contact locations from the touch sensor data (0402); (3) Configuring contact/event mapping based on the detected contact locations (0403); (4) Generating events based on the detected contact locations (0404); (5) Sending event data through a specified API interface to an associated software application (0405); and (6) Looping to step (1) to read additions touch sensor data (0406).", "FIG.", "4 (0400)-FIG.", "7 (0700) depict how the system software reads touch data and eventually determines if a particular touch has activated a region of interest on an overlay.", "FIG.", "4 (0400) provides an overview of system operation.", "FIG.", "5 (0500) details the configuration of contact/event mapping.", "FIG.", "6 (0600) details the generation of events based on contact locations.", "The overlay in this example is provided in FIG.", "7 (0700) and has two regions of interests, or two “features”.", "The underlying software is made to run with this particular overlay, so it also knows where these two features are on the touch sensor.", "When a finger touches the TPO overlay, force is transmitted through the flexible overlay to the underlying force-sensitive touch sensor.", "This generates a force response the sensor sees for this particular touch.", "The sensor can use this response to calculate a contact position.", "The software uses its knowledge of the two features to determine if this touch lies within one of these regions.", "In this case, the software detects that the features on the left has been activated.", "The software can then trigger an event associated with the activation of the left feature.", "Configure Contact/Event Mapping Method Detail (0500) A detail overview of the present invention contact/event configuration mapping method is depicted in the flowchart of FIG.", "5 (0500).", "This tactile touch sensor (TTS) method comprises the following steps: (1) Determining if a TPO overlay is detected and if so, proceeding to step (3) (0501); (2) Loading a default contact/event map and proceeding to step (4) (0502); (3) Loading a contact/event map associated with the TPO overlay (0503); (4) Determining if the user is manually defining a contact/event map via the GUI and if not, proceeding to step (6) (0504); (5) Adjusting the loaded contact/event map per user specification from the GUI (0505); (6) Saving the contact/event map (0506); and (7) Returning to the calling procedure (0507).", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "Generate Events on Contact Locations Method (0600) A detail overview of the present invention contact locations event generation method is depicted in the flowchart of FIG.", "6 (0600).", "This tactile touch sensor (TTS) method comprises the following steps: (1) Examining a contact location (0601); (2) Examining an “active region” in the contact/event map (0602); (3) Determining if the contact locations reside within the “active region” in the contact event/map, and if so, proceeding to step (6) (0603); (4) Determining if there are additional “active regions” in the contact event map to be inspected, and if not, proceeding to step (7) (0604); (5) Repeating steps (2)(4) for all “active regions” in the contact event/map (proceed to step (2)) (0605); (6) Generating an event that is specified by the currently active region per the contact/event mapping (0606); (7) Determining if there are any more contacts to examine, and if not, proceeding to step (9) (0607); (8) Repeating steps (1)-(8) for all contacts detected (proceed to step (1)) (0608); and (9) Returning to the calling procedure (0609).", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "Generating Events on Contact Locations (0700) An example of how events are generated based on contact locations is depicted in the diagram of FIG.", "7 (0700).", "Here two active areas (1 and 2) are depicted and corresponding active area contact/event mapping is detailed.", "This diagram provides an example framework by which the procedures in FIG.", "4 (0400)-FIG.", "6 (0600) may operate.", "TSA/TPO Magnet Placement Techniques (0800) Various methods by which magnets may be incorporated in TSA/TPO structures are generally depicted in the diagram of FIG.", "8 (0800).", "Here a generic TSA/TPO structure (0810) is presented for illustrative purposes that combines a number of anticipated magnet placement techniques.", "Within this generic sampling context, the magnets may be placed/embedded internally (0811) within the TSA/TPO structure (0810), positioned through the entire structure (0812), placed flush to a surface of the structure (0813), or protruding from the surface of the structure (0814).", "In any of these situations the magnets may be placed inside the overlay during an injection molding process or glued in/inserted after the injection molding process is complete.", "In any of these situations the magnet may be configured in any physical form and placed when the TSA/TPO membrane (0810) is injected molded or in some circumstances inserted after the injection molding process is completed.", "For those situations in which the magnets are inserted after injection molding, it may be possible to fabricate the TSA/TPO with a magnet cavity having an external surface peripheral edge diameter slightly smaller than the magnet so as to capture the magnet when inserted into the magnet cavity.", "Additionally, the use of flexible magnetic strips that are flush (0815) to the surface of the TSA/TPO (0810) and/or protruding (0816) from the surface of the TSA/TPO (0810) are also anticipated in these scenarios.", "As generally depicted, any of the magnetic structures depicted may be positioned as protruding (0817) or flush (0818, 0819) with the TSA/TPO (0810) surface.", "Magnetometer TPO Identification (0900)-(1200) The present invention may implement identification of the TPO by magnetometer sensors as generally depicted in FIG.", "9 (0900)-FIG.", "12 (1200).", "FIG.", "9 (0900) depicts a typical hardware interface used within the TSA structure to individually detect magnets that are placed within the TPO for identification purposes.", "FIG.", "10 (1000) illustrates a typical TSA/TPO pair in which magnetometers in the TSA are matched with corresponding embedded magnet positions in the TPO to provide a decoded TPO overlay identification.", "The magnetometers may also be used to detect the presence of the TPO overlay as generally depicted in FIG.", "11 (1100) where magnetometers in the TSA are activated on the presence of detected magnets in the corresponding TPO locations.", "A general method for the automatic magnetic detection of TPO overlays is generally depicted in FIG.", "12 (1200) and involves the following steps: (1) Scanning magnetometers in the TSA (1201); (2) Determining if any magnets were detected, and if not, proceeding to step (7) (1202); (3) Waiting a predetermined amount of time to allow settling of the TPO overlay (1203); (4) Scanning the magnetometers in the TSA (1204); (5) Determining if the number of detected magnets in the TPO has changed, and if so, proceeding to step (3) (1205); (6) Reporting a TPO overlay ID as decoded by the magnetometers in the TSA and proceeding to step (8) (1206); (7) Reporting no TPO overlays detected (1207); and (8) Returning to the calling procedure (1208).", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "RFID TPO Identification (1300)-(1600) The present invention may implement identification of the TPO by radio frequency identification (RFID) tag/sensors as generally depicted in FIG.", "13 (1300)-FIG.", "16 (1600).", "FIG.", "13 (1300) how a RFID antenna in the TSA may be mated with a corresponding RFID tag in the TPO to allow identification and presence detection of the TPO by the TSA.", "FIG.", "14 (1400) depicts how an array of RFID antennas within the TSA may be used to locate the position of a number of TPO structures on the TSA surface via the use of RFID tags within the various TPO structures.", "FIG.", "15 (1500) depicts how horizontal/vertical antennas may be placed within the TSA and TPO to provide for TPO detection and communication between these two structures.", "A general method for the automatic RFID detection of TPO overlays is generally depicted in FIG.", "16 (1600) and involves the following steps: (1) Scanning a single RFID coil in the TSA (1601); (2) Determining if any RFIDs were detected, and if not, proceeding to step (4) (1602); (3) Reporting a TPO overlay ID as read from the RFID (1603); (4) Repeating steps (1)-(3) for all remaining RFID coils in the TSA (proceed to step (1) (1604); and (5) Returning to the calling procedure (1605).", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "TSA Tablet Interface (TTI) (1700)-(2400) The present invention may implement the described tactile touch sensor system/method using a touch sensitive array (TSA) tablet interface (TTI) as generally depicted in FIG.", "17 (1700)-FIG.", "24 (2400).", "This TTI provides the foundation on which many preferred invention embodiments may be constructed.", "A wide variety of TPO overlays as described below may be attached to the TTI active TSA pressure sensitive surface and communicate with the TSA electronics and remote host computers using a variety of wired and wireless communication protocols.", "The tabled illustrated in these figures can be constructed with any type of perimeter form.", "Additionally while a set number of keys are depicted in the diagrams that follow, the present invention may incorporate any number of keys in based on application context.", "Keys represented in the figures may incorporate embossed indicia (via an embossing manufacturing process), but this is not a limitation of the present invention.", "Exemplary 3D Overlays (2500)-(4000) TPO overlays may be constructed so they are three-dimensional.", "This can be achieved by molding or 3D-printing a flexible material into a three-dimensional overlay.", "For a piano, an overlay could be created such that the black keys are taller than the white keys.", "The overlay could also have gaps in between the keys, so that a user can feel where piano keys start/end.", "This type of overlay provides both visual and tactile feedback to the user.", "FIG.", "25 (2500)-FIG.", "40 (4000) shows cross-sections of various features that can be added to an overlay when using a three-dimensional overlay manufacturing process.", "It should be noted that a wide variety of overall TPO thicknesses are possible using these construction techniques and several of these variants are provided in the example embodiments depicted in FIG.", "25 (2500)-FIG.", "40 (4000).", "TPO First Generic Keypad Interface (2500)-(2600) The present invention may implement the described tactile touch sensor system/method in a first generic keypad form as generally depicted in FIG.", "25 (2500)-FIG.", "26 (2600).", "This example represents a typical custom keypad interface layout.", "TPO Second Generic Keypad Interface (2700)-(2800) The present invention may implement the described tactile touch sensor system/method in a second generic keypad interface form as generally depicted in FIG.", "27 (2700)-FIG.", "28 (2800).", "TPO Third Generic Keypad Interface (2900)-(3000) The present invention may implement the described tactile touch sensor system/method in a third generic keypad interface form as generally depicted in FIG.", "29 (2900)-FIG.", "30 (3000).", "TPO First Keyboard Interface (3100)-(3200) The present invention may implement the described tactile touch sensor system/method in a first keyboard form as generally depicted in FIG.", "31 (3100)-FIG.", "32 (3200).", "These figures depict a flat overlay physically augmenting a force-sensitive touch sensor.", "In this case, the overlay is a flat piece of flexible material.", "This overlay has a QWERTY keyboard printed on it to provide visual feedback to the user.", "TPO Second Keyboard Interface (3300)-(3400) The present invention may implement the described tactile touch sensor system/method in a second keyboard form as generally depicted in FIG.", "33 (3300)-FIG.", "34 (3400).", "Since the TPO overlays may be substituted at will, the characters on the keyboard face may be replaced depending on the language desired by the user.", "TPO Third Keyboard Interface (3500)-(3600) The present invention may implement the described tactile touch sensor system/method in a third keyboard form as generally depicted in FIG.", "35 (3500)-FIG.", "36 (3600).", "This embodiment uses thicker key forms than the previous embodiments and illustrates how the “feel” of the keyboard may be modified based on the TPO overlay selected.", "Thus, a single TSA tablet may support a large number of “feels” for user ergonomics.", "TPO First Piano Keyboard Interface (3700)-(3800) The present invention may implement the described tactile touch sensor system/method in a first piano keyboard form as generally depicted in FIG.", "37 (3700)-FIG.", "38 (3800).", "This is just an example of a large number of musical keyboards and musical sampler player keyboards that may be formed as TPO overlays for the TSA tablet interface.", "TPO Second Keyboard Interface (3900)-(4000) The present invention may implement the described tactile touch sensor system/method in a second piano keyboard form as generally depicted in FIG.", "39 (3900)-FIG.", "40 (4000).", "Here the key relief is higher than in the previous version and illustrates how the TPO overlay may be configured in a wide variety of forms to suit the ergonomics of musicians.", "Programmable Deformable Membranes (4100)-(4300) The TPO structure described herein may be constructed using a programmable deformable membrane as generally depicted in FIG.", "41 (4100)-FIG.", "43 (4300).", "These figures depict cross-sections of three different programmable, deformable membranes.", "In addition to molded and mechanical overlays, one can also use programmable, deformable membranes for physical touch sensor augmentation.", "These membranes can be constructed by embedding elements in a flexible overlay that deform when activated, leading to deformation of the overlay itself.", "Programmable membranes become very powerful if the system has programmatic control over which deforming elements are active at any given time, the application software can dynamically control the appearance and tactile layout of the overlay.", "This removes the need to actually swap out various overlays in the system.", "It is possible to have a single programmable membrane that takes on various shapes and provides the user with different interfaces.", "For this solution, the membrane can be laminated directly on the touch sensor surface.", "Piezo Deformation (4100) FIG.", "41 (4100) depicts piezo or polymer-based deforming elements embedded into a flexible overlay.", "When a voltage is applied to the deforming elements, the embedded piezo/polymer elements deform.", "This deformation causes the TPO overlay surface to deform.", "Deforming elements can be constructed using piezo elements and/or polymers that deform as voltage is applied to them.", "Air/Fluid/Vacuum Deformation (4200) Another way to build deforming elements is to embed pockets of air or liquid into the overlay.", "These pockets are hooked up to a pressurized pumping system that can control the amount of air/liquid in these pockets.", "As air/liquid is pumped into these pockets, the overlay will expand.", "As air/liquid is released from these pockets, the overlay will contract.", "FIG.", "42 (4200) depicts a deformable membrane system where fluid or gas is pumped into pockets which are embedded in the membrane.", "The amount of fluid/gas pumped in or out of these pockets determines how much the TPO overlay surface deforms.", "Heat Deformation (4300) A final method for achieving this effect is to embed heat-sensitive elements that deform when exposed to heat/cold.", "Heating elements can be built into the overlay in order to activate these deforming elements.", "FIG.", "43 (4300) depicts a deformable membrane that uses deforming elements that are activated by heat.", "Heating elements are placed near the deforming elements, and current is run through the heating elements.", "This causes the heating elements to warm up, which causes the deforming elements to expand, which deforms the membrane.", "TPO Light Piping (4400)-(4500) One way to improve the usability of overlays in a dark setting is to illuminate the overlay.", "For this approach, side-mounted LEDs can be placed around the bezel of the touch sensor.", "A TPO overlay can be designed such that it functions as a light-guide for these side-illuminating LEDs.", "Each overlay can tightly control where light travels within the overlay, and also which areas of the overlay appear illuminated or dark.", "This improves visual feedback to the user, as each overlay can use this light-guide technique to highlight specific functions presented by the overlay.", "The TPO structure described herein may incorporate light piping as generally depicted in FIG.", "44 (4400)-FIG.", "45 (4500).", "As seen by these diagrams, lighting of particular portions of the TPO supplied by the TSA tablet structure is provided by side LEDs and optical light piping within the TPO structure.", "These figures show how an overlay can be used as a light guide to increase visibility of the overlay in various environments.", "Side-mount LEDs can be mounted around the edge of the touch sensor and can shine into an overlay that is placed on top of the touch sensor.", "Light injected from the side of the overlay can diffuse and exit out of designated areas.", "As can be seen from the drawings, some areas allow light to pass through and exit the overlay (these areas will appear illuminated), where other sections are designed to keep light inside the overlay (these areas will appear dark).", "Different LEDs can be used to illuminate different sets of TPO structures.", "Active TPO Energy Harvesting (4600)-(4700) So far, overlays have been described as purely passive and unpowered.", "However, more sophisticated overlays can be created if the overlay can receive power from the sensor.", "This is made possible by inductively powering the overlays that are placed on the sensor.", "Depending on the amount of power transferred, these overlays can have powered LEDs, segment-displays, or even play audio through small speakers.", "These overlays could even have small microcontrollers which are capable of talking over BLUETOOTH® or BLE to the application software directly.", "The modular overlay may contain an inductive coil, capable of receiving power from an inductive charger.", "Touch sensors that are transparent to magnetic fields can be fitted with inductive charging coils to support charging/powering these modular overlays.", "The TPO structures described herein may implement energy harvesting as generally depicted in FIG.", "46 (4600)-FIG.", "47 (4700).", "As seen by these diagrams, collection of energy from the environment and the TSA tablet may permit electronics within the TPO to perform a variety of functions in conjunction with activation of pressure to the TSA surface.", "Exemplary TSA/TPO Integration (4800) So far, overlays have been described as monolithic entities, covering the entirety of the touch sensor.", "However, it is equally beneficial to build smaller overlays that can be placed in different areas of the sensor.", "If the bottom of the touch sensor is layered with a ferromagnetic material, the magnetic attachment method can be used to mount each overlay reliably to the sensor.", "If a force-profile, RFID, optical, capacitive, inductive, or resistive identification scheme is implemented, the various overlays can be identified and tracked across the sensor.", "This is important so that the software can automatically configure itself to translate touch data into overlay-dependent functional output.", "With this modular overlay approach, one can mix and match flat, 3D, mechanical, and deformable overlays to create new, custom interfaces.", "These modular overlays are described in more detail in FIG.", "65 (6500)-FIG.", "128 (12800).", "An example of a TSA tablet interfaced with a variety of modular TPO structures as described herein is generally depicted in FIG.", "48 (4800).", "The surface of the TSA tablet has been reduced in scale for this illustration but may in some applications be quite large, and include surfaces having many square feet of surface area.", "As depicted, the TPO structures may be aligned in any orientation on the surface and in some applications with a number of disparate individuals operating the TPO structures, the TPO structures may be aligned to for a proper orientation to each individual cooperating on a singular large TSA tablet.", "TSA/TPO Attachment Mechanisms (4900)-(5600) The TPO structure described herein may be attached to the TSA using a variety of techniques as generally depicted in FIG.", "49 (4900)-FIG.", "56 (5600).", "There are many different ways to couple an overlay with a touch sensor.", "The simplest method is to simply place the overlay on top of the sensor.", "However, this method is not very reliable as the overlay is free to move around.", "The following sections describe better methods for attaching sensor overlays.", "TPO Peripheral Edge Insertion into TPA (4900)-(5000) A touch sensor housing can be constructed so that its bezel is rigid but has enough overhang to hold an overlay in place.", "FIG.", "49 (4900)-FIG.", "50 (5000) shows how an overlay can be integrated into such a design.", "As generally depicted in FIG.", "49 (4900)-FIG.", "50 (5000), the TPO (4910) may be flexed to allow peripheral edge insertion into the bezel covering the TSA (4920).", "This insertion sequence is detailed in FIG.", "50 (5000) wherein the TPO (5010) edges are inserted into recesses (5021) in the bezel (5022) covering the TSA surface.", "As discussed above, a bezel can be designed to hold an overlay without hinges or magnets.", "This configuration may incorporate a rigid bezel designed to have an overhang capable of holding a flexible overlay.", "In this configuration, an overlay can be folded and slid into the housing such that the overlay edges fall beneath the bezel overhang.", "This configuration works for flexible overlays, but not for rigid overlays.", "TPO Side Edge Insertion into TPA (5100)-(5200) A touch sensor housing can be constructed so that its bezel is rigid but has enough overhang to hold an overlay in place.", "FIG.", "51 (5100)-FIG.", "52 (5200) shows how an overlay can be integrated into such a design.", "As generally depicted in FIG.", "51 (5100)-FIG.", "52 (5200), the TPO (5110) may be configured to permit side edge insertion into the bezel covering the TSA (5120).", "Within this TPO attachment embodiment as detailed in FIG.", "52 (5200) the TSA bezel may be configured have closed ends (5222) or to be open ended (5223).", "While the depiction in FIG.", "51 (5100) depicts a closed-ended bezel (5222) configuration, the open-ended bezel (5223) configuration may be substituted with no loss of invention scope.", "Depending on the embossed key height of the TPO (5110), the choice of the bezel type will be application specific and in some circumstances the bezel may be mated with the TPO as separate or unitary structures.", "FIG.", "51 (5100)-FIG.", "52 (5200) shows an attachment solution that works for both flexible and rigid overlays.", "This touch sensor housing has a rigid bezel on three sides.", "This allows a sensor to be slid into the housing.", "Optionally, a bezel can be snapped into place to secure the overlay on the side from which it was inserted into the housing.", "Details of this snap attachment feature are not shown but one skilled in the art will recognize that many plastic snap attachment methodologies are compatible with this embodiment teaching.", "TPO Magnetic Bezel Attachment to TPA (5300)-(5400) It is also possible to attach an overlay to a touch sensor with magnets.", "These magnets can be placed in the bezel of a device to help with sensor/overlay alignment.", "A touch sensor housing can also be constructed so that the bezel completely detaches from the housing.", "This is similar to the hinged frame approach, except that both sides detach.", "With a drop-in frame, the bezel can either snap into the sensor housing or connect via magnets to the top of the overlay.", "As generally depicted in FIG.", "53 (5300)-FIG.", "54 (5400), the TPO (5310) may be configured to permit attachment to the TSA (5320) using a TPO magnetic retention bezel (5330).", "These figures show how a drop-in frame (5330) can be used to secure an overlay to a touch sensor.", "A drop-in frame may use either magnets or plastic snaps/indents in order to securely couple to the sensor housing.", "A TPO overlay can be placed on top of the touch sensor, and the drop-in frame will come down on top of the overlay to secure it to the touch sensor.", "Magnets (5321) contained within the TSA (5320) mate with corresponding magnets (5431) within the magnetic TPO retention bezel (5430).", "The TPO (5310, 5410) depicted in these drawings is designed to be retained at the edges by the TPO magnetic retention bezel (5330, 5430).", "TPO Attachment Using Thru-Hole Magnets (5500)-(5600) As generally depicted in an alternate embodiment depicted in FIG.", "55 (5500)-FIG.", "56 (5600), other variants of this construction technique may incorporate holes (5511) in the TPO (5510, 5610) corresponding to TSA (5520, 5620) magnets (5521) and magnets (5531) in the TPO magnetic retention bezel (5530, 5630) such that retention of the TPO (5510, 5610) is accomplished by the magnets (5521, 5531) at the corners of the TPO (5510, 5610) and TPO magnetic retention bezel (5530, 5630).", "This variant requires a larger footprint for the TPO (5510, 5610) that allows overlap of the magnets (5521, 5531) at the corners of the TPO (5510, 5610), TSA (5520, 5620), and TPO magnetic retention bezel (5530, 5630).", "TPO Hinged Bezel Attachment to TPA (5500)-(5600) A touch sensor housing can be constructed so that an area of the bezel opens up on hinges.", "An overlay can be placed on the touch sensor, and the bezel can be closed back down, securing the overlay to the underlying sensor.", "As generally depicted in FIG.", "55 (5500)-FIG.", "56 (5600), the TPO (5510) may be configured to permit attachment to the TSA (5520) using a TPO hinged retention bezel (5530).", "Hinges (5621) contained within the TSA assembly (5620) mate with corresponding hinge elements (5631) within the TPO retention bezel (5630).", "As with previously described embodiments, the TPO retention bezel (5630) may be secured to the TSA assembly (5620) using magnets that may be placed at one or more of the assembly corners as depicted.", "Some alternate embodiments may utilize a latching mechanism on the front of the TSA assembly (5620) to secure the TPO retention bezel (5630).", "FIG.", "55 (5500)-FIG.", "56 (5600) show how a hinged frame can be used to secure an overlay to a touch sensor.", "To install an overlay, one simply opens up the frame, lays a new overlay on top of the touch sensor, and close the frame.", "The overlay will extend underneath the hinged frame so it will be securely held against the touch sensor.", "The hinged frame may be secured on one side via hinges and can be secured on the other side with magnets.", "Note that the touch sensor is integrated into this housing and is not removable (only the overlay is removable).", "The hinged frame may be secured with a hook/catch system instead of magnets.", "Springs can be used to pop open the hinged frame when the hook is slid open.", "With this construction, the hinges may also be replaced with tabs to create a removable frame.", "TPO Identification Mechanisms (5700)-(6400) Overview As mentioned previously in the SOFTWARE section, it is important to keep application software “in sync” with the TPO overlay that is currently on top of the TSA sensor.", "If software is mismatched with the overlay, the overlay will not function as the user expects.", "It can be a difficult task to constantly make sure that the application software is matched with the current overlay.", "One way to solve this problem is to build a system where the software can check to see which overlay is currently on the touch sensor.", "Methods for achieving this functionality are described in the following sections.", "FIG.", "57 (5700)-FIG.", "64 (6400) show how an overlay can be constructed so that the sensor can detect which overlay is presently placed on top of the sensor.", "A dot pattern being used with the force profile overlay identification method.", "An overlay with an embedded RFID tag may also be used.", "As described earlier, the touch sensor can be constructed with an RFID reader capable of reading an ID from this tag.", "Also, an RFID tag can be replaced with an antenna and generic microcontroller that is programmed to modulate the electromagnetic waves sent from the RFID reader.", "The microcontroller can receive power from the RFID reader and respond to ID requests.", "Optical identification of the TPO is using a barcode and/or QR code is also anticipated.", "A conductive pattern may also be used for identification using capacitance, conductance, or inductance measurement.", "It should be noted that the optical and capacitive identification markers can be placed on either side of the overlay.", "These marks could also be placed on the edge of the overlay as well.", "The marker position depends on how the ID sense electronics are positioned within the sensor housing.", "The TPO structure in many preferred invention embodiments may incorporate some form of unique identification mechanism as generally depicted in FIG.", "57 (5700)-FIG.", "64 (6400).", "These TPO unique identifiers (TPI) permit software controlling the TSS to automatically reconfigure operation when a given TPO is applied to the surface of the TSA.", "A variety of TPI identification methodologies are anticipated by the present invention and will now be discussed.", "Exemplary TPO/TSA Assembly (5700) FIG.", "57 (5700) illustrates an exemplary TPO (5710) that has been configured to mate with a TSA tablet (5720).", "This exemplary TSA+TPO configuration will be used as the baseline example for the various TPO identification methodologies described below.", "Embedded TPO Magnets (5800) FIG.", "58 (5800) illustrates the use of embedded magnets in the TPO to uniquely identify the TPO when placed on the TSA.", "Here the magnets may be positioned within the TPO to mate to corresponding magnets embedded in the TSA (not shown).", "This allows the TPO structure to be positively mated to the TSA surface allowing registration alignment of the TPO and the TSA surface.", "This figure shows how magnets can be embedded in an overlay itself.", "If the touch sensor housing has complementary magnets in the same positions, the overlay can be directly attached to the top of the sensor.", "TPO Magnetic Identification (5900) FIG.", "59 (5900) illustrates a TPO structure (5910) incorporating TPO positioning magnet locations (5911) as described above and also incorporating a number of TPI identification magnet locations (5912) which may be populated with magnets that are detected by corresponding magnetometers (e.g., Hall effect sensors or equivalent detectors) within the TSA.", "By selectively populating the TPI identification magnet locations (5912), the TSA magnetometers may identify a bit stream that is unique to the particular TPO and thus load appropriate software drivers and application software to process information received from the depression pressures sensed by the TSA.", "This identification mechanism can also be utilized without the use of magnetometers by embedding corresponding magnets for each of the TPI identification magnet locations (5912) within the TSA and measuring the pressures detected at each TPI location.", "TPI positions that do not have magnets installed will register little or no detected pressure whereas TPI locations in the TPO that have magnets installed will detect a measureable increase in TSA pressure that can be converted to a corresponding TPI identification bit stream.", "Raised TPO Pressure Indicia (Force-Profile Identification) (6000) Since the overlays are placed against a force-sensitive touch sensor, it is possible to modify an overlay so that it exerts a unique force profile against the sensor.", "It is possible to form this force profile so that it is unique, which will allow the software to distinguish different overlays from each other.", "FIG.", "60 (6000) shows one way to achieve this by placing small protrusions on the bottom side of the overlay.", "These protrusions will push into the touch sensor, creating a detectable force profile pattern.", "A scheme can be generated where all overlays have a designated region of the sensor where these protrusions are present.", "If this is the case, one can use a binary encoding to assign a unique ID to each overlay.", "The presence of a protrusion can map to a “1” and the absence of a protrusion can be a “0.” This binary scheme can be decoded into an overlay ID.", "When a new overlay is placed on the sensor, the sensor can read the ID of the new overlay, and intelligently load the correct software that matches the functionality presented in the overlay.", "As an example, FIG.", "60 (6000) illustrates a TPO structure (6010) incorporating TPO positioning magnet locations (6011) as described above and also incorporating a number of raised TPI identification indicia locations (6012) which may incorporate a variety of shapes that are raised above the plane of the TPO and which exert a defined pressure profile on the surface of the TSA.", "By providing the correct shape and/or position at the TPI identification indicia locations (6012), the TSA can inspect this area of the pressure-sensitive surface and determine the identification of the TPO (6010) by virtue of the unique pressure profiles presented above the back surface plane of the TPO (6010).", "Note here that the pressure profile shape and/or position of the TPI identification indicia locations (6012) may be used in this identification process.", "Thus a particular shape may uniquely identify the TPO and/or a binary encoding of data from the pressure profile may be used to accomplish this identification.", "It should be noted that this technique of identification by the use pressure profile perimeter information can also be used with the magnetic approach detailed in FIG.", "59 (5900).", "Further examples of the use of pressure indicia identification for TPO structures is depicted in more detail in FIG.", "121 (12100)-FGI.", "125 (12500) with a corresponding method identification provided in the flowchart of FIG.", "128 (12800).", "Tactile Bar Code Identification (6100)-(6200) FIG.", "61 (6100)-FIG.", "62 (6200) illustrate the use of a tactile bar code (6112) to identify the TPO (6110).", "This pressure-sensitive approach is similar to that described in FIG.", "60 (6000) and optionally incorporates registration magnets (6111) with the exception that the tactile bar code (6112) typically contains sufficient internal registration information such that it can be placed on any position on the TPO and still be properly recognized by the TSA without the need for registration magnets (6111).", "In some circumstances the TPO recognition process may be enhanced by “swiping” the TPO to press the bar code onto the surface of the TSA and thus affect identification of the bar code by the TSA scanning logic.", "Also depicted in FIG.", "61 (6100) is the use of a raised-texture quick response (QR) code (6113) that may also be used in a similar fashion as the illustrated bar code to provide TPO identification information and possibly source information for application software and/or drivers for the TPO configuration.", "Optical Identification (6100)-(6200) Alternatively, optical solutions can be employed to identify overlays that are lying on top of the sensor.", "For instance, barcodes or QR codes may be placed on the bottom side of TPO overlays (FIG.", "61 (6100)-FIG.", "62 (6200)).", "The TSA force sensor may be equipped have a barcode scanner/camera that reads the unique bar/QR code and determines what particular TPO overlay is on top of the sensor.", "It is also possible to use a mounted camera looking down on the TSA sensor to identify different overlays.", "TPO RFID Identification (6300) Another way to identify which overlay is on top of the sensor is to embed an RFID tag into each overlay.", "As long as the touch sensor is transparent to magnetic fields, an RFID antenna can be placed directly underneath the touch sensor.", "This antenna can be connected to electronics capable of reading the RFID tag in the overlay.", "For molded overlays, an RFID tag can be embedded into the mold itself.", "For mechanical and deformable overlays, the RFID tag can be placed on the bottom of the overlay.", "Care must be taken so that the RFID layer continues to allow the transmission of forces to the underlying touch sensor.", "FIG.", "63 (6300) illustrates a TPO (6310) incorporating a RFID (6312) embedded within the TPO (6310) that may passively communicate the identification of the TPO (6310) to a corresponding RFID communication interface present within the TSA.", "One skilled in the art will recognize that the form factor of the RFID (6312) may vary widely based on application context and choice of particular RFID technology.", "The RFID (6312) may be a separate component as illustrated or in some preferred embodiments it may be incorporated within the internal construction of the TPO (6310).", "TPO Shorting Bar Identification (6400) FIG.", "64 (6400) illustrates a TPO (6410) mated with a TSA (6420) wherein the TPO identification occurs as a result of shorting bars (6412) present in the TPO (6410) that mate with corresponding switch contacts (6422) in the TSA (6420) surface.", "Proper placement of shorting bars (6412) on the TPO (6410) allows a binary code to be interpreted by the TSA (6420) and identification of the TPO (6410) to occur.", "TPO Capacitive and/or Inductive Identification (6400) Additionally, conductive electrodes can be attached, printed, or embedded into the TPO overlay.", "An array of capacitance and/or inductance sensors can be placed along the edge of the touch sensor.", "These capacitance and/or inductance sensors can detect the presence/absences of these electrodes.", "Once again, these electrodes can be used as a binary encoding to distinguish different overlays.", "As an example, other variants of the configuration depicted in FIG.", "64 (6400) may use capacitive and/or inductive coupling differentials between the contacts (6422) in the TSA (6420) and the corresponding conductive bars (6412) present in the TPO (6410) to detect changes in capacitance and/or inductance as the TPO (6410) is mated to the TSA (6420) to identify the encoded TPO identification by the presence/absence of the conductive bars (6412) present in the TPO (6410).", "These changes in capacitance and/or inductance may be detected using differentials in conductive materials on the surface or embedded within the TPO.", "Exemplary TPO Detection Hardware While a number of hardware approaches may be taken to affect automatic detection of TPO overlays, the following list of exemplary non-exclusive hardware provides typical interfacing hardware that may be used with many invention embodiments.", "Capacitive Detection ANALOG DEVICES model AD7147A—CapTouch Programmable Controller for Single-Electrode Capacitance Sensors.", "ATMEL model AT42QT2120—QTouch 12-channel Touch Sensor IC.", "Inductive Detection TEXAS INSTRUMENTS model LDC1000—Inductance-to-Digital Converter.", "TEXAS INSTRUMENTS model LDC1312/1314— Multi-Channel 12-bit Inductance to Digital Converter (LDC) for Inductive Sensing.", "Magnetic Detection TEXAS INSTRUMENTS model DRV5053— Analog-Bipolar Hall Effect Sensor.", "TOSHIBA model TCS20DLR— CMOS Digital Integrated Circuit Silicon Monolithic Digital Output Magnetic Sensor.", "Exemplary TPO Forms The following discussion details a variety of anticipated exemplary TPO forms.", "One skilled in the art will no doubt be able to expand on these functional forms to include a wide variety of structures using the teachings presented.", "While the forms presented have been provided exaggerated horizontal and vertical dimensions for the purposes of illustrating the concepts herein, these dimensions and proportions are not limitive of the invention scope.", "Many application contexts will incorporate the functionality of the disclosed TPO structures but in a more compact form factor to support thin custom console structures or predefined console interfaces having a thin portable form factor.", "Mechanical Overlays The previously discussed types of overlays (flat and 3D) both require the use of flexible materials in order to effectively transmit forces from the user to the touch sensor.", "There is a way, however, to build an overlay with rigid materials.", "This type of overlay is referred to in the invention disclosure as a mechanical overlay.", "This type of overlay can be made of any material, as long as it effectively translates user input of interest through to the underlying touch sensor.", "For instance, an overlay with a physical button, switch, knob, slider, and joystick could be constructed such that interaction with these features translates to distinguishable input on the touch sensor.", "Examples of mechanical TPO overlays are depicted in FIG.", "65 (6500)-FIG.", "104 (10400) and described in detail below.", "FIG.", "65 (6500)-FIG.", "104 (10400) depict an examples of mechanical overlays.", "In these examples, no flexible materials are used.", "Instead, mechanical widgets (sliders, knobs, toggle switches, and buttons) are designed such that they transmit forces from a user's input to the underlying touch sensor.", "The various views show the mechanical overlay cross-sections, and depict how slider and a button are implemented in these embodiments.", "The slider is constructed so that the bottom of the sliding element is always in contact with the touch sensor.", "This allows the sensor to continually read a slider position, which is updated when the user moves the slider back and forth.", "The button, on the other hand, does not touch the sensor by default.", "When a user presses the button, the touch sensor is able to detect the button activation by sensing the force exerted by the traveling button shaft.", "These figures show how modular overlays can be created.", "These overlays each contain magnets and can be mounted to a touch sensor that has a ferromagnetic material behind it.", "This allows the overlays to be placed anywhere on the touch sensor.", "In some circumstances the implementation of a flat, flexible, modular overlay is anticipated.", "This overlay can be used to indicate generic touch input, or could indicate that a certain region of the sensor is designated for drawing.", "In the latter case, the top material of this overlay could be specially selected to enhance the writing experience.", "FIG.", "48 (4800) and FIG.", "126 (12600)-FIG.", "127 (12700) show what a touch sensor might look like when it is populated with a variety of different modular overlays.", "Since these overlays are magnetic, they can be rearranged in any way.", "This allows a user to create custom, powerful, yet intuitive physical interfaces.", "TPO Pushbutton (6500)-(6800) The present invention may in some preferred embodiments be implemented in a TPO pushbutton form as generally depicted in FIG.", "65 (6500)-FIG.", "68 (6800).", "This general type of pushbutton may have a wide variety of forms, but as illustrated incorporates magnets at the four bottom corners of the device and a spring-loaded pressure contact that initiates a pressure reading on the TSA.", "TPO Rocker Switch (6900)-(7200) The present invention may in some preferred embodiments be implemented in a TPO rocker switch form as generally depicted in FIG.", "69 (6900)-FIG.", "72 (7200).", "The embodiment illustrated provides for four magnets to secure the rocker switch to the TSA and a two position rocker that articulates a spring-loaded contactor that provides pressure to the surface of the TSA.", "A ball bearing may be incorporated as shown to reduce the frictional drag associated with the change in rocker switch position.", "TPO Slider (7300)-(7600) The present invention may in some preferred embodiments be implemented in a TPO slider form as generally depicted in FIG.", "73 (7300)-FIG.", "76 (7600).", "This slider provides an analog linear contactor that has one degree of freedom in movement.", "This may implement a variety of linear and digital inputs as interpreted by the TSA pressure sensor.", "TPO Knob (7700)-(8000) The present invention may in some preferred embodiments be implemented in a TPO knob form as generally depicted in FIG.", "77 (7700)-FIG.", "80 (8000).", "The TPO knob embodiment operates in a manner similar to that of the slider with the exception that a rotating knob indicator is used to provide radial pressure to the TPA about the rotational axis of the knob.", "Spacing foam washers and a retaining fastener provide the necessary friction to maintain the knob position once rotated.", "As with the slider, a spring-actuated contactor with optional ball bearing contact point provides the TPA pressure necessary to detect the knob displacement.", "The knob as indicated provides for fully linear circular travel about an axis of rotation.", "However, it is possible to incorporate detents in the positioning mechanism to provide for a rotary switch function as compared to a traditional potentiometer functionality.", "TPO Mouse/Puck (8100)-(8800) The present invention may in some preferred embodiments be implemented in a TPO mouse/puck form as generally depicted in FIG.", "81 (8100)-FIG.", "88 (8800).", "This exemplary TPO embodiment depicts a two-piece mouse/puck assembly in which the mouse/puck shell (8510) is mated to a replaceable contact surface plate (8520) that makes pressure contact with the TSA surface via protrusions on its bottom surface.", "As generally depicted in FIG.", "85 (8500), the mouse/puck shell (8510) is designed to receive a correspondingly indexed replaceable contact surface plate (8520) via a sliding channel extruded within the body of the mouse/puck shell (8510).", "As indicated in the variants depicted in FIG.", "87 (8700)—FIG.", "88 (8800), the contact surface plate may be configured in a wide variety of ways to provide a number of different pressure patterns that uniquely identify the mouse/puck.", "Of course, it would be possible to generate single-piece mouse/puck configurations using these teachings.", "However, the ability to select an ergonomic mouse shell (8510) and reconfigure this with a number of replaceable contact surface plates (8520) allows a great degree of freedom for the user when interfacing with software such as gaming applications and the like.", "The formations of the mouse/puck TPO as depicted in FIG.", "81 (8100)-FIG.", "88 (8800) permit lateral pressure differentials on the top of the mouse/puck to be translated to sensed pressure differentials on the TSA.", "For example, as pressure is redistributed among the various surface contact pads (8521, 8522, 8523) on the bottom of the replaceable contact surface plate (8520), this may be interpreted by software as equivalent mouse clicks or other GUI messaging information.", "Other contact pad formations as depicted in FIG.", "88 (8800) permit pressure differentials to be detected in two or more axes, depending on the number and type of contact pads provided on the bottom surface of the contact plate.", "Variations in the number and placement of various contact points provides for a wide variety of actions associated with user input activity.", "TPO Joystick (8900)-(9600) The present invention may in some preferred embodiments be implemented in a TPO joystick form as generally depicted in FIG.", "89 (8900)-FIG.", "96 (9600).", "These diagrams disclose a joystick that may be mated to the TSA surface via magnetic attraction and allow the joystick to be articulated in a wide variety of positions.", "The contact point between the TSA and the joystick may optimally be a spring loaded contactor having an optional integrated ball bearing.", "As generally depicted in FIG.", "95 (9500)-FIG.", "96 (9600), the joystick may also incorporate a spring-loaded pushbutton (and corresponding pressure contact shaft) that may act as a selector at a given joystick position.", "This action may mimic the function of a mouse key.", "As the coordinate position of the joystick is a non-linear function of the joystick radial angle, software may be used to correct the relationship between the measured TSA pressure position and the user-positioned angle of the joystick.", "TPO Trackpad (9700)-(10000) The present invention may in some preferred embodiments be implemented in a TPO trackpad form as generally depicted in FIG.", "97 (9700)-FIG.", "100 (10000).", "This form of TPO may have special indicia or other tactile forms on the surface of the TPO that are application specific.", "As such, it represents a very generic method of incorporating software-specific functionality into the TSA.", "TPO Keypad (10100)-(10400) The present invention may in some preferred embodiments be implemented in a TPO keypad form as generally depicted in FIG.", "101 (10100)-FIG.", "104 (10400).", "Here the TPO trackpad described above may be augmented with a custom overlay and associated custom indexed pressure contactor (IPC) that is configured with contact points associated with each key in the keypad overlay.", "Flat Overlays (10500) The simplest form of physical touch sensor augmentation is achieved with a flat, flexible overlay.", "This overlay can be printed with markings that indicate different sensor functions.", "For instance, a QWERTY keyboard overlay could just be a thin, flexible plastic membrane with a keyboard pattern printed on its top surface.", "When placed on a sensor, the sensor can turn into a functional keyboard, capable of turning touch data into keyboard keystrokes (assuming the correct software is also enabled).", "The overlay provides visual feedback to the user, increasing usability of the keyboard functionality.", "Various examples of these flat TPO overlays with a number of tactile surface patterns are depicted in FIG.", "105 (10500).", "TPO Trackpad/Keypad Overlay Construction (10500)-(11200) The present invention may implement TPO trackpad/keypad overlays in a wide variety of as generally depicted in FIG.", "105 (10500)-FIG.", "112 (11200).", "The examples provided in these figures are depicted in a square configuration, but can be constructed with any type of perimeter form.", "Additionally while nine keys are depicted in the diagrams, the present invention may incorporate any number of keys in based on application context.", "Keys represented in the figures incorporate embossed indicia, but this is not a limitation of the present invention.", "These figures depict overlay cross-sections of various features that are made possible with the use of a three-dimensional, flexible overlay.", "Texture can be added to provide the user with tactile feedback.", "It is also possible to add indentations or ridges around features to increase usability.", "It is also possible to create standalone raised/lowered buttons.", "Subtle indicators can be implemented with small, raised bumps.", "Finally, dome-switch buttons can be molded with a three-dimensional overlay to give users a button “feel” when using the device.", "FIG.", "105 (10500) depicts a basic flat trackpad/keypad overlay that may or may not have printed text and/or key surface texturing associated with its construction.", "FIG.", "106 (10600) depicts a trackpad/keypad overlay that incorporates edge indentations around buttons/keys.", "FIG.", "107 (10700) depicts a trackpad/keypad overlay that incorporates edge ridges around buttons/keys.", "FIG.", "108 (10800) depicts a raised key/button trackpad/keypad overlay.", "FIG.", "109 (10900) depicts a depressed/lowered key/button trackpad/keypad overlay.", "FIG.", "110 (11000) depicts a depressed/lowered key/button trackpad/keypad overlay with raised bump indicia.", "FIG.", "111 (11100) depicts a domed key/button trackpad/keypad overlay.", "FIG.", "112 (11200) depicts a domed key/button trackpad/keypad overlay with key caps.", "With a 3D overlay, it is possible to make the backside of the overlay non-flat.", "This allows the overlay to control the level of forces required to activate the sensor.", "For instance, a dome switch construction can be created, so that a minimum level of force is required to actually transmit forces through to the sensor (FIG.", "111 (11100)-FIG.", "112 (11200)).", "Modular TPO Construction (11300)-(12000) The present invention may in some preferred embodiments implement a TPO in modular construction form as generally depicted in FIG.", "113 (11300)-FIG.", "120 (12000).", "These exemplary embodiments incorporate symmetric latch-and-clasp mechanisms along the X-axis and Y-axis of the TPO structure.", "These symmetric latch-and-clasp structures allow a variety of TPO structures to be mated and placed on a TSA to form an integrated tactile touch sensor interface to the TSA.", "As depicted in the single key diagrams of FIG.", "113 (11300)-FIG.", "116 (11600), each TPO structure incorporates horizontal/vertical latch-and-clasp male portions that mate with corresponding female portions in adjacent TPO structures.", "As indicated in FIG.", "116 (11600), these latch-and-clasp male portions may be trimmed or cut off at the peripheral edges of TPO arrays containing these structures for aesthetic purposes.", "When corresponding male and female latch-and-clasp portions are mated, a unified TPO structure may be formed in which a variety of TPO structures as defined here may be combined to form a singular interface to the TSA.", "A 3×3 example of this is provided in FIG.", "117 (11700)-FIG.", "120 (12000).", "Auto-Identified Modular TPO Construction (12100)-(12800) The present invention may in some preferred embodiments implement a TPO with integrated automatic identification mechanisms as generally depicted in FIG.", "121 (12100)-FIG.", "128 (12800).", "These exemplary embodiments incorporate TPO identifier (TPI) bit-based identification codes on the bottom of each TPO that is sensed by the TSA when the TPO is magnetically attached to the surface of the TSA.", "In this manner, software interrogating the TSA may look for particular pressure bit sequences on the surface of the TSA and automatically identify the type of TPO located at that particular TSA position.", "This automatic TPO identification may then load appropriate software drivers and/or application software automatically without the need for user intervention.", "While the exemplary TPO structures depicted in FIG.", "121 (12100)-FIG.", "128 (12800) utilize the modular TPO connection features described above, the automatic identification of TPO structures based on pressure sensing by the TSA of encoded bit patterns does not require this feature.", "However, it is thought that the embodiment in these figures represents a preferred embodiment of the present invention.", "Exemplary TSA+TPO Assembled Keyboard (12600)-(12700) As generally depicted in FIG.", "126 (12600)-FIG.", "127 (12700), the techniques described above may be used to assemble keyboards of arbitrary configuration by simply placing the TPO elements on the pressure sensitive TSA surface.", "When combined with the automatic identification feature, the TPO arrays present a powerful method to generate custom keyboard structures by simply mating an arbitrary number of different TPO overlays in a user-defined array pattern.", "Placing the TPO array on the TSA then automatically configures the software with the appropriate application software and drivers necessary to properly interpret each individual TPO overlay based on the TPI identification read by the TPD within the TSA.", "Exemplary TSA+TPO Identification Method (12800) The above-described automatic TPO identification indicia may in many invention embodiments be associated with an automatic TPO identification method.", "As generally depicted in the flowchart of FIG.", "128 (12800), an exemplary present invention automatic TPO identification method can be generally described as comprising the steps of: (1) Encoding a TPO overlay ID as a TPO bit-based physical identifier (TPI) on bottom surface of a TPO (12801); (2) Applying the auto-identified TPO to a TSA surface (12802); (3) Scanning the surface of the TSA to locate pressures points associated with the auto-identified TPO (12803); (4) Determining if new TPO pressure points have been detected on the TSA surface, and if not, proceeding to step (10) (12804); (5) Locating a TPI pressure registration pattern (TRP) indicating auto-ID field on the surface of the TSA (12805); (6) Locating the TPO ID field (TPI) using the location of identified TRP registration pattern (12806); (7) Decoding the located TPI field on the surface of the TSA from binary pressure points within the TPI to a TPI index (TPX) value (12807); (8) Retrieving TPO support software for the currently detected TPO from an application/driver software database (12811) with TPX index as the lookup indexing key (12808); (9) Presenting a software application/user interface to a user using TPX-indexed software loaded from the application/driver software database (12811) (12809); (10) Interpreting TSA inputs from TPOs placed on the surface of the TSA based on existing or dynamically loaded software drivers/applications loaded from the application/driver software database (12811) and proceeding to step (3) (12810).", "This general method may be modified heavily depending on a number of factors, with rearrangement and/or addition/deletion of steps anticipated by the scope of the present invention.", "Integration of this and other preferred exemplary embodiment methods in conjunction with a variety of preferred exemplary embodiment systems described herein is anticipated by the overall scope of the present invention.", "Preferred Embodiment System Summary The present invention preferred exemplary system embodiment anticipates a wide variety of variations in the basic theme of construction, but can be generalized as a tactile touch sensor system comprising: (a) touch sensor array (TSA); and (b) TSA pressure overlay (TPO); wherein: the TSA comprises a pressure-sensitive surface (PSS) incorporating row-column force detection; the TPO comprises a pressure contact surface (PCS); the TPO overlays the PSS; the TPO is configured to transmit pressure to the PSS via the PCS; the TSA is configured to determine if the TPO is present on the PSS; the TSA comprises a TPO detector (TPD) configured to detect an identification (TPI) of the TPO; and the TSA is configured to interpret the transmitted pressure based on the detected TPI of the TPO.", "This general system summary may be augmented by the various elements described herein to produce a wide variety of invention embodiments consistent with this overall design description.", "Preferred Embodiment Method Summary The present invention preferred exemplary method embodiment anticipates a wide variety of variations in the basic theme of implementation, but can be generalized as a tactile touch sensor method comprising: (1) Encoding an overlay identification (TPI) within a touch sensor physical overlay (TPO) incorporating a pressure contact surface (PCS) to uniquely identify a function of the TPO; (2) Overlaying the TPO to a surface of a touch sensor array (TSA) comprising a pressure-sensitive surface (PSS) incorporating row-column force detection to allow the transmission of pressure to the PSS via the PCS; (3) Reading the TPI with a TPO detector (TPD); (4) Interrogating the TPI via a hardware computer interface (HCl) using a user computing device (UCD); (5) Loading an application software driver (ASD) on the UCD based on the TPI read by the TPD; (6) Presenting a software application/interface to a user based on the TSI read by the TPD; (7) Interpreting inputs from the TSA inputs through the HCl based on the TSI read by the TPD; and (8) Proceeding to step (6) if the ISO has not been modified or replaced and proceeding to step (2) if the TPD has detected a change in the TPO placed on the TSA.", "One skilled in the art will recognize that these method steps may be augmented or rearranged without limiting the teachings of the present invention.", "This general method summary may be augmented by the various elements described herein to produce a wide variety of invention embodiments consistent with this overall design description.", "System/Method Variations The present invention anticipates a wide variety of variations in the basic theme of construction.", "The examples presented previously do not represent the entire scope of possible usages.", "They are meant to cite a few of the almost limitless possibilities.", "This basic system and method may be augmented with a variety of ancillary embodiments, including but not limited to: An embodiment wherein at least a portion of the TPO is transparent.", "An embodiment wherein at least a portion of the TPO is translucent.", "An embodiment wherein the TPO is formed via a manufacturing process selected from a group consisting of: injection molding; 3D printing; embossing; laser-cutting from blank overlays; and laser-cutting from stock overlay materials.", "An embodiment wherein the TPO comprises material selected from a group consisting of: Rogers Corporation PORON® brand microcellular urethane; urethane; urethane foam; silicone; silicone foam; neoprene foam; rubber; thermoplastic polyurethane (TPU); and transparent overlay material retaining a printed sheet of paper.", "An embodiment wherein the TPO comprises a flexible overlay.", "An embodiment wherein the TPO comprises a flexible overlay comprising a textured surface.", "An embodiment wherein the TPO comprises a flexible overlay comprising a key/button feature selected from a group consisting of: key/button with surface texturing; key/button with edge indentations; key/button with edge ridges; key/button with raised overlay; key/button with depressed/lowered overlay; key/button with raised bump indicia; key/button with domed overlay; and key/button with domed overlay and key caps.", "An embodiment wherein the TPO comprises a rigid mechanical overlay.", "An embodiment wherein the TPO comprises a keyboard selected from a group consisting of: QWERTY keyboard; DVORAK keyboard; court-stenographer keyboard; numeric keypad keyboard; piano keyboard; musical instrument keyboard; and musical sampler player keyboard.", "An embodiment wherein the TPO is configured to exert a unique force profile when contacting the TSA.", "An embodiment wherein the TPO further comprises a radio frequency identification (RFID) tag incorporating the TPI.", "An embodiment wherein the TPO further comprises an optical TPI readable by the TPD, the optical TPI selected from a group consisting of: bar code; QR code; and text.", "An embodiment wherein the TPO is attached to the TSA via the use of one or more magnets.", "An embodiment wherein the TPO is attached to the TSA using a mechanism selected from a group consisting of: peripheral edge insertion; side edge insertion; magnetic bezel; and hinged bezel.", "An embodiment wherein the TPI is determined by the TPD via the detection of magnets positioned in the TPO.", "An embodiment wherein the TPI is determined by the TPD via the detection of surface protrusions present within the PCS.", "An embodiment wherein the TPI is determined by the TPD via the presence of a radio frequency identification (RFID) tag in the TPO.", "An embodiment wherein the TPO comprises a physical pressure generation device selected from a group consisting of: slider; knob; toggle switch; pushbutton switch; joystick; joystick/pushbutton combination; and mouse/puck.", "An embodiment wherein the TPO comprises a mouse/puck for which position, rotation, and/or differential tilt pressure applied by the mouse/puck to the PSS is sensed by the TSA.", "An embodiment wherein the TPO comprises a mouse/puck further comprising a mouse/puck shell is mated to a replaceable contact surface plate.", "An embodiment wherein the TPD comprises a detector selected from a group consisting of: magnetometer; radio frequency identification (RFID) tag reader; radio frequency identification (RFID) tag array reader; camera; optical sensor; capacitance sensor; inductive sensor; and conductance sensor.", "An embodiment wherein the TPO further comprises conductive electrodes configured to present a predetermined capacitance profile to a selected region of the TSA.", "An embodiment wherein the TPO further comprises conductive electrodes configured to present a predetermined conductance profile to a selected region of the TSA.", "An embodiment wherein the TPO further comprises conductive electrodes configured to present a predetermined inductance profile to a selected region of the TSA.", "An embodiment wherein the TPO comprises symmetric latch-and-clasp mechanisms along an X-axis and Y-axis of the TPO to permit formation of modular combinations of a plurality of TPO structures.", "An embodiment wherein the TSA further comprises a hardware computer interface (HCl) configured to interact with a user computing device (UCD) to automatically load application software driver (ASD) on the UCD in response to detection by the TPD of the TPI associated with the TPO.", "An embodiment wherein the TPO further comprises a programmable deformable membrane that is activated from a deformation actuator selected from a group consisting of: piezo-electric element; pneumatic element; and heating element.", "An embodiment wherein the TPO is configured to accept side illumination from a light source within the TSA.", "An embodiment wherein the TPO is configured to collect electrical energy via the use of a power harvesting coil.", "One skilled in the art will recognize that other embodiments are possible based on combinations of elements taught within the above invention description.", "Generalized Computer Usable Medium In various alternate embodiments, the present invention may be implemented as a computer program product for use with a computerized computing system.", "Those skilled in the art will readily appreciate that programs defining the functions defined by the present invention can be written in any appropriate programming language and delivered to a computer in many forms, including but not limited to: (a) information permanently stored on non-writeable storage media (e.g., read-only memory devices such as ROMs or CD-ROM disks); (b) information alterably stored on writeable storage media (e.g., floppy disks, hard drives, and USB thumb drives); and/or (c) information conveyed to a computer through communication media, such as a local area network, a telephone network, or a public network such as the Internet.", "When carrying computer readable instructions that implement the present invention methods, such computer readable media represent alternate embodiments of the present invention.", "As generally illustrated herein, the present invention system embodiments can incorporate a variety of computer readable media that comprise computer usable medium having computer readable code means embodied therein.", "One skilled in the art will recognize that the software associated with the various processes described herein can be embodied in a wide variety of computer accessible media from which the software is loaded and activated.", "Pursuant to In re Beauregard, 35 USPQ2d 1383 (U.S. Pat.", "No.", "5,710,578), the present invention anticipates and includes this type of computer readable media within the scope of the invention.", "Pursuant to In re Nuijten, 500 F.3d 1346 (Fed.", "Cir.", "2007) (U.S. patent application Ser.", "No.", "09/211,928), the present invention scope is limited to computer readable media wherein the media is both tangible and non-transitory.", "CONCLUSION A tactile touch sensor (TTS) system and method allowing physical augmentation of a high-resolution touch sensor array (TSA) has been disclosed.", "Physical augmentation is accomplished using a TSA physical overlay (TPO) placed on top of the TSA.", "The TPO is constructed to transmit forces to the underlying TSA.", "Force transmission is accomplished by either using a flexible overlay or with a rigid mechanical overlay that transmits user forces exerted on the overlay to the underlying TSA.", "Incorporation of TPO identifiers (TPI) within the TPO permits identification of the TPO by a TPO detector (TPD) allowing operational characteristics of the TSA to be automatically reconfigured to conform to the currently applied TPO structure by a user computing device (UCD).", "The UCD may be configured to automatically load an appropriate application software driver (ASD) in response to a TPI read by the TPD from the currently applied TPO.", "CLAIMS INTERPRETATION The following rules apply when interpreting the CLAIMS of the present invention: The CLAIM PREAMBLE should be considered as limiting the scope of the claimed invention.", "“WHEREIN” clauses should be considered as limiting the scope of the claimed invention.", "“WHEREBY” clauses should be considered as limiting the scope of the claimed invention.", "“ADAPTED TO” clauses should be considered as limiting the scope of the claimed invention.", "“ADAPTED FOR” clauses should be considered as limiting the scope of the claimed invention.", "The term “MEANS” specifically invokes the means-plus-function claims limitation recited in 35 U.S.C.", "§ 112(f) and such claim shall be construed to cover the corresponding structure, material, or acts described in the specification and equivalents thereof.", "The phrase “MEANS FOR” specifically invokes the means-plus-function claims limitation recited in 35 U.S.C.", "§ 112(f) and such claim shall be construed to cover the corresponding structure, material, or acts described in the specification and equivalents thereof.", "The phrase “STEP FOR” specifically invokes the step-plus-function claims limitation recited in 35 U.S.C.", "§ 112(f) and such claim shall be construed to cover the corresponding structure, material, or acts described in the specification and equivalents thereof.", "The step-plus-function claims limitation recited in 35 U.S.C.", "§ 112(f) shall be construed to cover the corresponding structure, material, or acts described in the specification and equivalents thereof ONLY for such claims including the phrases “MEANS FOR”, “MEANS”, or “STEP FOR”.", "The phrase “AND/OR” in the context of an expression “X and/or Y” should be interpreted to define the set of “(X and Y)” in union with the set “(X or Y)” as interpreted by Ex Parte Gross (USPTO Patent Trial and Appeal Board, Appeal 2011-004811, Ser.", "No.", "11/565,411, (“‘and/or’ covers embodiments having element A alone, B alone, or elements A and B taken together”).", "The claims presented herein are to be interpreted in light of the specification and drawings presented herein with sufficiently narrow scope such as to not preempt any abstract idea.", "The claims presented herein are to be interpreted in light of the specification and drawings presented herein with sufficiently narrow scope such as to not preclude every application of any idea.", "The claims presented herein are to be interpreted in light of the specification and drawings presented herein with sufficiently narrow scope such as to preclude any basic mental process that could be performed entirely in the human mind.", "The claims presented herein are to be interpreted in light of the specification and drawings presented herein with sufficiently narrow scope such as to preclude any process that could be performed entirely by human manual effort." ] ]
Patent_15875625
[ [ "INKJET RECORDING INK AND RECORDED MATTER", "An inkjet recording ink is used for an inkjet recording device that includes a silicone rubber having a solubility parameter at 25° C. of 6 to 8 as a member with which an ink comes in contact, the inkjet recording ink including water, a disperse dye, and an anionic surfactant, the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfying the relationship “Y/X≥0.7”." ], [ "1.-8.", "(canceled) 9.A method for using of an inkjet recording ink in an inkjet recording device that includes a silicone rubber member, said method comprising: ejecting the inkjet recording ink so that it comes in contact with the silicone rubber member, wherein the silicone rubber member has a solubility parameter at 25° C. of 6 to 8 and wherein the inkjet recording ink comprises: water; a disperse dye; and an anionic surfactant, a content X (mass %) of the disperse dye and a content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfying a relationship Y/X≥0.7.10.The method according to claim 9, wherein the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfies a relationship Y/X≥1.0.11.The method according to claim 9, wherein the anionic surfactant is an aromatic sulfonic acid-formalin condensate.", "12.The method according to claim 9, wherein the inkjet recording ink further comprises a silicon-based surfactant.", "13.The method according to claim 9, wherein the content of the disperse dye in the inkjet recording ink is 1 to 15 mass %.", "14.The method according to claim 9, wherein the content of the anionic surfactant in the inkjet recording ink is 1 to 45 mass %.", "15.The method according to claim 9, wherein the inkjet recording ink further comprises a silicon-based surfactant, and a content of the silicon-based surfactant in the inkjet recording ink is 0.1 to 5 mass %.", "16.The method according to claim 9, wherein the disperse dye has a solubility parameter at 25° C. of 7.5 to 10.5.17.The method according to claim 9, wherein the disperse dye has a vaporization (evaporation) rate of 1×10−4 g/m2-sec or more when heated to 200° C. in air." ], [ "<SOH> BACKGROUND ART <EOH>A fabric cloth and the like have been generally dyed using a sublimation transfer technique.", "For example, a sheet-like intermediate transfer medium (e.g., paper) is subjected to inkjet printing, and placed on the dyeing target (e.g., fabric cloth), followed by heating to effect sublimation transfer.", "Alternatively, an ink-receiving layer that is removably provided to the dyeing target (e.g., film product) is subjected to inkjet printing, and the dyeing target is heated to effect sublimation-diffusion dyeing, followed by removal of the ink-receiving layer.", "An ink that includes a disperse dye (sublimation dye) is used for these dyeing methods.", "Such an ink normally includes water in addition to the disperse dye.", "JP-A-2004-42604 discloses a technique that further utilizes glycerol in order to prevent the nozzle of the inkjet head from being clogged (i.e., ensure ejection stability).", "An inkjet recording device that is used to eject an ink normally includes a member that includes a silicone rubber as a member with which the ink comes in contact.", "When a known ink that includes a disperse dye is used for an inkjet recording device that includes a member that includes a silicone rubber, the dispersion state of the disperse dye may be impaired when the ink has come in contact with the silicone rubber, and the disperse dye may aggregate, for example.", "When the disperse dye has aggregated, for example, the nozzle of the inkjet head may be clogged, and it may be difficult to eject the ink in a stable manner." ], [ "<SOH> SUMMARY OF INVENTION <EOH>" ], [ "TECHNICAL FIELD The present invention relates to an inkjet recording ink and a recorded matter.", "BACKGROUND ART A fabric cloth and the like have been generally dyed using a sublimation transfer technique.", "For example, a sheet-like intermediate transfer medium (e.g., paper) is subjected to inkjet printing, and placed on the dyeing target (e.g., fabric cloth), followed by heating to effect sublimation transfer.", "Alternatively, an ink-receiving layer that is removably provided to the dyeing target (e.g., film product) is subjected to inkjet printing, and the dyeing target is heated to effect sublimation-diffusion dyeing, followed by removal of the ink-receiving layer.", "An ink that includes a disperse dye (sublimation dye) is used for these dyeing methods.", "Such an ink normally includes water in addition to the disperse dye.", "JP-A-2004-42604 discloses a technique that further utilizes glycerol in order to prevent the nozzle of the inkjet head from being clogged (i.e., ensure ejection stability).", "An inkjet recording device that is used to eject an ink normally includes a member that includes a silicone rubber as a member with which the ink comes in contact.", "When a known ink that includes a disperse dye is used for an inkjet recording device that includes a member that includes a silicone rubber, the dispersion state of the disperse dye may be impaired when the ink has come in contact with the silicone rubber, and the disperse dye may aggregate, for example.", "When the disperse dye has aggregated, for example, the nozzle of the inkjet head may be clogged, and it may be difficult to eject the ink in a stable manner.", "SUMMARY OF INVENTION Technical Problem An object of the invention is to provide an inkjet recording ink that exhibits excellent storage stability, and exhibits excellent ejection stability when used for an inkjet method, and a recorded matter produced using the inkjet recording ink.", "Solution to Problem Several aspects of the invention can achieve the above object (see below).", "According to one aspect of the invention, an inkjet recording ink is used for an inkjet recording device that includes a silicone rubber having a solubility parameter at 25° C. of 6 to 8 as a member with which an ink comes in contact, the inkjet recording ink including water, a disperse dye, and an anionic surfactant, the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfying the relationship “Y/X≥0.7”.", "This makes it possible to ensure that the inkjet recording ink exhibits excellent storage stability, and more effectively prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with the silicone rubber.", "It is thus possible to provide an inkjet recording ink that exhibits excellent ejection stability when used for an inkjet method.", "It is also possible to improve the dispersion stability of the disperse dye, and provide an inkjet recording ink that exhibits excellent storage stability.", "It is preferable that the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfy the relationship “Y/X≥1.0”.", "In this case, it is possible to more effectively prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with the silicone rubber.", "It is preferable that the anionic surfactant be an aromatic sulfonic acid-formalin condensate.", "In this case, it is possible to further improve the dispersion stability of the disperse dye, and more reliably prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with a silicone rubber.", "It is also possible to further improve the storage stability of the inkjet recording ink.", "It is preferable that the inkjet recording ink further include a silicon-based surfactant.", "In this case, it is possible to further improve the dispersion stability of the disperse dye, and more reliably prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with a silicone rubber.", "It is also possible to further improve the storage stability of the inkjet recording ink.", "It is preferable that the content of the disperse dye in the inkjet recording ink be 1 to 15 mass %.", "This ensures that the inkjet recording ink exhibits excellent ejection stability while ensuring that the recorded image produces an excellent color.", "It is preferable that the content of the anionic surfactant in the inkjet recording ink be 1 to 45 mass %.", "In this case, it is possible to more efficiently improve the dispersion stability of the disperse dye.", "It is preferable that the inkjet recording ink further include a silicon-based surfactant, and the content of the silicon-based surfactant in the inkjet recording ink be 0.1 to 5 mass %.", "In this case, it is possible to more efficiently improve the dispersion stability of the disperse dye.", "According to another aspect of the invention, a recorded matter is produced using the inkjet recording ink according to one aspect of the invention.", "It is thus possible to provide a highly reliable recorded matter using a sublimation transfer technique.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1 illustrates a schematic configuration of an inkjet recording device.", "DESCRIPTION OF EMBODIMENTS Exemplary embodiments of the invention are described in detail below.", "Inkjet Recording Ink An inkjet recording ink according to one embodiment of the invention is described below.", "The inkjet recording ink according to one embodiment of the invention is used for an inkjet recording device that includes a silicone rubber having a solubility parameter at 25° C. of 6 to 8 as a member with which the ink comes in contact.", "The inkjet recording ink according to one embodiment of the invention includes water, a disperse dye, and an anionic surfactant.", "An inkjet recording device that is used to eject an ink normally includes a member that includes a silicone rubber as a member with which the ink comes in contact.", "When a known inkjet recording ink that includes a disperse dye is used for an inkjet recording device that includes a member that includes a silicone rubber, the dispersion state of the disperse dye may be impaired when the inkjet recording ink has come in contact with the silicone rubber, and the disperse dye may aggregate, for example.", "In particular, the disperse dye may significantly aggregate when the inkjet recording ink has come in contact with a silicone rubber having a solubility parameter at 25° C. of 6 to 8.When the disperse dye has aggregated, for example, the nozzle of the inkjet head may be clogged, and it may be difficult to eject the ink in a stable manner.", "The inventors of the invention conducted extensive studies in order to prevent the above problem.", "The invention described in detail below was conceived as a result of these extensive studies.", "Specifically, the inkjet recording ink according to one embodiment of the invention includes water, a disperse dye, and an anionic surfactant, the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfying the relationship “Y/X≥0.7”.", "This makes it possible to reliably prevent the above problem.", "Specifically, it is possible to effectively prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with the silicone rubber, and the disperse dye aggregates.", "This makes it possible to provide an inkjet recording ink that exhibits excellent ejection stability when used for an inkjet method.", "It is also possible to improve the dispersion stability of the disperse dye, and provide an inkjet recording ink that exhibits excellent storage stability.", "The content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfy the relationship “Y/X≥0.7”.", "It is preferable that the content X (mass %) of the disperse dye and the content Y (mass %) of the anionic surfactant in the inkjet recording ink satisfy the relationship “Y/X≥1.0”.", "This makes it possible to more effectively prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with the silicone rubber.", "Note that the term “solubility parameter (SP value)” used herein refers to a value calculated from the energy of vaporization (evaporation) and the molar volume with respect to an atom and an atomic group (see “Hansen Solubility Parameters: A User's Handbook”).", "Each component included in the inkjet recording ink according to one embodiment of the invention is described below.", "<Water> The inkjet recording ink according to one embodiment of the invention includes water.", "Water included in the inkjet recording ink functions as a dispersion medium in which the disperse dye (described in detail later) is dispersed.", "When the inkjet recording ink includes water, the viscosity and the surface tension of the inkjet recording ink can be adjusted to be included within preferable ranges, and the inkjet recording ink exhibits an excellent ejection capability when used for an inkjet method.", "Since water can be easily removed after the inkjet recording ink has been ejected using an inkjet method, the productivity of the recorded matter can be improved.", "Since water is highly safe to the human body and the like, it is possible to ensure safety to a worker during production of a dyed product.", "The content of water in the inkjet recording ink is not particularly limited, but is preferably 50 to 90 mass %, and more preferably 55 to 70 mass %.", "<Disperse Dye> The inkjet recording ink according to one embodiment of the invention includes the disperse dye.", "The disperse dye is a dye that sublimes due to heating.", "Examples of the disperse dye include C.I.", "Disperse Yellow 3, 7, 8, 23, 39, 51, 54, 60, 71, and 86; C.I.", "Disperse Orange 1, 1:1, 5, 20, 25, 25:1, 33, 56, and 76; C.I.", "Disperse Brown 2; C.I.", "Disperse Red 11, 50, 53, 55, 55:1, 59, 60, 65, 70, 75, 93, 146, 158, 190, 190:1, 207, 239, and 240; C.I.", "Vat Red 41; C.I.", "Disperse Violet 8, 17, 23, 27, 28, 29, 36, and 57; C.I.", "Disperse Blue 19, 26, 26:1, 35, 55, 56, 58, 64, 64:1, 72, 72:1, 81, 81:1, 91, 95, 108, 131, 141, 145, and 359; and the like.", "These disperse dyes may be used either alone or in combination.", "Among these, C.I.", "Disperse Yellow 3, 7, 8, 23, 51, 54, 60, 71, and 86; C.I.", "Disperse Orange 20, 25, 25:1, 56, and 76; C.I.", "Disperse Brown 2; C.I.", "Disperse Red 11, 53, 55, 55:1, 59, 60, 65, 70, 75, 146, 190, 190:1, 207, 239, and 240; C.I.", "Vat Red 41; C.I.", "Disperse Violet 8, 17, 23, 27, 28, 29, 36, and 57; C.I.", "Disperse Blue 26, 26:1, 55, 56, 58, 64, 64:1, 72, 72:1, 81, 81:1, 91, 95, 108, 131, 141, 145, and 359; and the like are preferable from the viewpoint of the storage stability of the inkjet recording ink.", "It is preferable that the disperse dye have a solubility parameter at 25° C. of 7.5 to 10.5.This makes it possible to effectively prevent a situation in which the disperse dye aggregates when the inkjet recording ink has come in contact with a silicone rubber having a solubility parameter at 25° C. of 6 to 8.The molecular weight of the disperse dye is not particularly limited.", "The disperse dye may preferably be used for sublimation printing when the molecular weight of the disperse dye is 550 or less.", "The disperse dye preferably has a vaporization (evaporation) rate of 1×104 g/m2-sec or more when heated to 200° C. in air.", "This ensures that the recorded image produces an excellent color.", "The disperse dye preferably has a residual ratio of 0.1 wt % or less when heated to 200° C. in air.", "This also ensures that the recorded image produces an excellent color.", "The content of the disperse dye in the inkjet recording ink is not particularly limited, but is preferably 1 to 20 mass %, and more preferably 1 to 15 mass %.", "This ensures that the inkjet recording ink exhibits excellent ejection stability while ensuring that the recorded image produces an excellent color.", "<Anionic Surfactant> The inkjet recording ink according to one embodiment of the invention includes the anionic surfactant.", "The anionic surfactant improves the dispersion stability of the disperse dye.", "Examples of the anionic surfactant include an aromatic sulfonic acid-formalin condensate, a beta-naphthalenesulfonic acid-formalin condensate, an alkylnaphthalenesulfonic acid-formalin condensate, a creosote oil sulfonic acid-formalin condensate, and the like.", "These anionic surfactants may be used either alone or in combination.", "It is preferable to use the aromatic sulfonic acid-formalin condensate as the anionic surfactant.", "This makes it possible to further improve the dispersion stability of the disperse dye, and more reliably prevent a situation in which the dispersion state of the disperse dye is impaired when a silicone rubber has come in contact with the inkjet recording ink.", "Examples of the aromatic sulfonic acid that forms the aromatic sulfonic acid-formalin condensate include creosote oil sulfonic acid, cresolsulfonic acid, phenolsulfonic acid, beta-naphtholsulfonic acid, an alkylnaphthalene sulfonic acid such as methylnaphthalenesulfonic acid and butylnaphthalenesulfonic acid, a mixture of beta-naphthalenesulfonic acid and beta-naphtholsulfonic acid, a mixture of cresolsulfonic acid and 2-naphthol-6-sulfonic acid, lignosulfonic acid, and the like.", "The content of the anionic surfactant in the inkjet recording ink is not particularly limited, but is preferably 1 to 60 mass %, and more preferably 1 to 45 mass %.", "In this case, it is possible to more efficiently improve the dispersion stability of the disperse dye, and further improve the storage stability of the inkjet recording ink.", "<Additional Component> The inkjet recording ink according to one embodiment of the invention may include a component (additional component) other than the above components.", "Examples of the additional component include a surfactant (dispersant, antifoaming agent, or surface tension regulator) other than an anionic surfactant, a solvent, an antiseptic-antifungal agent, a pH-adjusting agent, a chelating reagent, a rust preventive, a UV absorber, and the like.", "Examples of the surfactant other than an anionic surfactant include a nonionic dispersant, a cationic surfactant, a polymer dispersant, and the like.", "It is preferable to use a nonionic dispersant.", "A silicon-based surfactant is preferable as the nonionic dispersant.", "In this case, it is possible to further improve the dispersion stability of the disperse dye, and more reliably prevent a situation in which the dispersion state of the disperse dye is impaired when the inkjet recording ink has come in contact with a silicone rubber.", "It is also possible to further improve the storage stability of the inkjet recording ink, and improve droplet ejection response when using an inkjet method.", "When the inkjet recording ink includes a silicon-based surfactant as the nonionic dispersant, the content of the silicon-based surfactant in the inkjet recording ink is preferably 0.1 to 10 mass %, and more preferably 0.1 to 5 mass %.", "In this case, it is possible to more efficiently improve the dispersion stability of the disperse dye, and further improve the storage stability of the inkjet recording ink.", "Examples of the polymer dispersant include a partial alkyl ester of polyacrylic acid, a polyalkylenepolyamine, a polyacrylic acid salt, a styrene-acrylic acid copolymer, a vinylnaphthalene-maleic acid copolymer, and the like.", "The inkjet recording ink according to one embodiment of the invention may include a surfactant other than those described above.", "Examples of the solvent include a polyol compound, a glycol ether, and the like.", "Examples of the polyol compound include a polyol compound (preferably a diol compound) having 2 to 6 carbon atoms that may include one ether linkage in the molecule, and the like.", "Specific examples of the polyol compound include glycerol, ethylene glycol, diethylene glycol, triethylene glycol, propylene glycol, dipropylene glycol, 1,3-propanediol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 2,3-butanediol, 3-methyl-1,3-butanediol, 1,2-pentanediol, 1,5-pentanediol, 2-methyl-2,4-pentanediol, 3-methyl-1,5-pentanediol, 1,2-hexanediol, 1,6-hexanediol, and the like.", "Examples of a preferable glycol ether include a monoalkyl ether of a glycol selected from ethylene glycol, diethylene glycol, triethylene glycol, propylene glycol, dipropylene glycol, and tripropylene glycol.", "Specific examples of a preferable glycol ether include triethylene glycol monomethyl ether, triethylene glycol monobutyl ether, dipropylene glycol monopropyl ether, and the like.", "Examples of the antiseptic-antifungal agent include an organic sulfur-based compound, an organic nitrogen-sulfur-based compound, an organic halogen-based compound, a haloallylsulfone-based compound, an iodopropagyl-based compound, an N-haloalkylthio-based compound, a benzothiazole-based compound, a nitrile-based compound, a pyridine-based compound, an 8-oxyquinoline-based compound, an isothiazoline-based compound, a dithiol-based compound, a pyridine oxide-based compound, a nitropropane-based compound, an organic tin-based compound, a phenol-based compound, a quaternary ammonium salt-based compound, a triazine-based compound, a thiadiazine-based compound, an anilide-based compound, an adamantane-based compound, a dithiocarbamate-based compound, a brominated indanone-based compound, a benzyl bromoacetate-based compound, an inorganic salt-based compound, and the like.", "Examples of the organic halogen-based compound include sodium pentachlorophenol and the like.", "Examples of the pyridine oxide-based compound include sodium pyridinethione-1-oxide, zinc pyridinethione-1-oxide, and the like.", "Examples of the isothiazoline-based compound include an amine salt of 1-benzisothiazolin-3-one, 1,2-benzisothiazolin-3-one, 2-n-octyl-4-isothiazolin-3-one, 5-chloro-2-methyl-4-isothiazolin-3-one, 5-chloro-2-methyl-4-isothiazolin-3-one magnesium chloride, 5-chloro-2-methyl-4-isothiazolin-3-one calcium chloride, 2-methyl-4-isothiazolin-3-one calcium chloride, and the like.", "Further examples of the antiseptic-antifungal agent include sodium dehydroacetate, sodium sorbate, sodium benzoate, and the like.", "When the inkjet recording ink includes the pH-adjusting agent, the inkjet recording ink exhibits excellent storage stability, for example.", "Moreover, the reliability of the recorded matter (dyed product) produced using the inkjet recording ink is improved.", "Examples of a preferable pH-adjusting agent include a compound that makes it possible to adjust (control) the pH of the inkjet recording ink to 6.0 to 11.0.Examples of such a pH-adjusting agent include an alkanolamine such as diethanolamine, triethanolamine, dimethylethanolamine, and diethylethanolamine; an alkali metal hydroxide such as lithium hydroxide, sodium hydroxide, potassium hydroxide, and lithium hydroxide; ammonium hydroxide; an alkali metal carbonate such as lithium carbonate, sodium carbonate, and potassium carbonate; an aminosulfonic acid such as taurine; and the like.", "Examples of the chelating reagent include disodium ethylenediaminetetraacetate, sodium nitrilotriacetate, sodium hydroxyethylethylenediaminetriacetate, sodium diethylenetriaminepentaacetate, sodium uracildiacetate, and the like.", "Examples of the rust preventive include an acidic sulfite, sodium thiosulfate, ammonium thioglycolate, diisopropyl ammonium nitrate, pentaerythritol tetranitrate, dicyclohexyl ammonium nitrate, a benzotriazole-based compound, and the like.", "Examples of the UV absorber include a benzophenone-based compound, a cinnamic acid-based compound, a triazine-based compound, a stilbene-based compound, a fluorescent whitening agent (i.e., a compound that absorbs UV rays and emits fluorescence (e.g., benzoxazole-based compound)), and the like.", "It is preferable that the inkjet recording ink according to one embodiment of the invention have a surface tension (surface tension at 25° C.) of 20 to 50 mN/m, and more preferably 25 to 40 mN/m.", "The surface tension of the inkjet recording ink may be measured in accordance with JIS K 3362 using a surface tensiometer (“CBVP-A3” manufactured by Kyowa Interface Science Co., Ltd.), for example.", "It is preferable that the inkjet recording ink according to one embodiment of the invention have a viscosity (viscosity at 25° C.) of 2 to 20 mPa·s.", "This ensures that the inkjet recording ink exhibits excellent ejection stability (e.g., the stability of the ejection volume and the travel capability of droplets), excellent ejection response (e.g., response speed and high frequency responsiveness (frequency characteristics)), and the like.", "The viscosity of the inkjet recording ink may be measured in accordance with JIS Z 8809 using a vibrating viscometer.", "Inkjet Recording Device An inkjet recording device to which the inkjet recording ink according to one embodiment of the invention may suitably be applied is described below.", "FIG.", "1 is a view illustrating a schematic configuration of the inkjet recording device.", "The inkjet recording device 10 illustrated in FIG.", "1 is a serial inkjet recording device that can eject a black inkjet recording ink, a cyan inkjet recording ink, a magenta inkjet recording ink, and a yellow inkjet recording ink.", "The inkjet recording device 10 includes an ink cartridge 100, an ink supply device 200, a carriage 300, and a recording head 400.The ink cartridge 100 includes one or more ink-holding sections 101, and the ink-holding section 101 holds the inkjet recording ink corresponding to each color.", "FIG.", "1 illustrates an example in which the ink cartridge 100 includes one ink-holding section 101.Note that the inkjet recording device 10 is configured so that one or more ink cartridges 100 corresponding to the four colors are connected to the ink supply device 200.The inkjet recording device 10 is an off-carriage-type inkjet recording device that is configured so that the ink cartridge 100 is fitted into the main body of the inkjet recording device.", "The ink cartridge 100 is fitted into the main frame (not illustrated in FIG.", "1) of the inkjet recording device 10 using a cartridge holder (not illustrated in FIG.", "1).", "The ink supply device 200 supplies the ink contained in the ink cartridge 100 to the recording head 400.The ink supply device 200 includes a cartridge connection section 210, a flow channel pump section 220, a decompression pump section 230, a filter section 240, a valve unit 250, a decompression-degassing section 260, and a tube 270.The ink supply device 200 is provided corresponding to each color.", "Each ink supply device 200 has the same configuration.", "FIG.", "1 illustrates one ink supply device 200 that supplies one of the four inks.", "The cartridge connection section 210 connects the ink supply device 200 and the ink cartridge 100.The cartridge connection section 210 includes a hollow needle 211.The hollow needle is inserted into the ink cartridge 100 so that the ink-holding section 101 of the ink cartridge 100 communicates with an ink flow channel FC included in the ink supply device 200.The flow channel pump section 220 sucks the ink from the ink cartridge 100, and pumps the ink into the carriage 300.The flow channel pump section 220 includes a suction-side check valve 221, a pump 224, and an ejection-side check valve 227.The suction-side check valve 221, the pump 224, and the ejection-side check valve 227 are sequentially provided along the ink flow channel FC from the side of the ink cartridge 100 (upstream side).", "The suction-side check valve 221 is formed so that the ink flow channel FC can be opened and closed by a suction-side valve disc 222, and functions as a one-way valve that allows only movement of the ink from the ink cartridge 100 to the pump 224.The ejection-side check valve 227 is formed so that the ink flow channel FC can be opened and closed by an ejection-side valve disc 228, and functions as a one-way valve that allows only movement of the ink from the pump 224 to the recording head 400.The pump 224 includes a diaphragm 225 and a spring 226, and pumps the ink from the ink cartridge 100 into the carriage 300.Specifically, the decompression pump section 230 (described later) decompresses an upper space M situated over the diaphragm 225 to warp the diaphragm 225 upward so that the volume of a volume-variable space V that is formed along the ink flow channel FC increases.", "The pump 224 thus causes the ink to flow from the ink cartridge 100 into the space V through the suction-side check valve 221.When the decompression state of the upper space M has been canceled by the decompression pump section 230, the diaphragm 225 is moved downward by the spring 226 so that the volume of the space V decreases.", "The pump 224 thus pumps the ink contained in the space V into the recording head 400 by utilizing the biasing force of the spring 226.The decompression pump section 230 decompresses the upper space M of the pump 224 that communicates with the decompression pump section 230 through an air flow channel AC, and a decompression chamber 265 (described later) of the decompression-degassing section 260.The decompression pump section 230 includes a valve disc (not illustrated in FIG.", "1), and cancels the decompression state of the upper space M and the decompression chamber 265 by opening the air flow channel AC to the atmosphere by opening the valve disc.", "The filter section 240 is provided in the ink flow channel FC at a position between the flow channel pump section 220 and the recording head 400, and filters the ink supplied from the flow channel pump section 220 to the recording head 400 to trap impurities (e.g., dust).", "The filter section 240 is a characteristic part according to the invention, and the shape and the configuration thereof are described later.", "The valve unit 250 is provided in the ink flow channel FC at a position between the filter section 240 and the recording head 400, and formed so that the ink flow channel FC can be opened and closed by a valve disc 250b.", "When the valve disc 250b is opened, the ink can flow from the filter section 240 into the recording head 400.When the valve disc 250b is closed, the ink cannot flow from the filter section 240 into the recording head 400.The decompression-degassing section 260 is provided in the ink flow channel FC at a position between the valve unit 250 and the recording head 400, and removes air bubbles from the ink.", "The decompression-degassing section 260 includes an air chamber 261, a pressure chamber 262, a degassing chamber 263, a negative pressure chamber 264, and the decompression chamber 265.The air chamber 261 communicates with the atmosphere through an air communication hole 261a.", "The pressure chamber 262 can temporarily hold the ink.", "The pressure chamber 262 is situated adjacent to the air chamber 261 through a partition wall 266 that includes a film formed of a flexible member, and a cantilever thin plate member that can be displaced together with the film.", "The pressure chamber 262 communicates with the valve unit 250 and the degassing chamber 263.A rod section 250s of the valve disc 250b of the valve unit 250 is bonded to the partition wall 266 at a position inside the pressure chamber 262, and the valve disc 250b is moved between the open position and the close position due to vertical displacement of the partition wall 266.When the valve disc 250b is opened (i.e., set to the open position), the ink flows into the pressure chamber 262 through the valve unit 250.The degassing chamber 263 is a hollow chamber that includes a filter 263f, and communicates with the pressure chamber 262 and the recording head 400.The degassing chamber 263 temporarily holds the ink that has flowed into the degassing chamber 263 from the pressure chamber 262, and removes gas from the ink through a degassing operation.", "The ink held by the degassing chamber 263 is discharged to the recording head 400 through the filter 263f.", "The filter 263f filters the ink to remove impurities (e.g., dust).", "The filter 263f rarely allows air bubbles present in the ink flow channel FC to pass through so that air bubbles are trapped in the ceiling part of the degassing chamber 263.Note that the ink discharged from the degassing chamber 263 passes through an ink discharge tube 404 connected to the bottom of the degassing chamber 263, and is ejected from a plurality of nozzles (not illustrated in FIG.", "1) provided to a nozzle plate 402.The negative pressure chamber 264 is provided over the degassing chamber 263 through a partition wall 268 that is formed of a synthetic resin material that allows gas to pass through.", "Examples of the synthetic resin material that allows gas to pass through include polyacetal, polypropylene, polyphenylene ether, and the like.", "The negative pressure chamber 264 communicates with the decompression chamber 265 through a flow channel valve 267.The decompression chamber 265 is a hollow chamber that communicates with the decompression pump section 230 through the air flow channel AC.", "The decompression chamber 265 is situated adjacent to the air chamber 261 through the partition wall 266 in the same manner as the pressure chamber 262.A rod section 267s of the flow channel valve 267 is bonded to the partition wall 266 at a position inside the decompression chamber 265, and the flow channel valve 267 is moved between the open position and the close position due to vertical displacement of the partition wall 266.When the decompression chamber 265 has been decompressed by driving the decompression pump section 230, the partition wall 266 is deformed toward the decompression chamber 265 due to the difference in pressure between the decompression chamber 265 and the air chamber 261.The flow channel valve 267 is thus opened (i.e., moved to the open position), and the negative pressure in the decompression chamber 265 is introduced into the negative pressure chamber 264.When the decompression chamber 265 is opened to the atmosphere by the decompression pump section 230, the flow channel valve 267 is closed (i.e., moved to the close position) due to the biasing force of a spring, and the negative pressure chamber 264 is maintained in a decompressed state.", "Air bubbles and dissolved air included in the ink held by the degassing chamber 263 are thus collected into the negative pressure chamber 264 through the partition wall 268.The decompression-degassing section 260 thus degases the ink.", "The carriage 300 is disposed so that the carriage 300 can reciprocate in the main scan direction along a guide rod (not illustrated in FIG.", "1) while receiving power supplied from a carriage motor (not illustrated in FIG.", "1).", "The filter section 240, the valve unit 250, and the decompression-degassing section 260 of the ink supply device 200 are provided to the carriage 300.The tube 270 is disposed between the flow channel pump section 220 and the filter section 240 so that the ink can be supplied from the flow channel pump section 220 to the filter section 240, and the decompression chamber 265 can be decompressed using the decompression pump section 230 even when the carriage 300 is moved.", "Although an example in which the inkjet recording device 10 is an off-carriage-type inkjet recording device has been described above, the inkjet recording device 10 may be an on-carriage-type inkjet recording device in which the ink cartridge 100 is fitted into the carriage 300.The recording head 400 is provided at the bottom of the carriage 300, and ejects ink droplets from a plurality of nozzles (not illustrated in FIG.", "1) onto a recording medium while the carriage 300 reciprocates in the main scan direction.", "The recording medium is fed in the sub-scan direction by a paper feed mechanism (not illustrated in FIG.", "1), and an image or the like is formed on the recording medium.", "In the inkjet recording device 10, part or the entirety (or some or all) of the suction-side valve disc 222, the ejection-side check valve 227, the valve unit 250, the flow channel valve 267, and the like are formed using a silicone rubber having a solubility parameter at 25° C. of 6 to 8.Examples of the droplet ejection method used for the inkjet recording device 10 include a piezo method, a method that ejects an ink by utilizing bubbles produced by heating the ink, and the like.", "It is preferable to use a piezo method since the inkjet recording ink rarely changes in properties, for example.", "Method for Producing Recorded Matter A method for producing a recorded matter using an inkjet recording ink is described below.", "A method for producing a recorded matter according to one embodiment of the invention includes an ink application step (1a) that applies the inkjet recording ink according to one embodiment of the invention (see above) to an intermediate transfer medium using an inkjet recording device, and a transfer step (1b) that heats the intermediate transfer medium to which the inkjet recording ink has been applied in a state in which the intermediate transfer faces the recording target to transfer the sublimation dye included in the inkjet recording ink to the recording target.", "This makes it possible to provide a method for producing a recorded matter using an inkjet recording ink that can produce a recorded matter with high productivity.", "Each step is described in detail below.", "<Ink Application Step> In the ink application step (1a), the inkjet recording ink according to one embodiment of the invention is applied to the intermediate transfer medium using the inkjet recording device.", "Examples of the intermediate transfer medium include paper (e.g., plain paper), a recording medium provided with an ink-receiving layer (e.g., inkjet paper or coated paper), and the like.", "It is preferable to use paper that is provided with an ink-receiving layer formed using inorganic microparticles (e.g., silica).", "In this case, it is possible to obtain an intermediate recorded matter while suppressing a situation in which blurring or the like occurs when the inkjet recording ink applied to the intermediate transfer medium is dried, and ensure that the disperse dye sublimates more smoothly in the subsequent transfer step.", "A plurality of types of inkjet recording inks may be used in the ink application step.", "In this case, it is possible to widen the color gamut, for example.", "<Transfer Step> In the transfer step (1b), the intermediate transfer medium to which the inkjet recording ink has been applied is heated in a state in which the intermediate transfer faces the recording target to transfer the disperse dye included in the inkjet recording ink to the recording target.", "A recorded matter is thus obtained.", "The heating temperature employed in the transfer step is preferably 160 to 220° C., and more preferably 170 to 200° C. In this case, it is possible to reduce the amount of energy required for transfer, and further improve the productivity of the recorded matter.", "It is also possible to improve the reliability of the resulting recorded matter.", "The heating time employed in the transfer step is determined taking account of the heating temperature, but is preferably 30 to 90 seconds, and more preferably 45 to 60 seconds.", "In this case, it is possible to reduce the amount of energy required for transfer, and further improve the productivity of the recorded matter.", "It is also possible to improve the reliability of the resulting recorded matter.", "In the transfer step, the intermediate transfer medium to which the inkjet recording ink has been applied is heated in a state in which the intermediate transfer medium faces the recording target.", "It is preferable to heat the intermediate transfer medium to which the inkjet recording ink has been applied in a state in which the intermediate transfer medium comes in close contact with (adheres to) the recording target.", "In this case, it is possible to reduce the amount of energy required for transfer, and further improve the productivity of the recorded matter.", "It is also possible to improve the reliability of the resulting recorded matter.", "The recording target is not particularly limited.", "For example, a sheet-like product such as a fabric cloth (e.g., hydrophobic fiber fabric cloth), a resin (plastic) film, or paper is suitably used as the recording target.", "Note that a product having a solid shape (e.g., spherical shape or rectangular parallelepiped shape) may also be used as the recording target.", "The recording target may be formed of a resin, a plastic, paper, glass, a metal, a ceramic, or the like.", "Examples of the fibers that may be used as the material for forming the recording target include polyester fibers, nylon fibers, triacetate fibers, diacetate fibers, polyamide fibers, a mixture of two or more fibers among these fibers, and the like.", "A mixture of these fibers and regenerated fibers (e.g., rayon) or natural fibers (e.g., cotton, silk, or wool) may also be used.", "Examples of the resin (plastic) film that may be used as the recording target include a polyester film, a polyurethane film, a polycarbonate film, a polyphenylene sulfide film, a polyimide film, a polyamide-imide film, and the like.", "The resin (plastic) film may be a laminate in which a plurality of layers are stacked, or may be formed of a gradient material that gradually changes in composition.", "Recorded Matter A recorded matter according to one embodiment of the invention is described below.", "The recorded matter according to one embodiment of the invention is produced using the inkjet recording ink according to one embodiment of the invention (see above).", "It is thus possible to provide a highly reliable recorded matter using a sublimation transfer technique.", "The recorded matter according to one embodiment of the invention may be used for an arbitrary application.", "Examples of the application of the recorded matter according to one embodiment of the invention include clothing (e.g., T-shirt and sweat suit), a banner, and the like.", "The embodiments of the invention have been described above.", "Note that the invention is not limited to the above embodiments.", "Although an example has been described above in which the recorded matter according to one embodiment of the invention is produced using the method that includes the ink application step that applies the inkjet recording ink according to one embodiment of the invention to the intermediate transfer medium using the inkjet recording device, and the transfer step that heats the intermediate transfer medium to which the inkjet recording ink has been applied in a state in which the intermediate transfer medium faces the recording target to transfer the sublimation dye included in the inkjet recording ink to the recording target, the recorded matter according to one embodiment of the invention may be produced using another method.", "For example, the recorded matter according to one embodiment of the invention may be produced using a method that applies the inkjet recording ink according to one embodiment of the invention to an ink-receiving layer that is removably provided to the recording target (e.g., film product), heats the recording target to effect sublimation-diffusion dyeing, and removes the ink-receiving layer.", "The invention is not limited to the above embodiments.", "Various modifications and variations may be made of the above embodiments.", "For example, the invention includes various other configurations substantially the same as the configurations described in connection with the above embodiments (e.g., a configuration having the same function, method, and results, or a configuration having the same objective and results).", "The invention also includes a configuration in which an unsubstantial element (part) described in connection with the above embodiments is replaced by another element (part).", "The invention also includes a configuration having the same effects as those of the configurations described in connection with the above embodiments, or a configuration capable of achieving the same objective as that of the configurations described in connection with the above embodiments.", "The invention further includes a configuration in which a known technique is added to the configurations described in connection with the above embodiments.", "The embodiments of the invention may also suitably be used for sublimation transfer that does not utilize an intermediate transfer medium, for example.", "Specifically, the inkjet recording ink is applied directly to a fabric cloth using an inkjet method, followed by heating to obtain a printed product.", "In this case, an excellent smoke suppression effect is also achieved.", "EXAMPLES [1] Production of Inkjet Recording Ink An inkjet recording ink was produced as described below.", "Example 1 A mixture including C.I.", "Disperse Red 60 (disperse dye), a sodium lignosulfonate-formalin condensate (“PEARLLEX DP” manufactured by Nippon Paper Chemicals Co., Ltd.) (anionic surfactant), a silicon-based surfactant (“BYK-348” manufactured by BYK Japan KK), a nonionic surfactant (“NIKKOL (registered trademark) BPS-30” manufactured by Nikko Chemicals Co., Ltd.), and ion-exchanged water, was subjected to a dispersion treatment for about 15 hours with cooling using a sand mill and glass beads having a diameter of 0.2 mm.", "After completion of the dispersion treatment, the dispersion was diluted with ion-exchanged water, and filtered through a glass fiber filter paper (“GC-50” manufactured buy Toyo Roshi Kaisha, Ltd., pore size: 0.5 micrometers) to obtain an aqueous dispersion from which a component having large particle size had been removed.", "The resulting aqueous dispersion, glycerol, triethanolamine, and ion-exchanged water were mixed in a predetermined ratio to obtain an inkjet recording ink.", "Examples 2 to 6 and Comparative Examples 1 to 5 An inkjet recording ink was produced in the same manner as in Example 1, except that the types and the amounts of the components used to produce the inkjet recording ink were changed as shown in Table 1.Table 1 shows the composition of the inkjet recording inks of the examples and the comparative examples, and the like.", "In Table 1, “DR60” refers to C.I.", "Disperse Red 60 (disperse dye), “DP” refers to PEARLLEX DP (anionic surfactant), “W-40” refers to a sodium naphthalenesulfonate-formalin condensate (“LAVELIN W-40” manufactured by Dai-ichi Kogyo Seiyaku Co., Ltd.) (anionic surfactant), “S-30” refers to a nonionic surfactant, “BYK-348” refers to a silicon-based surfactant, “GL” refers to glycerol, and “TEA” refers to triethanolamine.", "The surface tension (at 25° C.) of the inkjet recording inks of the examples (measured in accordance with JIS K 3362 using a surface tensiometer (“CBVP-A3” manufactured by Kyowa Interface Science Co., Ltd.)) was 25 to 40 mN/m.", "The viscosity (at 25° C.) of the inkjet recording inks of the examples (measured in accordance with JIS Z 8809 using a vibrating viscometer) was 2 to 20 mPa·s.", "TABLE 1 Exam- Exam- Exam- Exam- Comparative Comparative Comparative Comparative Comparative ple 1 ple 2 ple 3 ple 4 Example 5 Example 6 Example 1 Example 2 Example 3 Example 4 Example 5 DR60 7 7 7 7 7 7 7 7 7 7 7 X (mass %) DP 5.25 7 8.75 — — — 3.5 — — — — Y (mass %) W-40 — — — 5.25 7 8.75 — 3.5 — — — (mass %) S-30 — — — — — — — — 5.25 7 8.75 (mass %) GL 18 18 18 18 18 18 18 18 18 18 18 (mass %) BYK-348 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 0.5 (mass %) TEA 0.4 0.4 0.4 0.4 0.4 0.4 0.4 0.4 0.4 0.4 0.4 (mass %) Water 68.85 67.1 65.35 68.85 67.1 65.35 70.6 70.6 68.85 67.1 65.35 (mass %) Total 100 100 100 100 100 100 100 100 100 100 100 (mass %) Y/X 0.75 1.00 1.25 0.75 1.00 1.25 0.5 0.5 — — — [2] Ejection Stability (Anti-Clogging Properties) of Inkjet Recording Ink The inkjet recording ink (inkjet recording inks of Examples 1 to 6 and Comparative Examples 1 to 5) was subjected to the following test, and evaluated.", "An inkjet recording device (see FIG.", "1) was installed in a chamber (thermal chamber), and the inkjet recording ink was provided.", "The inkjet recording device was continuously operated for 30 minutes (print resolution: 720×720 dpi, monochrome solid printing, print width: 1200 mm) (print test).", "The inkjet recording device was then allowed to stand for 48 hours.", "After completion of a normal cleaning operation, the print test was performed again under the same conditions, and the ejection stability of the inkjet recording ink was evaluated in accordance with the following standard.", "Note that the suction-side valve disc (222) and the ejection-side check valve (227) of the inkjet recording device (10) were formed using a silicone rubber having a solubility parameter at 25° C. of 7.3.A: Normal printing could be performed when the cleaning operation was performed up to three times.", "B: Normal printing could be performed (clogging was eliminated) when the cleaning operation was performed four times or more, but less than 10 times.", "C: Clogging was not eliminated even when the cleaning operation was performed 10 times or more.", "[3] Evaluation of Storage Stability The inkjet recording ink (inkjet recording inks of Examples 1 to 6 and Comparative Examples 1 to 5) was put in a glass bottle (20 mL) with a lid, and allowed to stand at 60° C. for 5 days.", "The surface of the ink was observed with the naked eye to determine the presence or absence of a suspended matter.", "The inkjet recording ink was filtered through a filter having a pore size of 10 micrometers to determine the presence or absence of a residue on the filter.", "The storage stability of the inkjet recording ink was evaluated in accordance with the following standard.", "A: A suspended matter derived from the ink component was not observed, and no residue was observed.", "B: A suspended matter derived from the ink component was observed, but no residue was observed (practical level).", "C: A residue was observed.", "[4] Production of Dyed Product A recorded matter (dyed product) was produced as described below using the inkjet recording ink (inkjet recording inks of Examples 1 to 6 and Comparative Examples 1 to 5).", "The inkjet recording device illustrated in FIG.", "1 was provided with the inkjet recording ink.", "Paper provided with an ink-receiving layer that was formed using a material including silica was provided as an intermediate transfer medium.", "The inkjet recording ink was ejected onto the ink-receiving layer of the intermediate transfer medium in a predetermined pattern (ink application step).", "The ink-receiving layer of the intermediate transfer medium to which the inkjet recording ink was applied was brought into contact with a fabric cloth (dyeing target) formed of polyester fibers, and heated at 200° C. for 60 seconds using a heat press (“TP-608M” manufactured by Taiyoseiki Co., Ltd.) to effect sublimation transfer (transfer step).", "A recorded matter was thus obtained.", "[5] Evaluation of External Appearance The recorded matter produced as described above (see [4]) was observed with the naked eye, and the dyed part was evaluated in accordance with the following standard.", "A: The dyed part was formed in conformity with the predetermined pattern.", "B: A difference from the predetermined pattern (color bleeding due to blurring, or a shift in characters or ruled lines) was observed.", "The results are shown in Table 2.TABLE 2 Ejection Storage External appearance stability stability of recorded matter Example 1 B B A Example 2 A A A Example 3 A A A Example 4 B B A Example 5 A A A Example 6 A A A Comparative C C B Example 1 Comparative C C B Example 2 Comparative B C B Example 3 Comparative A C B Example 4 Comparative A C B Example 5 As is clear from the results shown in Table 2, the inkjet recording inks of Examples 1 to 6 exhibited excellent storage stability and excellent droplet ejection stability.", "Moreover, the desired dyed part could be reliably formed (i.e., the reliability of the recorded matter was high).", "On the other hand, satisfactory results were not obtained when using the inkjet recording inks of Comparative Examples 1 to 5.REFERENCE SIGNS LIST 10: inkjet recording device, 100: ink cartridge, 101: ink-holding section, 200: ink supply device, 210: cartridge connection section, 211: hollow needle, 220: flow channel pump section, 221: suction-side check valve, 222: suction-side valve disc, 224: pump, 225: diaphragm, 226: spring, 227: ejection-side check valve, 228: ejection-side valve disc, 230: decompression pump section, 240: filter section, 250: valve unit, 250b: valve disc, 250s: rod section, 260: decompression-degassing section, 261: air chamber, 261a: air communication hole, 262: pressure chamber, 263: degassing chamber, 263f: filter, 264: negative pressure chamber, 265: decompression chamber, 266: partition wall, 267: flow channel valve, 267s: rod section, 268: partition wall, 270: tube, 300: carriage, 400: recording head, 402: nozzle plate, 404: ink discharge tube" ] ]
Patent_15875631
[ [ "PHYSIOLOGIC SIMULATOR SYSTEM", "Systems for the simulation of percutaneous medical procedures are disclosed.", "The systems can include a simulated vasculature including a first component configured to allow for introduction of a medical device into the system through an introductory port, a second component connected to the first component and shaped to simulate a portion of a human vasculature, and a third component connected to the second component and shaped to simulate a delivery site for the medical procedure.", "The system can be configured to allow for a medical device to be delivered to the third component by passing through the introductory port of the first component and passing through the second component.", "The system can be configured to replicate simulated conditions of use for the medical procedure.", "Methods for simulating a percutaneous medical procedure using a simulated vasculature are also disclosed." ], [ "1-44.", "(canceled) 45: A system for the simulation of a percutaneous medical procedure, the system comprising: a simulated vasculature including an introductory port configured to allow for introduction of a medical device into the system and a delivery site for the simulation of the percutaneous medical procedure; a pump fluidly connected to the simulated vasculature for pumping fluid through the simulated vasculature to simulate blood flow during the simulation of the percutaneous medical procedure; a first fluid reservoir fluidly connected to the simulated vasculature; and a one-way valve fluidly connected to the simulated vasculature, the one-way valve positioned downstream of the first fluid reservoir and upstream of the pump.", "46: The system of claim 45, wherein the one-way valve simulates a mitral valve.", "47: The system of claim 46, wherein the one-way valve is a prosthetic valve.", "48: The system of claim 46, wherein the one-way valve is mounted into a bottom surface of the first fluid reservoir.", "49: The system of claim 45, wherein the pump is a motor-driven reciprocating piston pump.", "50: The system of claim 45, further comprising: a heater to heat one or more components of the system to simulate a desired body temperature for the simulation of the percutaneous medical procedure.", "51: The system of claim 45, wherein the fluid pumped through the simulated vasculature is heated to simulate a desired body temperature for the simulation of the percutaneous medical procedure.", "52: The system of claim 45, wherein the system is configured to simulate one or more blood pressure states.", "53: The system of claim 45, wherein the delivery site is configured to simulate a valve annulus.", "54: The system of claim 45, wherein the delivery site is configured to simulate an aortic valve.", "55: The system of claim 45, wherein the fluid pumped through the simulated vasculature is water.", "56: The system of claim 45, wherein the fluid pumped through the simulated vasculature is blood.", "57: The system of claim 45, wherein the simulation of the percutaneous medical procedure is a simulation of a catheter-based implant procedure.", "58: The system of claim 45, wherein the simulation of the percutaneous medical procedure is a simulation of a catheter-based heart valve replacement procedure.", "59: The system of claim 45, wherein the simulation of the percutaneous medical procedure is a simulation of a transfemoral delivery procedure.", "60: The system of claim 45, wherein the simulation of the percutaneous medical procedure is a simulation of a transapical delivery procedure.", "61: The system of claim 45, wherein the simulation of the percutaneous medical procedure is a simulation of a transatrial delivery procedure.", "62: The system of claim 45, wherein the first fluid reservoir is unsealed and open to the atmosphere at a top end.", "63: The system of claim 62, further comprising: a second fluid reservoir fluidly connected to the simulated vasculature, wherein the second fluid reservoir is sealed and not open to the atmosphere.", "64: The system of claim 45, wherein the system is configured to simulate blood flow during a fast pacing state." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>In some embodiments, a system for the simulation of percutaneous medical procedures can include a simulated vasculature including a first component configured to allow for introduction of a medical device into the system through an introductory port, a second component connected to the first component and shaped to simulate a portion of a human vasculature, and a third component connected to the second component and shaped to simulate a delivery site for the medical procedure.", "The system can be configured to allow for a medical device to be delivered to the third component by passing through the introductory port of the first component and passing through the second component.", "The system can be configured to replicate simulated conditions of use for the medical procedure.", "In some embodiments, a method of simulating a percutaneous medical procedure can include using a simulated vasculature having a first component configured to allow for introduction of a medical device into the system through an introductory port, a second component connected to the first component and shaped to simulate a portion of a human vasculature, and a third component connected to the second component and shaped to simulate a delivery site for the medical procedure.", "The method can include inserting a medical device into the introductory port of a first component, advancing the medical device through the first component and into a second component, and advancing the medical device through the second component and into a third component." ], [ "BACKGROUND Field Certain embodiments of the present invention are related to physiologic simulator systems for medical procedures.", "Background Art Medical devices can be delivered to a site within a patient through a variety of techniques.", "For example, a medical device can be implanted or otherwise delivered through conventional open surgical techniques, such as for example open-heart surgery.", "In some techniques, a medical device can be implanted or delivered percutaneously.", "For example, in some percutaneous techniques, a medical device, such as a valve prosthesis can be compacted and loaded onto a delivery device for advancement through a patient's vasculature in a transfemoral, transapical, or transatrial procedure.", "There is a continuous need for physiologic simulator systems that can be used for training or other purposes related to catheter-based medical procedures as well as other delivery techniques.", "SUMMARY In some embodiments, a system for the simulation of percutaneous medical procedures can include a simulated vasculature including a first component configured to allow for introduction of a medical device into the system through an introductory port, a second component connected to the first component and shaped to simulate a portion of a human vasculature, and a third component connected to the second component and shaped to simulate a delivery site for the medical procedure.", "The system can be configured to allow for a medical device to be delivered to the third component by passing through the introductory port of the first component and passing through the second component.", "The system can be configured to replicate simulated conditions of use for the medical procedure.", "In some embodiments, a method of simulating a percutaneous medical procedure can include using a simulated vasculature having a first component configured to allow for introduction of a medical device into the system through an introductory port, a second component connected to the first component and shaped to simulate a portion of a human vasculature, and a third component connected to the second component and shaped to simulate a delivery site for the medical procedure.", "The method can include inserting a medical device into the introductory port of a first component, advancing the medical device through the first component and into a second component, and advancing the medical device through the second component and into a third component.", "BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES The accompanying figures, which are incorporated herein, form part of the specification and illustrate embodiments of a physiologic simulator system.", "Together with the description, the figures further serve to explain the principles of and to enable a person skilled in the relevant art(s) to make and use the systems described herein.", "FIG.", "1 illustrates art embodiment of a physiologic simulator system.", "FIG.", "2 is a schematic flow diagram of the system of FIG.", "1.FIGS.", "3a-d illustrate various views of an embodiment of a first component of the system of FIG.", "1.FIGS.", "4a-d illustrate various views of an embodiment of a base of the system of FIG.", "1.FIGS.", "5a-d illustrate various views of an embodiment of a second component of the system of FIG.", "1.FIG.", "6a illustrates a view of an embodiment of a third component of the system of FIG.", "1.FIGS.", "6b-c illustrate various views of another embodiment of a third component of the system of FIG.", "1.FIGS.", "7a-d illustrate various views of an embodiment of a tank unit of the system of FIG.", "1.DETAILED DESCRIPTION The following detailed description refers to the accompanying figures which illustrate several embodiments.", "Other embodiments are possible.", "Modifications can be made to the embodiments described herein without departing from the spirit and scope of the present invention.", "Therefore, the following detailed description is not meant to be limiting.", "FIG.", "1 illustrates an embodiment of a physiologic simulator system 10.In some embodiments, system 10 can enable an operator to perform one or more catheter-based implant procedures under simulated conditions of use.", "System 10 can include a first component 12, a second component 14 supported by a base 16, third component 18, tank unit 20, and a pump 22, each of which is described further herein.", "As shown in FIG.", "1, first component 12 can include an introducer port 24 which can allow for the introduction of a device (not shown), such as a delivery catheter.", "First component 12 is operatively connected to second component 14 to allow the device to pass through first component and be received within second component 14.Second component 14 is operatively connected to third component 18 to allow the device to pass through second component 14 and be received within third component 18.Base 16 can include one or more ports, described, for example, in FIGS.", "4a-d, which can serve to connect first component 12 and second component 14 and/or second component and third component 18.In some embodiments, one or more of the components can be constructed or suitably joined to form a single monolithic component.", "For example, in some embodiments, second component 14 and third component 18 are a single monolithic component.", "In some embodiments, first component 12 and second component 14 are a single monolithic component.", "In some embodiments, first component 12, second component 14, and third component 18 are a single monolithic component.", "First component 12, second component 14, and third component 18 can be fluidly connected to tank unit 20 and pump 22 to simulate fluid flow within system 10.In some embodiments, the fluid can be human blood or animal blood.", "In certain embodiments, the blood has been processed, for example, to remove clotting factors.", "In some embodiments, system 10 can have a closed flow path for fluid.", "In some embodiments, the fluid can be water, which can, for example, be used to simulate blood.", "In some embodiments and as described further herein, one or more hemostats, clamps, and/or valves can be used to adjust pressures and flow rates within system 10.In some embodiments, one or more components of system 10 can be immersed or otherwise disposed within a volume of fluid when fully assembled.", "System 10 can allow assessment of various measures which can be relevant to percutaneous aortic valve (PAV) procedures, such as hemodynamic performance (e.g., pressures, cardiac output, paravalvular leakage and the like).", "System 10 can additionally or alternatively be used for training.", "Such training can include, for example, assessment of coronary occlusion and flow, as well as implant positioning parameters such as placement depth, rotational orientation, vascular dissection and/or anterior mitral leaflet interference.", "In some embodiments, the system can be used as a training tool in the field.", "In some embodiments, the system can be used for planned interventional procedures.", "In some embodiments, the system can be used to simulate procedures for relatively difficult or unusual cases.", "For example, in cases where uncommon anatomy is known to be present, a simulator corresponding to such anatomy can be used.", "One example of such an uncommon anatomy can include certain cases of aortic valve replacement.", "For example, approximately 1-2% of the population have two aortic valve cusps rather than three aortic valve cusps.", "One or more components of system 10 can be configured to simulate such uncommon anatomy.", "In some embodiments, system 10 can be used to simulate one or more percutaneous delivery procedures.", "In some percutaneous techniques, a valve prosthesis can be compacted and loaded onto a delivery device for advancement through a patient's vasculature.", "In some embodiments, system 10 can allow for simulation of illiofemoral, apical, direct aortic, and subclavian/axillary entry locations in a single apparatus.", "System 10 can be configured to allow access from multiple locations per procedure bilateral femoral access).", "In some embodiments, system 10 can simulate a delivery of a valve prosthesis, such as a heart valve prosthesis, through an artery or vein, a femoral artery, a femoral vein, a jugular vein, a subclavian artery, an axillary artery, an aorta, an atrium, and/or a ventricle.", "System 10 can simulate a delivery of a valve prosthesis via a transfemoral, transapical, transseptal, transatrial, transventrical, or transaortic procedure.", "In some embodiments, a heart valve prosthesis that can be used in a simulated delivery with system 10 can include a frame that supports a prosthetic valve body.", "The valve body can be formed, for example, from one or more a biocompatible synthetic materials, synthetic polymers, an autograft tissue, homograft tissue, xenograft tissue, or one or more other suitable materials.", "The valve body can be formed, for example, from bovine, porcine, equine, ovine, and/or other suitable animal tissues.", "The valve body can be formed, for example, from heart valve tissue, pericardium, and/or other suitable tissue.", "The valve body can comprise one or more valve leaflets, for example, the valve body may be a tri-leaflet bovine pericardium valve, a bi-leaflet valve, or another suitable valve.", "System 10 can be used to simulate a transfemoral delivery procedure.", "In one example of a transfemoral delivery procedure in vivo, a delivery device in the form of a valve prosthesis can be advanced in a retrograde manner through a patient's femoral artery and into the patient's descending aorta.", "A catheter can then be advanced under fluoroscopic guidance over the simulated aortic arch, through the ascending aorta, into the left ventricle, and mid-way across the defective aortic valve.", "Once positioning of the catheter is confirmed, the delivery device can deploy the valve prosthesis within the valve annulus.", "The valve prosthesis can then expand against the simulated annulus.", "In some embodiments, as the valve prosthesis is expanded, it can trap leaflets against the annulus, which can retain the native valve in a permanently open state.", "In system 10, first component 12 can simulate a patient's access anatomy and femoral artery.", "Second component 14 can simulate the patient's descending aorta, aortic arch, and a portion of the ascending aorta.", "Third component 18 can simulate a portion of the patient's ascending aorta, as well as the left ventricle, aortic valve, and valve annulus.", "In some embodiments, system 10 can simulate a transapical delivery procedure.", "In one example of a transapical procedure in vivo, a trocar or overtube can be inserted into a patient's left ventricle through an incision created in the apex of the patient's heart.", "A dilator can be used to aid in the insertion of the trocar.", "In this approach, the native valve (for example, the mitral valve) can be approached downstream relative to blood flow.", "The trocar can be retracted sufficiently to release the self-expanding valve prosthesis.", "The dilator can be presented between the leaflets.", "The trocar can be rotated and adjusted to align the valve prosthesis in a desired alignment.", "The dilator can be advanced into the left atrium to begin disengaging the proximal section of the valve prosthesis from the dilator.", "In some transapical embodiments, one or more components, such as for example second component 14 can be omitted and third component 18 can be directly fluidly connected to another component, which can provide a closed fluid loop for system 10.In some embodiments, system 10 can simulate a transatrial delivery procedure.", "In one example of a transatrial procedure in vivo, a dilator and trocar can be inserted through an incision made in the wall of the left atrium of the heart.", "The dilator and trocar can then be advanced through the native valve and into the left ventricle of heart.", "The dilator can then be withdrawn from the trocar.", "A guide wire can be advanced through the trocar to the point where the valve prosthesis comes to the end of the trocar.", "The valve prosthesis can be advanced sufficiently to release the self-expanding frame from the trocar.", "The trocar can be rotated and adjusted to align the valve prosthesis in a desired alignment.", "The trocar can be withdrawn completely from the heart such that the valve prosthesis self-expands into position and can assume the function of the native valve.", "In some embodiments, one or more components of the system can be portable.", "In some embodiments, the entire system can be portable.", "In some embodiments, the components of system 10 can be disassembled and packaged together, which can allow for easy transport of system 10 to a training site or other location.", "In some embodiments, system 10 can be transported in a hand-carried case of approximately 1 cubic meter or less.", "In some embodiments, one or more of the components can be assembled and form a semi-permanently or permanently assembled system that is not portable.", "In some embodiments, system 10 can be assembled for use on a table top.", "In some embodiments, system 10 can include conduits, such as conduits 40, 46, 50, 52, and 56 (shown in FIG.", "1 and/or FIG.", "2) and valves, such as valves 48 and 54, which can fluidly connect one or more of the components.", "In some embodiments, the conduits can be in the form of quick-connect fittings.", "In some embodiments, one or more of the components can be connected via one or more tubing connections.", "In some embodiments, the use of multiple components to be assembled together can allow for the components to be independently cleaned and/or sterilized.", "For example, in some embodiments, one component can be constructed from a different material than another component and may require different cleaning and/or sterilization techniques.", "In some embodiments, one or more components of system 10 can be cleaned and/or sterilized together while assembled.", "In some embodiments, one or more components of system 10 are in the form of interchangeable parts.", "As merely one example, in some embodiments, third component 18 can include a simulated heart valve with 3 leaflets, whereas in some embodiments, third component 18 can include a simulated heart valve with 2 leaflets.", "In some embodiments, the use of interchangeable parts can allow for easy and quick modification of system 10 to allow for various configurations and/or alternative conditions.", "As another example, an interchangeable component identical to third component 18 can be used to allow repeated training on a single configuration.", "In some embodiments, first component 12 can be interchangeable.", "In some embodiments, second component 14 can be interchangeable.", "In some embodiments, one or more of the components can be secured or attached through various types of fasteners.", "For example, second component 14 can be attached to third component 18 with cable ties.", "The attachment can be performed by a rapid tensioning tool.", "In some cases, such table ties can be easily cut and discarded to allow one or more components of system 10 to be removed.", "In some embodiments, one or more components of system 10 can be removed and replaced to allow for a procedure to be repeated.", "In some embodiments, one or more components or portions of the components can be partially or completely transparent.", "In some embodiments, this can allow for one or more portions of the procedure to be visualized by an operator or observer.", "Some embodiments of the system can allow for one or more portions of the system to be visualized using fluoroscopy or other suitable visualization techniques.", "In some embodiments, a procedure can be visualized and performed under fluoroscopy with dye contrast.", "FIG.", "2.is a schematic flow diagram of system 10.FIG.", "2 diagrams pump 22, third component 18, a first tank 36, and a second tank 38 (first tank 36 and second tank 38 together make up tank unit 20 shown in FIG.", "1), as well as various valves, conduits, and junctions disposed therebetween.", "As shown in FIG.", "2, a conduit 40, which can be in the form of a pump hose, connects pump 22 to first tank 36 at port 37 located on first tank 36 and a first end of third component 18 via a T-junction 42.In some embodiments, conduit 40 can simulate a left atrium of a human heart.", "A one-way valve 44 can be disposed between first tank 36 and pump 22.In some embodiments, valve 44 can be configured to simulate a mitral valve.", "Valve 44 can, for example, be a Medtronic Hall® brand single leaflet mechanical prosthetic valve (developed by Medtronic, Inc.).", "In some embodiments, a suture ring can be removed from such a valve, in some cases, this can allow valve 44 to be mounted directly and permanently into a bottom surface of the tank.", "Any suitable valve design can be used.", "For example, a suitable mitral or aortic valve replacement, including a bi-leaflet design or tri-leaflet design, could be used.", "In some embodiments, a mechanical valve can be used.", "In some embodiments, system 10 can include an extended plug that can cover the orifice of valve 44 when desired.", "In some embodiments, a top end of first tank 36 can be left open to the atmosphere.", "Conduit 40 can be connected to another conduit 46 via valve 48, which can serve as a “fast pace” bypass conduit.", "In some embodiments, valve 48 can be closed to simulate normal cardiac output and opened when desired to simulate a reduction in cardiac output possible for a “fast pacing” mode.", "That is, when valve 48 is open, it can simulate reduced pressure and cardiac output from a ventricle, which can hydrodynamically simulate fast pacing of a human heart.", "An output end of third component 18 can be fluidly connected to first tank 36 as well as second tank 38 via respective conduits 50 and 52.Conduit 52 can connect to second tank 38 via port 53.In some embodiments, second tank 38 is sealed and can include a valve 54 which can allow an operator to increase or decrease an amount of fluid and/or pressure within second tank 38.In some embodiments, second tank 38 can be fluidly connected to first tank 36 via conduit 56.A valve 58 can be located along conduit 56 can be a valve 58 which can restrict the flow between second tank 38 and first tank 36.FIGS.", "3a-d illustrate various views of first component 12.FIG.", "3a illustrates a front perspective view of first component 12.FIG.", "3b illustrates a front view of first component 12.FIG.", "3c illustrates a top view of first component 12.FIG.", "3d illustrates a right side view of first component 12.In some embodiments, first component 12 can be shaped to simulate anatomy.", "In some embodiments, first component 12 is not shaped to simulate anatomy.", "In some embodiments, first component 12 can simulate a patient's access anatomy and femoral artery.", "For example, first component 12 can allow for the introduction of a device such as a delivery catheter to system 10.First component 12 can be operatively connected to base 16 to allow the device to pass through first component 12 and be received within base 16.In some embodiments, first component 12 can be connected to second component 14 without passing through base 16.In some embodiments, first component 12 can include a port 60 fluidly connected to first tank 36 and pump 22 and a second port 62 fluidly connected to a port on base 16.First component 12 can be in the form of a simulated leg model or other desired anatomy.", "One embodiment of a suitable leg model is shown for example in FIG.", "3.In some embodiments, first component 12 can represent the continuation of a patient's descending aorta inferior to thoracic aorta.", "As illustrated, first component 12 can be generally curved and elevated at one end 64, which can correspond to an inferior direction towards a patient's legs.", "In some embodiments, first component 12 can include one or more inlet ports, such as introducer ports 24 and 66, which can represent separate locations for introduction of separate devices, such as a guidewire and separate delivery catheter.", "In some embodiments, introducer ports 24 and 66 can model left and right femoral arteries as separate introduction sites.", "In some embodiments, first component 12 can include a tray, which can for example be in the form of a small open tank, which can be placed under introducer ports 24 and 66.In some embodiments, the tray can collect small amounts of water that may drip from the ports.", "In some embodiments, a tray can be integrated into the first component 12.In some embodiments, first component 12 can include one or more openings 68 and 70, which can be configured to hold excess lengths of guidewire.", "In some embodiments, first component 12 can include one or more legs 72, which can support first component 12 and/or elevate first component 12 to a desired height or angle.", "In some embodiments, one or more legs 72 of first component 12 can be adjustable in length.", "FIGS.", "4a-d illustrate various views of a base 16.FIG.", "4a illustrates a front perspective view of base 16.FIG.", "4b illustrates a right side view of base 16.FIG.", "4c illustrates a top view of base 16.FIG.", "4d illustrates a front view of base 16.Base 16 can be configured to support second component 14 as well as fluidly connect second component 14 to first component 12 and tank unit 20.Base 16 can include a port 74 that can fluidly connect second component 14 to first component 12.In some embodiments, base 16 can include ports 76 and 78 that connect portions of second component 14 to respective conduits 46 and 50.In some embodiments, base 16 can include supports for supporting second component 14.FIGS.", "5a-d illustrate a second component 14.FIG.", "5a illustrates a front perspective view of second component 14.FIG.", "5b illustrates a left side view of second component 14.FIG.", "5c illustrates a front view of second component 14.FIG.", "5d illustrates a top view of second component 14.In some embodiments, second component 14 can be shaped to simulate anatomy.", "In some embodiments, second component 14 is not shaped to simulate anatomy.", "In some embodiments, second component 14 can simulate a portion of a patient's ascending aorta 80, as well as the descending aorta 82, and aortic arch 84.In some embodiments, a fluid outlet 86 can branch off from the descending aorta 82 and can be fluidly connected to a sealed tank, such as second tank 38 (in some embodiments), which in some embodiments can result in a simulation of arterial pressure.", "In some embodiments, second component 14 is a thoracic aorta model and can include one or more portions simulating an ascending aorta, aortic arch, descending thoracic aorta, and/or related arteries.", "In some embodiments, second component 14 can include one or more simulated arteries or outlets.", "In some embodiments, the arteries or outlets can be blocked off, which in some cases can allow for a simpler simulation.", "For example, in some embodiments, a brachiocephalic artery 88 and/or left common carotid artery 90 can be blocked off.", "In some embodiments, second component 14 can include one or more open arteries or portions thereof.", "For example, in some embodiments, second component 14 can include a simulated left subclavian artery 92.In some embodiments, second component 14 can include a fluid outlet 94 that is fluidly connected to port 74 in base 16 and a fluid outlet 98 that is fluidly connected to third component 18.In some embodiments, second component 14 can include a base 100 which can be configured to connect to base 16.In some embodiments, second component 14 can include one or more legs 102, which can support second component 14 and/or elevate second component 14 to a desired height or angle.", "In some embodiments, one or more legs 102 of second component 14 can be adjustable in length.", "FIGS.", "6a-c illustrate two embodiments of a third component.", "FIG.", "6a illustrates a front perspective view of third component 18.FIG.", "6b illustrates a front perspective view of another embodiment of a third component 18 having an alternative shape to the embodiment of FIG.", "6a.", "FIG.", "6c illustrates a rear perspective view of the third component 18 of FIG.", "6b.", "In some embodiments, third component 18 is substantially hollow with relatively thin walls.", "In some embodiments, third component 18 can be shaped to simulate anatomy.", "In some embodiments, third component 18 can be based on the anatomy of a human cadaver.", "In some embodiments, third component 18 is not shaped to simulate anatomy.", "In some embodiments, third component 18 includes a fluid outlet 109 that fluidly connects to port 78 of base 16.In some embodiments, third component 18 includes a fluid outlet 111 that fluidly connects to port 74 of base 16.In some embodiments, third component 18 can be in the form of an anatomically correct flexible model of a left ventricle and aortic root (“LVAR”).", "In some embodiments, third component 18 can simulate an ascending aorta portion 107, as well as a left ventricle, aortic valve, and valve annulus.", "In some embodiments, third component 18 can include a sinus portion 104.In some embodiments, third component 18 can include one or more coronary arteries 106 and 108.In some embodiments, third component 18 can include a simulated version of the left side of the heart.", "Other portions of the heart or other anatomy can be used.", "In some embodiments, third component 18 is constructed entirely or partially of a flexible material.", "In some embodiments, the flexible material can be a medical grade silicone (for example, NUSIL brand grade MED 10-6400 available from NuSil Technology LLC of Carpenteria, Calif., USA).", "In some embodiments, this material can have a durometer hardness measure of 30.FIGS.", "7a-d illustrate various views of tank unit 20.FIG.", "7a illustrates a front perspective view of tank unit 20.FIG.", "7b illustrates a top view of tank unit 20.FIG.", "7c illustrates a left side view of tank unit 20.FIG.", "7d illustrates a rear view of tank unit 20.In some embodiments, tank unit 20 can include first tank 36 and second tank 38 disposed within a common housing 110.In some embodiments, first tank 36 and second tank 38 are disposed within separate housings.", "First tank 36 and second tank 38 can be separated by a wall 112 to prevent fluid from passing therebetween.", "In some embodiments, and as shown for example in FIG.", "2, first tank 36 and second tank 38 can be fluidly connected via a conduit, such as conduit 56, or a port to allow fluid to pass therebetween if desired.", "Conduit 56 can include a valve 58, which can be in the form of an adjustable pinch clamp, which can restrict flow between first tank 36 and second tank 38.In some embodiments, a lower end 114 of first tank 36 is elevated relative to a lower end of second tank 38.In some embodiments, first tank 36 can be open to the atmosphere at its upper end, as shown for example in FIG.", "7a.", "Such a configuration can allow conduits within system 10, such as conduit 46 (which can allow for fast pacing) and conduit 50 (which can allow for variable back pressure) to be easily connected to first tank 36.First tank 36 can receive return flow from one or more conduits at its upper end and can be connected to a leg of T-junction 42 at its lower end through valve 44.In some embodiments, valve 44 can be disposed flush or nearly flush with the bottom surface of first tank.", "In some embodiments, valve 44 can be integrated with T-junction 42.In some embodiments, valve 44 and I-junction 42 can be separate components.", "In some embodiments, one or both of first tank 36 and second tank 38 can include a drain valve (not illustrated in FIGS.", "7a-d).", "The drain valve can be closed for filling one or both of the tanks and can be opened to drain one or both of the tanks.", "In some embodiments, second tank 38 can be sealed to the atmosphere.", "In some embodiments, second tank 38 can function similar to a Windkessel, (which some in the art liken to an elastic reservoir that can provide variable compliance).", "In some embodiments, an amount of compliance of second tank 38 can be adjusted by adjusting an amount of fluid in second tank 38.In some embodiments, valve 58, which as described above can be in the form of an adjustable pinch clamp, can provide variable back pressure.", "In some embodiments, tank unit 20 can allow for accurate simulations of different hypertensive states of the body, such as for example systole and diastole.", "In some embodiments, system 10 can include a pump 22.In some embodiments, pump 22 can be configured to ensure that an adequate amount of fluid is present before pump 22 operates.", "For example, pump 22 can include a float and/or a flow switch or other suitable devices.", "The size and type of pump 22 can be selected to provide pressure and stroke volume in accordance with a desired simulation.", "For example, pump 22 can be a motor-driven reciprocating piston pump.", "In some embodiments, such a piston pump can allow for a flow of fluid in system 10 to regularly reverse direction.", "In some embodiments, pump 22 can be a pulse duplicator.", "In some embodiments, pump 22 can be a “Harvard pump”, which is manufactured by Harvard Apparatus division of Harvard Bioscience Company.", "In some embodiments, pump 22 can be configured to provide a constant stroke volume.", "In some embodiments, a pump case of pump 22 can be waterproof.", "In some embodiments, pump 22 can include forward and reverse flow timing values of 50% each.", "In some embodiments, the timing values are not adjustable.", "In some embodiments, system 10 can include one or more heaters to heat fluid within system 10.In some embodiments, pump 22 can include an integrated heater.", "In some embodiments, a heating control system can be contained inside a pump case of pump 22.One or more heaters can be used for example, to raise temperature of fluid within the system to a range of approximately 85° to approximately 100° F. In some embodiments, one or more of the components themselves can be heated to simulate a desired body temperature or other temperature.", "In some cases, if the water is heated to simulate blood temperature, system 10 can include one or more resistance heaters in first tank 36.In some embodiments, such heaters can have sufficient capacity to heat a desired volume of available water to a desired temperature range in an acceptably brief time.", "In some embodiments, system 10 include additional or alternative heating devices.", "In some embodiments, system 10 can include one or more thermostatic temperature control and/or one or more thermometers which can indicate and/or control a fluid temperature.", "In some embodiments, pulsatile flow (including, if present, the heated fluid) can accurately replicate in vivo conditions of flow rate, pressure, and temperature.", "In some embodiments, each of these parameters can be adjusted or controlled to improve the accuracy of the simulation.", "In some embodiments, a power supply can be used to operate pump 22.Suitable power supplies can include, for example, 120 VAC at 60 Hz or 240V at 50 Hz.", "In some embodiments, the power supply can power both a pump as well as one or more additional components of system 10.For example, a power supply can power a heater for heating fluid within tank unit 20.In some embodiments, a cardiac beat rate of system 10 can be set by a rate of pump 22.In some embodiments, a cardiac beat rate can be set by another device.", "In some embodiments, a cardiac output can be affected by one or more of total compliance, back pressure and stroke volume of pump 22.In some embodiments, pump speeds of 60 heats per minute to 100 beats per minute can be provided.", "This can allow for simulation of the following blood pressure states (per ISO 5840:2005 definitions for the left side heart): Normotensive (100 to 130 over 65 to 85 mmHg), Hypotensive (60 over 40 mmHg), Hypertensive Stage 1 (mild) (140 to 159 over 90 to 99 mmHg), Hypertensive Stage 2 (moderate) (160 to 179 over 100 to 109 mmHg), Hypertensive Stage 3 (severe) (180 to 209 over 110 to 119 mmHg).", "System 10 can be configured to allow for simulated conditions of procedures.", "Examples of such simulated conditions can include simulated anatomy, body temperature, and/or hemodynamic parameters.", "In some embodiments, one or more of the internal surfaces of one or more components of system 10 can be treated to simulate the “feel” of a procedure.", "For example, system 10 can use water as a system fluid rather than actual blood.", "Although the fluid properties of water and blood are similar, the fluid properties are not identical.", "Given at least these differences between the simulation and an in vivo environment, the “feel” of the movement and placement of a device within the vasculature during a simulated procedure might not result in the same “feel” as an actual in vivo procedure even if the device itself is an actual implantable product.", "In some embodiments, one or more components of system 10, such as third component 18, can be constructed from a silicone material.", "Some types of silicone material can be tacky as a result of relatively high coefficients of friction or other causes.", "Actual vasculature in a patient does not exhibit such tackiness.", "Therefore, in some embodiments, one or more internal surfaces of one or more components of system 10 can include a coating of material, such as for example silicone, liquid silicone rubber (LSR), or a suitable composition containing glass beads.", "In some cases, such a material can reduce tackiness and/or friction within the component.", "For example, in some embodiments, an inner surface adjacent to a simulated aortic valve is coated with such a material to reduce the surface friction.", "In some embodiments, the vasculature downstream of the valve, and also the regions where the vasculature is tortuous, such as the aortic arch to the aortic valve are coated in such a material.", "In some embodiments, regions where a delivery catheter would change direction from a linear path are coated in such a material.", "In some embodiments, system 10 is configured to simulate the effects of calcification within the vasculature.", "For example, components manufactured by stereolithography can be configured to replicate a calcification profile and have that profile integrated a component of the system.", "In some embodiments, the stereolithography process can use a relatively rigid resin-based polymer.", "In some embodiments, the stereolithography process can use a semi-rigid polymer or other material.", "In some embodiments, system 10 is configured to use anatomically-based delivery pathways between an access site and a target implant target location.", "In some embodiments, this can include a simulated functioning native valve and anatomy distal to the target implant location with which a delivery device can interact.", "In some embodiments, this can provide for a highly accurate simulation and training experience.", "In some embodiments, system 10 is configured to allow for relatively easy removal of transcatheter valve implants from the anatomy.", "In some embodiments, this can allow for training, to be rapidly repeated.", "In some embodiments, removal/restart cycle times can be on the order of 3-5 minutes.", "The choice of materials for the components of the various physiologic simulator systems described herein can be informed by the requirements of mechanical properties, temperature sensitivity, biocompatibility, moldability properties, or other factors apparent to a person having ordinary skill in the art.", "For example, one or more of the components (or a portion of one of the components) can be made from suitable plastics, such as a suitable thermoplastic, suitable metals, and/or other suitable materials.", "One or more components of system 10 can be constructed entirely or partially of a flexible material.", "In some embodiments, the flexible material can be a medical grade silicone (for example, NUSIL brand grade MED 10-6400 available from NuSil Technology LLC of Carpenteria, Calif., USA).", "In some embodiments, one or more components can be constructed entirely or partially of actual human or another animal's anatomy.", "As merely one example, in some embodiments left subclavian artery 92 can be constructed partially or entirely from an actual human or another animal's left subclavian artery.", "In some embodiments, mammalian tissue (e.g., porcine aorta and heart, human cadaver heart) can be incorporated into one or more of the components.", "Although this application describes a system 10 that can allow for certain procedures to be conducted with certain products, it should be noted that similar procedures for other products can be simulated by modifying the disclosed embodiments in accordance with known principles.", "For example, a lower pressure right side of a heart can be simulated by modifying system 10 to provide one or more of reduced back pressure, greater Windkessel volume, and less stroke volume.", "In some embodiments, this can obtain a wide variety of pressure states for the right side of the heart.", "Such configurations can allow for simulated implants of replacement pulmonary and/or tricuspid valves, or other procedures relevant to the anatomy of the right side of the heart.", "In another example, a technique that can be used to bypass blockages in a left anterior descending coronary artery is revascularization via a left interior mammary artery (LIMA).", "Some embodiments of system 10 can enable training of procedures and/or devices for coronary revascularization and/or other interventional vascular procedures (e.g., endovascular abdominal aortic aneurysm grafting).", "The foregoing description of the invention has been presented for purposes of illustration and description.", "It is not intended to be exhaustive or to limit the invention to the precise form disclosed.", "Other modifications and variations can be possible in light of the above teachings.", "The embodiments and examples were chosen and described in order to best explain the principles of the invention and its practical application and to thereby enable others skilled in the art to best utilize the invention in various embodiments with modifications as are suited to the particular use contemplated.", "It is intended that the appended claims be construed to include other alternative embodiments of the invention." ] ]
Patent_15875636
[ [ "PROVIDING 3D LOOK-UP TABLE (LUT) ESTIMATION FOR COLOR GAMUT SCALABILITY", "Systems and/or methods for estimating color conversion components.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first component at a first sampling location, a second component at a second sampling location and the second component at a third sampling location.", "The video coding device may apply a first interpolation filter to the second component at the second sampling location and the second component at the third sampling location to determine the second component at the first sampling location.", "The second component at the first sampling location may be associated with the first color space.", "The video coding device may apply a color conversion model to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space." ], [ "1.-23.", "(canceled) 24.A video coding device, comprising: a processor configured to: receive a picture associated with a first color space associated with a first color gamut, wherein the picture comprises a first component at a first sampling location, a second component at a second sampling location and the second component at a third sampling location, apply a first interpolation filter to the second component at the second sampling location and the second component at the third sampling location to determine the second component at the first sampling location, wherein the second component at the first sampling location is associated with the first color space; and apply color conversion to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space associated with a second color gamut.", "25.The video coding device of claim 24, wherein the first component is a luma component and the second component is a first chroma component or a second chroma component, or wherein the first component is the first chroma component or the second chroma component and the second component is the luma component.", "26.The video coding device of claim 24, wherein the processor is further configured to: add the second component at the second sampling location, the second component at the third sampling location, and one to determine a sum; and divide the sum by two.", "27.The video coding device of claim 24, wherein the processor is further configured to: multiply the second component at the second sampling location by three; add the multiplied second component at the second sampling location, the second component at the third sampling location, and two to determine a sum; and divide the sum by four.", "28.The video coding device of claim 24, wherein the picture comprises the second component at a fourth sampling location and the second component at a fifth sampling location and wherein the processor is configured to apply the first interpolation filter to the second component at the second sampling location, the second component at the third sampling location, the second component at the fourth sampling location, and the second component at the fifth sampling location to determine the second component at the first sampling location.", "29.The video coding device of claim 28, wherein the processor is further configured to: add the second component at the second sampling location and the second component at the third sampling location to determine a first sum; add the second component at the fourth sampling location and the second component at the fifth sampling location to determine a second sum; multiply the second sum by three to determine a third sum; add the first sum, the third sum, and four to determine a fourth sum; and divide the fourth sum by eight.", "30.The video coding device of claim 24, wherein the picture comprises a third component at the second sampling location and the third component at the third sampling location, wherein the first component is a luma component, the second component is a first chroma component, and the third component is a second chroma component, and wherein the processor is further configured to: apply the first interpolation filter to the third component at the second sampling location and the third component at the third sampling location to determine the third component at the first sampling location, wherein the third component at the first sampling location is associated with the first color space; and apply color conversion to the first component at the first sampling location, to the second component at the first sampling location, and to the third component at the first sampling location to translate the first component at the first sampling location to the second color space.", "31.The video coding device of claim 24, wherein the picture comprises a third component at the first sampling location, and wherein the processor is further configured to: apply color conversion to the first component at the first sampling location, to the third component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to the second color space.", "32.The video coding device of claim 31, wherein the first component is a first chroma component, the second component is a luma component, and the third component is a second chroma component, or wherein the first component is the second chroma component, the second component is the luma component, and the third component is the first chroma component.", "33.The video coding device of claim 24, wherein the picture is characterized by a 4:2:0 chroma format or a 4:2:2 chroma format.", "34.A video coding method, comprising: receiving a picture associated with a first color space associated with a first color gamut, wherein the picture comprises a first component at a first sampling location, a second component at a second sampling location and the second component at a third sampling location, applying a first interpolation filter to the second component at the second sampling location and the second component at the third sampling location to determine the second component at the first sampling location, wherein the second component at the first sampling location is associated with the first color space; and applying color conversion to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space associated with a second color gamut.", "35.The video coding method of claim 34, wherein the first component is a luma component and the second component is a first chroma component or a second chroma component, or wherein the first component is the first chroma component or the second chroma component and the second component is the luma component.", "36.The video coding method of claim 34, wherein the picture comprises the second component at a fourth sampling location and the second component at a fifth sampling location, and wherein the method further comprises: applying the first interpolation filter to the second component at the second sampling location, the second component at the third sampling location, the second component at the fourth sampling location, and the second component at the fifth sampling location to determine the second component at the first sampling location.", "37.The video coding method of claim 34, wherein the picture comprises a third component at the second sampling location and the third component at the third sampling location, wherein the first component is a luma component, the second component is a first chroma component, and the third component is a second chroma component, and wherein the method further comprises: applying the first interpolation filter to the third component at the second sampling location and the third component at the third sampling location to determine the third component at the first sampling location, wherein the third component at the first sampling location is associated with the first color space; and applying color conversion to the first component at the first sampling location, to the second component at the first sampling location, and to the third component at the first sampling location to translate the first component at the first sampling location to the second color space.", "38.The video coding method of claim 34, wherein the picture comprises a third component at the first sampling location, and wherein the method further comprises: applying color conversion to the first component at the first sampling location, to the third component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to the second color space.", "39.The video coding method of claim 38, wherein the first component is a first chroma component, the second component is a luma component, and the third component is a second chroma component, or wherein the first component is the second chroma component, the second component is the luma component, and the third component is the first chroma component.", "40.The video coding method of claim 34, wherein the picture is characterized by a 4:2:0 chroma format or a 4:2:2 chroma format.", "41.The video coding method of claim 34, further comprising: receiving a scalable bitstream, the scalable bitstream comprising a base layer and an enhancement layer, wherein the base layer comprises the picture, the base layer is associated with the first color space and the enhancement layer is associated with the second color space, and the color-converted first and second components are used for inter-layer prediction.", "42.A video coding device, comprising: a processor configured to: receive a picture associated with a first color space associated with a first color gamut, wherein the picture is characterized by a chroma format where luma sampling locations and chroma sampling locations are misaligned, and the picture comprises a luma component at a first sampling location, a first chroma component at a second sampling location, a second chroma component at the second sampling location, the first chroma component at a third sampling location, the second chroma component at the third sampling location, the first chroma component at a fourth sampling location, the second chroma component at the fourth sampling location, the first chroma component at a fifth sampling location, and the second chroma component at the fifth sampling location; apply an interpolation filter to two or more of the first chroma component at the second sampling location, the first chroma component at the third sampling location, the first chroma component at the fourth sampling location, and the first chroma component at the fifth sampling location to determine the first chroma component at the first sampling location of the luma component, wherein the first chroma component at the first sampling location is associated with the first color space; apply the interpolation filter to two or more of the second chroma component at the second sampling location, the second chroma component at the third sampling location, the second chroma component at the fourth sampling location, and the second chroma component at the fifth sampling location to determine the second chroma component at the first sampling location of the luma component, wherein the second chroma component at the first sampling location is associated with the first color space; and apply color conversion to the luma component at the first sampling location, the first chroma component at the first sampling location, and the second chroma component at the first sampling location to translate the luma component at the first sampling location from the first color space to a second color space associated with a second color gamut." ], [ "<SOH> BACKGROUND <EOH>The phase of luma and chroma sample locations of an input video stream may not be aligned.", "Such a misalignment of the luma and chroma sample locations may affect the precision of 3D LUT interpolation and, thus, the 3D LUT that may be estimated." ], [ "<SOH> SUMMARY <EOH>Systems and/or methods for estimating color conversion components.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first component at a first sampling location, a second component at a second sampling location and the second component at a third sampling location.", "The video coding device may apply a first interpolation filter to the second component at the second sampling location and the second component at the third sampling location to determine the second component at the first sampling location.", "The second component at the first sampling location may be associated with the first color space.", "The video coding device may apply a color conversion model to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space.", "The first component may be a luma component and the second component may be a first chroma component (e.g., red difference chroma component and/or blue difference chroma component) or a second chroma component (e.g., red difference chroma component and/or blue difference chroma component).", "The first component may be the first chroma component (e.g., red difference chroma component and/or blue difference chroma component) or the second chroma component and the second component may be the luma component.", "The video coding device may apply the first interpolation filter.", "The first interpolation filter may include: multiplying the second component at the second sampling location by three; adding the multiplied second component at the second sampling location, the second component at the third sampling location, and two to determine a sum; and dividing the sum by four.", "The first interpolation filter may include: adding the second component at the second sampling location, the second component at the third sampling location, and one to determine a sum; and dividing the sum by two.", "The picture may comprises the second component at a fourth sampling location and the second component at a fifth sampling location.", "The video coding device may apply the first interpolation filter to the second component at the second sampling location, the second component at the third sampling location, the second component at the fourth sampling location, and the second component at the fifth sampling location to determine the second component at the first sampling location.", "The first interpolation filter may include: adding the second component at the second sampling location and the second component at the third sampling location to determine a first sum; adding the second component at the fourth sampling location and the second component at the fifth sampling location to determine a second sum; multiplying the second sum by three to determine a third sum; adding the first sum, the third sum, and four to determine a fourth sum; and dividing the fourth sum by eight.", "The picture may comprises a third component at the second sampling location and a third component at the third sampling location.", "The first component may be a luma component, the second component may be a red difference chroma component, and the third component may be a blue difference chroma component.", "The video coding device may apply the first interpolation filter to the third component at the second sampling location and the third component at the third sampling location to determine the third component at the first sampling location.", "The third component at the first sampling location may be associated with the first color space.", "The video coding device may apply the color conversion model to the first component at the first sampling location, to the second component at the first sampling location, and to the third component at the first sampling location to translate the first component at the first sampling location to the second color space.", "The picture may comprise a third component at the first sampling location.", "This video coding device may apply the color conversion model to the first component at the first sampling location, to the third component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to the second color space.", "The first component may be a first chroma component, the second component may be a luma component, and the third component may be a second chroma component.", "The first component may be the second chroma component, the second component may be a luma component, and the third component may be the first chroma component.", "The picture may be characterized by a 4:2:0 chroma format.", "The color conversion model may be based on a 3-dimensional look up table (LUT).", "The video coding device of claim 1 , wherein the processor is further configured to receive a scalable bitstream, the scalable bitstream comprising a base layer and an enhancement layer, wherein the base layer comprises the picture, the base layer is associated with the first color space and the enhancement layer is associated with the second color space.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first chroma component at a first sampling location, a second chroma component at the first sampling location, a luma component at a second sampling location, a luma component at a third sampling location, a luma component at a fourth sampling location, and a luma component at a fifth sampling location.", "The video coding device may apply a first interpolation filter to two or more of the luma component at the second sampling location, the luma component at the third sampling location, the luma component at the fourth sampling location, and the luma component at the fifth sampling location to determine the luma component at the first sampling location, wherein the luma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the first chroma component at the first sampling location to a second color space.", "The video coding device may apply the color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the second chroma component at the first sampling location to the second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a luma component at a first sampling location, a first chroma component at a second sampling location, a second chroma component at the second sampling location, the first chroma component at a third sampling location, the second chroma component at the third sampling location, the first chroma component at a fourth sampling location, the second chroma component at the fourth sampling location, the first chroma component at a fifth sampling location, and the second chroma component at the fifth sampling location.", "The video coding device may apply a first interpolation filter to two or more of the first chroma component at the second sampling location, the first chroma component at the third sampling location, the first chroma component at the fourth sampling location, and the first chroma component at the fifth sampling location to determine the first chroma component at the first sampling location, wherein the first chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply the first interpolation filter to two or more of the second chroma component at the second sampling location, the second chroma component at the third sampling location, the second chroma component at the fourth sampling location, and the second chroma component at the fifth sampling location to determine the second chroma component at the first sampling location, wherein the second chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the luma component at the first sampling location, the first chroma component at the first sampling location, and the second chroma component at the first sampling location to translate the luma component at the first sampling location to a second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component.", "A video coding device may be configured to receive a picture associated with a first color space.", "The picture may comprise a first component at a first sampling location, the first component at a second sampling location, a second component at a third sampling location, the second component at a fourth sampling location, the second component at a fifth sampling location, and the second component at a sixth sampling location.", "The video coding device may be configured to apply a first interpolation filter to the second component at the third sampling location and the second component at the fourth sampling location to determine the second component at the first sampling location.", "The second component at the first sampling location may be associated with the first color space.", "The video coding device may be configured to apply a second interpolation filter to the second component at the third sampling location, the second component at the fourth sampling location, the second component at the fifth sampling location and the second component at the sixth sampling location to determine the second component at the second sampling location.", "The second component at the second sampling location may be associated with the first color space.", "The video coding device may be configured to apply a color conversion model to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space.", "The video coding device may be configured to apply the color conversion model to the first component at the second sampling location and to the second component at the second sampling location to translate the first component at the second sampling location to the second color space." ], [ "CROSS REFERENCE This application claims the benefit of U.S.", "Provisional Application No.", "61/915,892 filed on Dec. 13, 2013, the contents of which are each hereby incorporated by reference herein in their entirety.", "BACKGROUND The phase of luma and chroma sample locations of an input video stream may not be aligned.", "Such a misalignment of the luma and chroma sample locations may affect the precision of 3D LUT interpolation and, thus, the 3D LUT that may be estimated.", "SUMMARY Systems and/or methods for estimating color conversion components.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first component at a first sampling location, a second component at a second sampling location and the second component at a third sampling location.", "The video coding device may apply a first interpolation filter to the second component at the second sampling location and the second component at the third sampling location to determine the second component at the first sampling location.", "The second component at the first sampling location may be associated with the first color space.", "The video coding device may apply a color conversion model to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space.", "The first component may be a luma component and the second component may be a first chroma component (e.g., red difference chroma component and/or blue difference chroma component) or a second chroma component (e.g., red difference chroma component and/or blue difference chroma component).", "The first component may be the first chroma component (e.g., red difference chroma component and/or blue difference chroma component) or the second chroma component and the second component may be the luma component.", "The video coding device may apply the first interpolation filter.", "The first interpolation filter may include: multiplying the second component at the second sampling location by three; adding the multiplied second component at the second sampling location, the second component at the third sampling location, and two to determine a sum; and dividing the sum by four.", "The first interpolation filter may include: adding the second component at the second sampling location, the second component at the third sampling location, and one to determine a sum; and dividing the sum by two.", "The picture may comprises the second component at a fourth sampling location and the second component at a fifth sampling location.", "The video coding device may apply the first interpolation filter to the second component at the second sampling location, the second component at the third sampling location, the second component at the fourth sampling location, and the second component at the fifth sampling location to determine the second component at the first sampling location.", "The first interpolation filter may include: adding the second component at the second sampling location and the second component at the third sampling location to determine a first sum; adding the second component at the fourth sampling location and the second component at the fifth sampling location to determine a second sum; multiplying the second sum by three to determine a third sum; adding the first sum, the third sum, and four to determine a fourth sum; and dividing the fourth sum by eight.", "The picture may comprises a third component at the second sampling location and a third component at the third sampling location.", "The first component may be a luma component, the second component may be a red difference chroma component, and the third component may be a blue difference chroma component.", "The video coding device may apply the first interpolation filter to the third component at the second sampling location and the third component at the third sampling location to determine the third component at the first sampling location.", "The third component at the first sampling location may be associated with the first color space.", "The video coding device may apply the color conversion model to the first component at the first sampling location, to the second component at the first sampling location, and to the third component at the first sampling location to translate the first component at the first sampling location to the second color space.", "The picture may comprise a third component at the first sampling location.", "This video coding device may apply the color conversion model to the first component at the first sampling location, to the third component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to the second color space.", "The first component may be a first chroma component, the second component may be a luma component, and the third component may be a second chroma component.", "The first component may be the second chroma component, the second component may be a luma component, and the third component may be the first chroma component.", "The picture may be characterized by a 4:2:0 chroma format.", "The color conversion model may be based on a 3-dimensional look up table (LUT).", "The video coding device of claim 1, wherein the processor is further configured to receive a scalable bitstream, the scalable bitstream comprising a base layer and an enhancement layer, wherein the base layer comprises the picture, the base layer is associated with the first color space and the enhancement layer is associated with the second color space.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first chroma component at a first sampling location, a second chroma component at the first sampling location, a luma component at a second sampling location, a luma component at a third sampling location, a luma component at a fourth sampling location, and a luma component at a fifth sampling location.", "The video coding device may apply a first interpolation filter to two or more of the luma component at the second sampling location, the luma component at the third sampling location, the luma component at the fourth sampling location, and the luma component at the fifth sampling location to determine the luma component at the first sampling location, wherein the luma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the first chroma component at the first sampling location to a second color space.", "The video coding device may apply the color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the second chroma component at the first sampling location to the second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a luma component at a first sampling location, a first chroma component at a second sampling location, a second chroma component at the second sampling location, the first chroma component at a third sampling location, the second chroma component at the third sampling location, the first chroma component at a fourth sampling location, the second chroma component at the fourth sampling location, the first chroma component at a fifth sampling location, and the second chroma component at the fifth sampling location.", "The video coding device may apply a first interpolation filter to two or more of the first chroma component at the second sampling location, the first chroma component at the third sampling location, the first chroma component at the fourth sampling location, and the first chroma component at the fifth sampling location to determine the first chroma component at the first sampling location, wherein the first chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply the first interpolation filter to two or more of the second chroma component at the second sampling location, the second chroma component at the third sampling location, the second chroma component at the fourth sampling location, and the second chroma component at the fifth sampling location to determine the second chroma component at the first sampling location, wherein the second chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the luma component at the first sampling location, the first chroma component at the first sampling location, and the second chroma component at the first sampling location to translate the luma component at the first sampling location to a second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component.", "A video coding device may be configured to receive a picture associated with a first color space.", "The picture may comprise a first component at a first sampling location, the first component at a second sampling location, a second component at a third sampling location, the second component at a fourth sampling location, the second component at a fifth sampling location, and the second component at a sixth sampling location.", "The video coding device may be configured to apply a first interpolation filter to the second component at the third sampling location and the second component at the fourth sampling location to determine the second component at the first sampling location.", "The second component at the first sampling location may be associated with the first color space.", "The video coding device may be configured to apply a second interpolation filter to the second component at the third sampling location, the second component at the fourth sampling location, the second component at the fifth sampling location and the second component at the sixth sampling location to determine the second component at the second sampling location.", "The second component at the second sampling location may be associated with the first color space.", "The video coding device may be configured to apply a color conversion model to the first component at the first sampling location and to the second component at the first sampling location to translate the first component at the first sampling location to a second color space.", "The video coding device may be configured to apply the color conversion model to the first component at the second sampling location and to the second component at the second sampling location to translate the first component at the second sampling location to the second color space.", "BRIEF DESCRIPTION OF THE DRAWINGS The patent or application file includes at least one drawing executed in color.", "Copies of this patent or patent application publication with color drawings will be provided by the Office upon request and payment of the necessary fee.", "FIG.", "1 is a block diagram of an example of a scalable video coding system with one or more layers such as N layers.", "FIG.", "2 is an example of temporal and/or inter-layer prediction for stereoscopic (e.g., 2-view) video coding using MVC.", "FIG.", "3 is an example color primary comparison between a BT.709 (HDTV) and a BT.2020 (UHDTV) in a CIE color definition or space.", "FIG.", "4A is an example of a visual difference to an end user between a picture graded in BT.709 and rendered in BT.709.FIG.", "4B is an example of a visual difference to an end user between a picture graded in BT.2020 and rendered in BT.709.FIG.", "5 is an example of color gamut scalability (CGS) coding with picture level inter-layer prediction (ILP).", "FIG.", "6 is an example of a 3D look-up table for an 8-bit YUV signal.", "FIG.", "7 is an example of a weight calculation in a tri-linear or tetrahedral interpolation (e.g., that may be used in a 3D LUT estimation).", "FIG.", "8 is an example of a tetrahedral interpolation (e.g., that may be used in a 3D LUT estimation).", "FIGS.", "9A-9F are examples of tetrahedrons that may encompass an interpolating point (e.g., that may be used in 3D LUT estimation).", "FIG.", "10 is an example of a phase shift between a luma and chroma component for a chroma format (e.g., for a 420 chroma format) where a square may represent a luma pixel grid and a circle may represent a chroma grid.", "FIG.", "11A is a diagram of an example communications system in which one or more disclosed embodiments may be implemented.", "FIG.", "11B is a system diagram of an example wireless transmit/receive unit (WTRU) that may be used within the communications system illustrated in FIG.", "11A.", "FIG.", "11C is a system diagram of an example radio access network and an example core network that may be used within the communications system illustrated in FIG.", "11A.", "FIG.", "11D is a system diagram of another example radio access network and an example core network that may be used within the communications system illustrated in FIG.", "11A.", "FIG.", "11E is a system diagram of another example radio access network and an example core network that may be used within the communications system illustrated in FIG.", "11A.", "DETAILED DESCRIPTION Digital video compression technologies may enable efficient digital video communication, distribution and consumption, such as H.261, MPEG-1, MPEG-2, H.263, MPEG-4 part2 and H.264/MPEG-4 part 10 AVC.", "Compared to traditional digital video services, such as sending TV signals over satellite, cable and terrestrial transmission channels, more and more video applications, such as IPTV, video chat, mobile video, and streaming video, may be deployed in heterogeneous environments.", "For example, video applications may provide video streaming in networks with different size cells, and/or the like.", "Heterogeneity may exist on or at a client, as well as in a network.", "For example, on the client side, an N-screen scenario that is consuming video content on devices with varying screen sizes and display capabilities, including a smart phone, tablet, PC and TV, and/or the like may be provided and/or used.", "On the network side, video may be transmitted across the Internet, WiFi networks, mobile (3G and 4G) networks, and/or any combination thereof.", "Scalable video coding may encode a signal once at the highest resolution.", "Scalable video coding may enable decoding from subsets of the streams depending on the specific rate and resolution required by certain application and/or supported by the client device.", "The resolution may be defined by a number of video parameters including, but not limited to, spatial resolution (e.g., picture size), temporal resolution (e.g., frame rate), video quality (e.g., subjective quality such as MOS, and/or objective quality such as PSNR or SSIM or VQM), and/or the like.", "Other commonly used video parameters may include a chroma format (e.g., such as YUV420 or YUV422 or YUV444), bit-depth (e.g., such as 8-bit or 10-bit video), complexity, view, gamut, and/or aspect ratio (e.g., 16:9 or 4:3).", "International video standards such as MPEG-2 Video, H.263, MPEG4 Visual and H.264 may have tools and/or profiles that support scalability modes.", "Scalable video coding may enable the transmission and decoding of partial bitstreams.", "The transmission and decoding of partial bitstreams may enable a scalable video coding (SVC) system to provide video services with lower temporal and/or spatial resolutions or reduced fidelity, while retaining a relatively high reconstruction quality (e.g., given respective rates of the partial bitstreams).", "SVC may be implemented with single loop decoding, such that an SVC decoder may set up one motion compensation loop at a layer being decoded, and may not set up motion compensation loops at one or more other lower layers.", "For example, a bitstream may include two layers, including a first layer (e.g., layer 1) that may be a base layer and a second layer (e.g., layer 2) that may be an enhancement layer.", "When such an SVC decoder reconstructs layer 2 video, the setup of a decoded picture buffer and motion compensated prediction may be limited to layer 2.In such an implementation of SVC, respective reference pictures from lower layers may not be fully reconstructed, which may reduce computational complexity and/or memory consumption at the decoder.", "Single loop decoding may be achieved by constrained inter-layer texture prediction, where, for a current block in a given layer, spatial texture prediction from a lower layer may be permitted if a corresponding lower layer block is coded in intra mode.", "This may be referred to as restricted intra prediction.", "When a lower layer block is coded in intra mode, it may be reconstructed without motion compensation operations and/or a decoded picture buffer.", "SVC may implement one or more additional inter-layer prediction techniques such as motion vector prediction, residual prediction, mode prediction, etc., from one or more lower layers.", "This may improve rate-distortion efficiency of an enhancement layer.", "An SVC implementation with single loop decoding may exhibit reduced computational complexity and/or reduced memory consumption at the decoder, and may exhibit increased implementation complexity, for example, due to reliance on block-level inter-layer prediction.", "To compensate for a performance penalty that may be incurred by imposing a single loop decoding constraint, encoder design and computation complexity may be increased to achieve desired performance.", "Coding of interlaced content may not be supported by SVC.", "FIG.", "1 is a simplified block diagram depicting an example block-based, hybrid scalable video coding (SVC) system.", "A spatial and/or temporal signal resolution to be represented by the layer 1 (e.g., base layer) may be generated by downsampling of the input video signal.", "In a subsequent encoding stage, a setting of the quantizer such as Q1 may lead to a quality level of the base information.", "One or more subsequent higher layer(s) may be encoded and/or decoded using the base-layer reconstruction Y1, which may represent an approximation of higher layer resolution levels.", "An upsampling unit may perform upsampling of the base layer reconstruction signal to a resolution of layer-2.Downsampling and/or upsampling may be performed throughout a plurality of layers (e.g., for N layers, layers 1, 2 .", ".", ".", "N).", "Downsampling and/or upsampling ratios may be different, for example depending on a dimension of a scalability between two layers.", "In the example scalable video coding system of FIG.", "1, for a given higher layer n (e.g., 2≤n≤N, N being the total number of layers), a differential signal may be generated by subtracting an upsampled lower layer signal (e.g., layer n−1 signal) from a current layer n signal.", "This differential signal may be encoded.", "If respective video signals represented by two layers, n1 and n2, have the same spatial resolution, corresponding downsampling and/or upsampling operations may be bypassed.", "A given layer n (e.g., 1≤n≤N), or a plurality of layers, may be decoded without using decoded information from higher layers.", "Relying on the coding of a residual signal (e.g., a differential signal between two layers) for layers other than the base layer, for example using the example SVC system of FIG.", "1, may cause visual artifacts.", "Such visual artifacts may be due to, for example, quantization and/or normalization of the residual signal to restrict its dynamic range and/or quantization performed during coding of the residual.", "One or more higher layer encoders may adopt motion estimation and/or motion compensated prediction as respective encoding modes.", "Motion estimation and/or compensation in a residual signal may be different from conventional motion estimation, and may be prone to visual artifacts.", "In order to reduce (e.g., minimize) the occurrence of visual artifacts, a more sophisticated residual quantization may be implemented, for example along with a joint quantization process that may include both quantization and/or normalization of the residual signal to restrict its dynamic range and quantization performed during coding of the residual.", "Such a quantization process may increase complexity of the SVC system.", "Multi-view video coding (MVC) may provide view scalability.", "In an example of view scalability, a base layer bitstream may be decoded to reconstruct a conventional two-dimensional (2D) video, and one or more additional enhancement layers may be decoded to reconstruct other view representations of the same video signal.", "When such views are combined together and displayed by a three-dimensional (3D) display, 3D video with proper depth perception may be produced.", "FIG.", "2 depicts an example prediction structure for using MVC to code a stereoscopic video with a left view (e.g., layer 1) and a right view (e.g., layer 2).", "The left view video may be coded with an I-B-B-P prediction structure, and the right view video may be coded with a P-B-B-B prediction structure.", "As shown in FIG.", "2, in the right view, the first picture collocated with the first I picture in the left view may be coded as a P picture, and subsequent pictures in the right view may be coded as B pictures with a first prediction coming from temporal references in the right view, and a second prediction coming from inter-layer reference in the left view.", "MVC may not support the single loop decoding feature.", "For example, as shown in FIG.", "2, decoding of the right view (e.g., layer 2) video may be conditioned on the availability of an entirety of pictures in the left view (e.g., layer 1), with one or more (e.g., each) layer (e.g., view) having a respective compensation loop.", "An implementation of MVC may include high level syntax changes, and may not include block-level changes.", "This may ease implementation of MVC.", "For example, MVC may be implemented by configuring reference pictures at the slice and/or picture level.", "MVC may support coding of more than two views, for instance by extending the example shown in FIG.", "2 to perform inter-layer prediction across multiple views.", "MPEG frame compatible (MFC) video coding may provide a scalable extension to 3D video coding.", "For example, MFC may provide a scalable extension to frame compatible base layer video (e.g., two views packed into the same frame), and may provide one or more enhancement layers to recover full resolution views.", "Stereoscopic 3D video may have two views, including a left and a right view.", "Stereoscopic 3D content may be delivered by packing and/or multiplexing the two views into one frame, and by compressing and transmitting the packed video.", "At a receiver side, after decoding, the frames may be unpacked and displayed as two views.", "Such multiplexing of the views may be performed in the temporal domain or the spatial domain.", "When performed in the spatial domain, in order to maintain the same picture size, the two views may be spatially downsampled (e.g., by a factor of two) and packed in accordance with one or more arrangements.", "For example, a side-by-side arrangement may put the downsampled left view on the left half of the picture and the downsampled right view on the right half of the picture.", "Other arrangements may include top-and-bottom, line-by-line, checkerboard, etc.", "The arrangement used to achieve frame compatible 3D video may be conveyed by one or more frame packing arrangement SEI messages, for example.", "Although such arrangement may achieve 3D delivery with minimal increase in bandwidth consumption, spatial downsampling may cause aliasing in the views and/or may reduce the visual quality and user experience of 3D video.", "Video applications, such as IPTV, video chat, mobile video, and/or streaming video, may be deployed in heterogeneous environments.", "Heterogeneity may exist on the client side.", "Heterogeneity may exist in a network.", "An N-screen may comprise consuming video content on devices with varying screen sizes and/or display capabilities, including smart phones, tablets, PCs, and/or TVs.", "An N-screen may contribute to heterogeneity, for example, on the client side.", "Video may be transmitted across the Internet, WiFi networks, mobile networks (e.g., 3G and/or 4G), and/or any combination of these networks, for example, on the network side.", "Scalable video coding may improve the user experience and/or video quality of service.", "Scalable video coding may involve encoding a signal at the highest resolution.", "Scalable video coding may involve enabling decoding from subsets of streams, for example, depending on the available network bandwidth and/or video resolution used by certain applications and/or supported by the client device.", "Resolution may be characterized by a number of video parameters.", "Video parameters may comprise one or more of the following: spatial resolution, temporal resolution, video quality, chroma format, bit-depth, complexity, view, color gamut, and/or aspect ratio, etc.", "Spatial resolution may comprise picture size.", "Temporal resolution may comprise frame rate.", "Video quality may comprise subjective quality, such as MOS, and/or objective quality, such as PSNR, SSIM or VQM.", "Chroma format may comprise YUV420, YUV422 or YUV444, etc.", "Bit-depth may comprise 8-bit video, 10-bit video, etc.", "Aspect ratio may comprise 16:9 or 4:3, etc.", "HEVC scalable extension may support at least spatial scalability (e.g., the scalable bitstream may include signals at more than one spatial resolution), quality scalability (e.g., the scalable bitstream may include signals at more than one quality level), and/or standard scalability (e.g., the scalable bitstream may include a base layer coded using H.264/AVC and one or more enhancement layers coded using HEVC).", "In spatial scalability, the scalable bitstream may comprise signals at one or more spatial resolution.", "In quality scalability, the scalable bitstream may comprise signals at one or more quality levels.", "In standard scalability, the scalable bitstream may comprise a base layer coded using, for example, H.264/AVC, and one or more enhancement layers coded using, for example, HEVC.", "Quality scalability may be referred to as SNR scalability.", "View scalability may support 3D video applications.", "In view scalability, the scalable bitstream may include both 2D and 3D video signals.", "A video coding system (e.g., a video coding system in accordance with scalable extensions of high efficiency video coding (SHVC)) may include one or more devices that are configured to perform video coding.", "A device that is configured to perform video coding (e.g., to encode and/or decode video signals) may be referred to as a video coding device.", "Such video coding devices may include video-capable devices, for example, a television, a digital media player, a DVD player, a Blu-Ray™ player, a networked media player device, a desktop computer, a laptop personal computer, a tablet device, a mobile phone, a video conferencing system, a hardware and/or software based video encoding system, or the like.", "Such video coding devices may include wireless communications network elements, such as a wireless transmit/receive unit (WTRU), a base station, a gateway, or other network elements.", "Scalable enhancements of HEVC may be discussed herein.", "One or more targets may have been established, for example, for spatial scalability.", "The targets of 25% bit rate reduction for 2× spatial scalability and 50% bit rate reduction for 1.5× spatial scalability may be achieved, for example, compared to using non-scalable coding, measured for higher resolution video.", "Scalability may be used, for example, to broaden the use cases for scalable HEVC.", "Scalability may refer to the type of scalability when the base layer may be encoded with H.264/AVC, or MPEG2, while the one or more enhancement layers may be encoded using, for example, HEVC.", "Scalability may provide backward compatibility for legacy content that may be encoded using H.264/AVC, or MPEG2, and enhance the quality of the legacy content with one or more enhancement layers encoded with HEVC, that may provide better coding efficiency.", "3D scalable video coding technique may be referred to as 3D video coding or 3DV.", "3DV may be discussed herein.", "3DV may develop various flavors of view scalability that may be targeted for autostereoscopic applications.", "Autostereoscopic displays and applications may allow or enable people to experience 3D without the cumbersome glasses.", "To achieve a suitable or good 3D experience without glasses, more than two views may be provided and/or used.", "Coding many views (e.g., such as 9 views or 10 views) may be expensive.", "3DV may provide and/or use a hybrid approach of coding a few views (e.g., 2 or 3 views) with relatively large disparity together with the depth maps that may provide depth information of the views.", "At the display side, the coded views and depth maps may be decoded, and the remaining views may be generated using the decoded views and their depth maps using, for example, view synthesis technologies.", "3DV may consider various methods to code the views and the depth maps, for example, coding the views and the depth maps using a combination of different techniques, such as H.264/AVC, MVC and HEVC, including coding the base layer with one technique (e.g., H.264/AVC) and coding one or more enhancement layers with another technique (e.g., HEVC).", "3DV may provide a menu of different options from which applications may choose.", "Table 1 may summarize an example of the different types of scalabilities discussed herein.", "At the bottom of Table 1, bit-depth scalability and chroma format scalability may be tied to video formats (e.g., higher than 8-bit video and/or chroma sampling formats higher than YUV4:2:0) used by professional video applications.", "Bit-depth scalability and chroma format scalability may be utilized.", "Aspect ratio scalability and color gamut scalability may be provided and/or used as desirable scalability (e.g., but may not be currently provided, used, and/or planned for the first phase of scalable HEVC development).", "FIG.", "3 illustrates a comparison between BT.709 (HDTV) and BT.2020 (UHDTV) in a CIE color definition.", "With advanced display technologies, ultra-high definition TV (UHDTV) may support larger resolution, larger bit-depth, higher frame-rate, and wider color gamut compared to the HDTV specification (e.g., BT.709).", "The user experience may be greatly improved due to the high fidelity quality that BT.2020 may provide.", "UHDTV may support up to 4K (3840×2160) and 8K (7680×4320) resolution, with the frame-rate being up to 120 Hz, and the bit-depth of picture samples being 10 bits or 12 bits.", "The color space of UHDTV 310 may be defined by BT.2020.The color space of UHDTV 320 may be defined by BT.790.The volume of colors rendered in BT.2020 310 may be broader than the volume of color space in HDTV 320 (e.g., BT.709), which may mean more visible color information may be rendered using the UHDTV specification.", "TABLE 1 Examples of different types of scalabilities Scalability Example View scalability 2D→3D (2 or more views) Spatial scalability 720 p→1080 p Quality (SNR) scalability 35 dB→38 dB Temporal scalability 30 fps→60 fps Standards scalability H.264/AVC→HEVC Bit-depth scalability 8-bit video → 10-bit video Chroma format scalability YUV4:2:0→YUV4:2:2, YUV4:4:4 Aspect ratio scalability 4:3→16:9 Color gamut scalability BT.709(HDTV) −> BT.2020(UHDTV) Color gamut scalability.", "Color gamut scalable (CGS) coding may be multi-layer coding where two or more layers may have different color gamut and bit-depth.", "For example, as shown in Table 1, in a 2-layer scalable system, the base layer may be a HDTV color gamut as defined in BT.709 and the enhancement layer may be a UHDTV color gamut as defined in BT.2020.P3 color gamut is a color gamut that may be used.", "The P3 color gamut may be used in digital cinema applications.", "The inter-layer process in CGS coding may use color gamut conversion techniques to convert a base layer color gamut to an enhancement layer color gamut.", "After color gamut conversion may be applied, the inter layer reference pictures generated may be used to predict the enhancement layer pictures, for example, with better or improved accuracy.", "FIG.", "4A and FIG.", "4B may depict an example of a visual difference to the end users between the BT.709 color gamut and the BT.2020 color gamut respectively.", "In FIG.", "4A and FIG.", "4B, the same content may be color graded twice using a different color gamut.", "For example, the content in FIG.", "4A may be color graded in BT.709 and rendered/displayed on a BT.709 display.", "The content in FIG.", "4B may be color graded in BT.2020 and rendered/displayed on BT.709 display.", "As shown, the color difference between the two images may be different.", "FIG.", "5 may illustrate an example color gamut scalability (CGS) coding with picture level interlayer prediction.", "In an example embodiment, FIG.", "4A may be coded in the base layer and FIG.", "4B may be coded in the enhancement layer.", "Additional inter-layer processing may be provided and/or used to improve the enhancement layer coding efficiency, for example, using the CGS coding system in FIG.", "5.Color gamut conversion may be used in inter-layer processing for CGS.", "Through the use of color gamut conversion, the colors in BT.709 space may be translated into the BT.2020 space.", "The colors in BT.709 space may be used to more effectively predict enhancement layer signal in the BT.2020 space.", "As shown in FIG.", "5, the base layer (BL) video input 530 may be an HD video signal, and the enhancement layer (EL) video input 502 may be a UHD video signal.", "The HD video signal 530 and the UHD video signal 502 may correspond to each other, for example by one or more of: one or more downsampling parameters (e.g., spatial scalability); one or more color grading parameters (e.g., color gamut scalability), or one or more tone mapping parameters (e.g., bit depth scalability) 528.The BL encoder 518 may include, for example, a high efficiency video coding (HEVC) video encoder or an H.264/AVC video encoder.", "The BL encoder 518 may be configured to generate the BL bitstream 532 using one or more BL reconstructed pictures (e.g., stored in the BL DPB 320) for prediction.", "The EL encoder 504 may include, for example, an HEVC encoder.", "The EL encoder 504 may include one or more high level syntax modifications, for example, to support inter-layer prediction by adding inter-layer reference pictures to the EL DPB.", "The EL encoder 504 may be configured to generate the EL bitstream 508 using one or more EL reconstructed pictures (e.g., stored in the EL DPB 506) for prediction.", "One or more reconstructed BL pictures in the BL DPB 520 may be processed, at inter-layer processing (ILP) unit 522, using one or more picture level inter-layer processing techniques, including one or more of upsampling (e.g., for spatial scalability), color gamut conversion (e.g., for color gamut scalability), or inverse tone mapping (e.g., for bit depth scalability).", "The one or more processed reconstructed BL pictures may be used as reference pictures for EL coding.", "Inter-layer processing may be performed based on enhancement video information 514 received from the EL encoder 504 and/or the base video information 516 received from the BL encoder 518.This may improve EL coding efficiency.", "At 526, the EL bitstream 508, the BL bitstream 532, and the parameters used in inter-layer processing such as ILP information 524, may be multiplexed together into a scalable bitstream 512.For example, the scalable bitstream 512 may include an SHVC bitstream.", "The model parameters for color gamut conversion may be different for different content, for example, even when the BL color gamut and the EL color gamut may be fixed (e.g., BL may be in 709 and may be EL in 2020).", "These parameters may depend on the color grading process during post production in content generation where the colorists may apply different grading parameters to different spaces and/or different content to reflect his or her artistic intent.", "The input video for color grading may include high fidelity pictures.", "In a scalable coding system, coding of the BL pictures may introduce quantization noise.", "With coding structures such as the hierarchical prediction structure, the level of quantization may be adjusted per picture and/or per group of pictures.", "The model parameters generated from color grading may not be sufficiently accurate for coding purposes.", "In an embodiment, it may be more effective for the encoder to compensate the coding noise by estimating the model parameters at any point.", "The encoder may estimate the model parameters per picture or per groups of pictures.", "These model parameters, for example, generated during color grading process and/or by the encoder, may be signaled to the decoder at the sequence and/or picture level so the decoder may perform the same color gamut conversion process during inter-layer prediction.", "Color gamut conversion examples may include, but are not limited to, linear or piece-wise linearc color conversions.", "In the film industry, a 3D Look-up Table (3D LUT) may be used for color gamut conversion from one color gamut method or technique to another.", "Additionally, 3D LUT for CGS coding may be provided and/or used.", "FIG.", "5 depicts an example CGS coding scheme with picture level inter-layer prediction (ILP).", "The ILP includes color gamut conversion from base layer (BL) color gamut to enhancement layer (EL) color gamut, upsampling from BL spatial resolution to EL spatial resolution, and/or inverse tone mapping from BL sample bit-depth to EL sample bit-depth.", "FIG.", "6 illustrates an example 3D Look-up Table for 8-bit YUV signal.", "FIG.", "7 illustrates an example weight calculation in tri-linear or tetrahedral interpolation.", "As described herein, a color conversion model, such as a 3D LUT, may be used for a color gamut conversion.", "For example, (y, u, v) may be denoted as the sample triplet in the color gamut of the base layer, and (Y, U, V) as the triplet in EL color gamut.", "In 3D LUT, the range of BL color space may be segmented into equal octants, for example, as shown in FIG.", "6.The input of the 3D LUT may be (y, u, v) in the BL color gamut and the output of 3D LUT may be the mapped triplet (Y, U, V) in EL color gamut.", "For example, referring to FIG.", "7, the input may be index (y, u, v) that resides within the octant 700.During a conversion process, if the input (y, u, v) overlaps with one of the vertices of octants, the output (Y, U, V) maybe be derived by referencing one of the 3D LUT entries directly, for example, the component (y, u, v) that overlaps its respective vertex.", "If the input (y, u, v) (e.g., or any one of the components of the input) lie inside an octant (e.g., but not on one of its vertices), such as the index (y, u, v) of FIG.", "7, an interpolation process may be applied.", "For example, trilinear and/or tetrahedral interpolations and methods for performing the same may be applied.", "Trialinear-interpolation may be applied with its nearest 8 vertices, for example, as shown in FIG.", "7.The trilinear-interpolation may be carried out using one or more of the following equations: Y = K × ∑ i = 0 , 1  ∑ j = 0 , 1  ∑ k = 0 , 1  s i  ( y ) × s j  ( u ) × s k  ( v ) × LUT  [ y i ]  [ u j ]  [ v k ] · Y ( 1 ) U = K × ∑ i = 0 , 1  ∑ j = 0 , 1  ∑ k = 0 , 1  s i  ( y ) × s j  ( u ) × s k  ( v ) × LUT  [ y i ]  [ u j ]  [ v k ] · U ( 2 ) V = K × ∑ i = 0 , 1  ∑ j = 0 , 1  ∑ k = 0 , 1  s i  ( y ) × s j  ( u ) × s k  ( v ) × LUT  [ y i ]  [ u j ]  [ v k ] · V   K = 1 ( y 1 - y 0 ) × ( u 1 - u 0 ) × ( v 1 - v 0 ) ( 3 ) Referring to Equations (1)-(3) and FIG.", "7, for example, (yi, uj, vk) may represent the vertices of the BL color gamut (i.e., inputs to 3D LUT).", "LUT[yi][uj][vk] may represent the vertices of the EL color gamut (i.e., outputs of 3D LUT at the entry (yi, uj, vk)).", "LUT[yi][uj][vk].", "Y, LUT[yi][uj][vk].", "U, LUT[yi][uj][vk].", "V may represent the Y, U, and V components of the vertex LUT[yi][ui][vk], respectively.", "i,j,k={0, 1}, and s0(y)=y1−y,s1(y)=y−y0, s0(u)=u1−u, s1(u)=u−u0,s0(v)=v1−v, s1(v)=v−v0 may be the weights that are applied, for example, as shown in FIG.", "7.FIG.", "8 illustrates an example tetrahedral interpolation.", "FIG.", "9A, FIG.", "9B, FIG.", "9C, FIG.", "9D, FIG.", "9E and FIG.", "9F illustrate types of tetrahedrons to encompass an interpolating point.", "Tetrahedral interpolation may use four vertices of the tetrahedron including a point, P(y, u, v), to be interpolated for calculation.", "The input point P (i.e., P(y, u, v)) in FIG.", "8 may be enclosed inside the tetrahedron whose vertices may be P0, P1, P5, P7.The tetrahedral interpolation may be calculated in Equation (4)(5)(6) for each component.", "There may be six possible choices of the tetrahedron that may comprise the point P to be interpolated.", "FIGS.", "9A-9F may show or list the six possible cases.", "In an example, the vertices P0 and P7 may be included in the tetrahedron.", "Y = T y × ( ( y   1 - y   0 ) × LUT  [ P 0 ] · Y + dy × ( LUT  [ P 1 ] · Y - LUT  [ P 0 ] · Y ) ) + T u × du × ( LUT  [ P 5 ] · Y - LUT  [ P 1 ] · Y ) + T v × dv × ( LUT  [ P 7 ] · Y - LUT  [ P 5 ] · Y ) ( 4 ) U = T y × ( ( y   1 - y   0 ) × LUT  [ P 0 ] · U + dy × ( LUT  [ P 1 ] · U - LUT  [ P 0 ] · U ) ) + T u × du × ( LUT  [ P 5 ] · U - LUT  [ P 1 ] · U ) + T v × dv × ( LUT  [ P 7 ] · U - LUT  [ P 5 ] · U ) ( 5 ) V = T y × ( ( y   1 - y   0 ) × LUT  [ P 0 ] · V + dy × ( LUT  [ P 1 ] · V - LUT  [ P 0 ] · V ) ) + T u × du × ( LUT  [ P 5 ] · V - LUT  [ P 1 ] · V ) + T v × dv × ( LUT  [ P 7 ] · V - LUT  [ P 5 ] · V )    T y = 1 ( y 1 - y 0 ) , T u = 1 ( u 1 - u 0 ) , T v = 1 ( v 1 - v 0 ) ( 6 ) 3D LUT may be estimated by the encoder, for example, using an original signal in one color space and the corresponding signal in another color space.", "For example, a Least Square (LS) estimation method may be used to estimate a 3D LUT, for example, if a 3D LUT interpolation technique or process is linear.", "A gradient descent based iterative technique may be used for estimation.", "As described herein, a 3D LUT estimation may be performed with a LS estimation method.", "There may be challenges for 3D LUT estimation with LS.", "For example, the scale of 3D LUT parameters that may be estimated may be large.", "In FIG.", "6, the sample bit-depth may be 8 bits.", "If the unit octant size is 16×16×16, there may be 17×17×17 entries in the 3D LUT table.", "One or more (e.g., each) entry of the 3D LUT may comprise three components.", "There may be 4913 (17×17×17) unknown parameters of each component.", "Such a large scale linear system estimation may use a large amount of memory and may invoke a large number of computations.", "The 3D LUT may not be fully used for color gamut conversion of a given video input.", "For example, in a statistical analysis of one or more test sequences used in core experiments of color gamut scalability, a percentage of used entries in 3D LUT may be less than 20%.", "In such an embodiment, a LS estimation method may not be able to be directly applied because there may be one or more entries that may not be measured.", "A distribution of BL pixels may not be even in a 3D color space.", "For example, such BL pixels may cluster around some colors (e.g., major colors) and may be distributed sparsely around other colors.", "This unbalanced characteristic may be related to a stability of the LS estimation as may be described herein.", "FIG.", "10 illustrates an example of the luma and chroma sample locations of a YUV 4:2:0 video.", "A phase of luma and chroma of an input video may not be aligned.", "To estimate and to apply a 3D LUT for one or more (e.g., each) component, the triplet formed by three components may be used.", "A triplet may refer to a luma component and two chroma components that are at the same sampling location (e.g., a luma component, a red difference chroma component, and a blue difference chroma component at the same sampling location).", "The misalignment of the luma and chroma sample locations may affect the precision of 3D LUT interpolation.", "To address one or more of such challenges, systems and/or methods may be provided to improve 3D LUT estimation.", "For example, a BT.709 to BT.2020 color gamut conversion may be described herein.", "For example, the input signal in 3D LUT estimation may be the BT.709 compressed/uncompressed video, and the output signal may be BT.2020 video (e.g., which may be the training reference or target).", "Equation (7) may be used to describe the color gamut conversion process with 3D LUT.", "zi(c)=fP(c)(xi),iϵ[0,N−1] (7) where x may denote an input signal in the form of triplet (y,u,v) in BT.709.z(c) may be the output signal of component c where c may be Y, U or V in BT.2020.P(c) may be the parameters of component c to be estimated.", "P(c) may be the 3D LUT output of component c. fP(c) may be the interpolation function.", "fP(c) may be a linear function, such as trilinear or tetrahedral as described herein.", "i may be the index of input pixel.", "N may be the total number of input pixels.", "Rewriten in the form of matrices it may be as follows: zi(c)=i(c)*P(c),iϵ[0,N−1] (8) where * in Equation(8) may be matrix multiplication.", "i(c) may be the weighting vector for the i-th input pixel.", "wi,j may be the weight of the j-th output entry of 3D LUT for the i-th input pixel.", "In an example, wi,j may be calculated according to Equation (1)-(3) for trilinear interpolation and Equation (4)-(6) for tetrahedral interpolation.", "The weighting vector may be as follows in an example: i(c)=[wi,0 .", ".", ".", "wi,M−1],iϵ[0,N−1] P(c) may be the parameter vector to be estimated, which may be the output entries of 3D LUT, and may be as follows: P(c)=[p0 .", ".", ".", "pM-1] M may be the number of 3D LUT output entries.", "For example M may be 4913 for 17×17×17 sized 3D LUT.", "In an example, the component c may be omitted in the following equations as the 3D LUT of one or more (e.g., each) component may be estimated independently.", "Aggregating Equation (8) for one or more (e.g., all) pixels, the following may be defined or provided: Z = W * P   Z = [ z 0 … z N - 1 ] T ( 9 ) W = [ w 0 , 0 w 0 , 1 … w 0 , M - 1 ⋮ ⋮ ⋱ ⋮ w N - 1 , 0 w N - 1 , 1 … w N - 1 , M - 1 ] ( 10 ) With Least Square estimation, the solution may be as follows: P=H−1*(WT*Z) (11) H=(WT*W) (12) where H may be the auto-correlation matrix.", "3D LUT estimation may be described herein.", "For example, for an input video signal such as BT.709, 3D LUT entries (e.g., 20% of 3D LUT entries) may be used in color conversion with 3D LUT.", "This may mean the matrix W in Equation (10) may be sparse and one or more of its elements may be zeros.", "An auto-correlation matrix H may be defined in Equation (12).", "The auto-correlation matrix H may be sparse.", "The auto-correlation matrix H may not be inversible.", "The solution in Equation (11) may not be available for the auto-correlation matrix H. In an example, the matrix W may be compacted by considering referenced entries of 3D LUT.", "To compact the matrix, the input pixels (y,u,v) of the input video may be scanned.", "The 3D LUT vertices may be masked, for example, if the vertices may be used in a 3D LUT interpolation process.", "The compact parameter set P′ may be determined, calculated, or generated by removing the unused vertices.", "A mapping from P′ to P that may be used to reconstruct P after P′ may be estimated and/or built, for example, as P′=compact(P) W′ and H′ may be calculated using the compacted P′, for example, where unused vertices may have been removed.", "The solution may be defined as: P′=H′−1*(W′T*Z) (13) H′=(W′T*W′) (14) 3D LUT estimation may reduce the sparsity of the matrix W. The memory that may be used to store the auto-correlation matrix H for the 3D LUT estimation may be reduced, for example, after compaction since the size of H′ may be smaller than H. As described herein, a color distribution of an input video may not be even.", "For example, pixels may have similar colors.", "Colors with high occurrence rates may be major colors.", "This may cause an unbalance problem with W′.", "For example, elements in W′ may correspond to major colors may have large values.", "Other elements in W′ may correspond to colors that may not occur often (e.g., relatively often or rarely) may have low or smaller values.", "The result may be that the dynamic range of elements in the auto correlation matrix H′ may be large, which may cause the inversion process of H′ to become unstable.", "The estimation of P′ may become unstable.", "To reduce such a problem, a constraint may be provided and/or used to establish a trade-off between accurate estimation results and stability of the estimation process.", "For example, H′=(W′T*W′)+λI,λ≥0 (15) where I may be a unary matrix, and λ may be the factor to balance between the estimation accuracy and stability of the process.", "A larger λ may mean more bias may be put on the stability of the method or process.", "The value of λ may be determined based on the extent of unbalance in W′.", "The original parameter vector P may be obtained by mapping the estimated vertices from P′ to P, for example, after the compact parameter vector P′ may be estimated.", "For example, P=decompact(P′) (16) The unused vertices in P may be filled using the corresponding vertices in P′, for example, using the interpolation process (e.g., trilinear or tetrahedral) in 3D LUT coding.", "As described herein, FIG.", "10 illustrates the phase shift between luma and chroma components for 4:2:0 chroma format.", "A luma and chroma phase alignment in 3D LUT estimation may be described herein.", "For example, from trilinear interpolation in Equations (1)-(3) or tetrahedral interpolation in Equations (4)-(6), a 3D LUT interpolation for one or more (e.g., each) output component may use three input components of an input signal.", "As shown in FIG.", "10 and described herein, in an example, the luma component sampling locations and chroma component sampling locations may not be aligned.", "FIG.", "10 may depict a 4:2:0 chroma format.", "Although the component conversion may be described with respect to FIG.", "10 and/or a 4:2:0 chroma format, the examples described herein may be utilized for a 4:1:0 chroma format, a 4:2:2:0 chroma format, a 4:4:4 chroma format, etc.", "Although FIG.", "10 is described with reference to the YCbCr format, other color formats may be used.", "In FIG.", "10, sample locations L0-L15 may indicate sampling locations of a luma component.", "In FIG.", "10, L may indicate a luma component and the number (e.g., 0-15) may indicate the sampling location.", "Sampling locations C0-C3 may indicate sampling locations of one or more (e.g., two) overlapping chroma components (e.g., a red difference chroma component and a blue difference chroma component).", "In FIG.", "10, C may indicate one or more (e.g., two) overlapping chroma components (e.g., a red difference chroma component and a blue difference chroma component) and the number (e.g, 0-3) may indicate the sampling location.", "FIG.", "10 may be a grid with an x- and y-axes, where the x-axis may be a horizontal axis and the y-axis may be a vertical axis.", "The luma component at sampling locations L0-L15 may have an x coordinate and a y coordinate.", "The one or more (e.g., two) overlapping chroma components (e.g., a red difference chroma component and a blue difference chroma component) at sampling locations C0-C3 may have an x coordinate and a y coordinate.", "Misalignment of sampling locations may reduce the accuracy of 3D LUT estimation.", "For example as shown in FIG.", "10, the luma component sampling locations, L0-L15, do not overlap the chroma component sampling locations, C0-C3.Misalignment of sampling locations may be present in chroma formats, such as 4:2:0 or 4:2:2, where the chroma components are subsampled in both directions (e.g., 4:2:0, in which there are one red difference chroma component sample and one blue difference chroma component sample for every four luma components) or in the horizontal direction (e.g.", "4:2:2).", "As a result of the chroma subsampling process, the sample positions of the luma and the chroma positions may become misaligned.", "For luma component interpolation, a plurality of sampling locations of one or more chroma components (e.g., a red difference chroma component and/or blue difference chroma component) may be used to align the chroma components to the luma component sample location.", "For a chroma component interpolation, one or more sampling locations of the luma component may be used to align the luma component to the chroma component sampling location.", "Once aligned, a color component conversion model, such as a 3D LUT, may be used to translate a component (e.g., luma or chroma) from one color space to another.", "Translating a component from one color space to another color space may be determining the component in the second color space, for example, using the component in the first color space (e.g., at a particular sampling location).", "The video coding device may receive a scalable bitstream.", "The scalable bitstream may comprise a base layer and an enhancement layer.", "The base layer may comprise the picture and the base layer may be associated with the first color space.", "The enhancement layer may be associated with the second color space.", "The input for 3D LUT conversion may signal components (e.g., (y, u, v)) in one color space (e.g., BT.709), and the output of 3D LUT conversion may be the components (e.g., (Y, U, V)) in another color space (e.g., BT.", "2020).", "For chroma component conversion, the luma component y may be adjusted to y′ to align to the chroma component sampling location.", "The interpolation filter may be Equations (17)-(18).", "The input for 3D LUT conversion of chroma component may be (y′, u, v), and the output may be U or V. The interpolation filter may be a 2-tap filter [1, 1], a 4-tap filter, and/or the like.", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a first chroma component at a first sampling location, a second chroma component at the first sampling location, a luma component at a second sampling location, a luma component at a third sampling location, a luma component at a fourth sampling location, and a luma component at a fifth sampling location.", "The video coding device may apply a first interpolation filter to two or more of the luma component at the second sampling location, the luma component at the third sampling location, the luma component at the fourth sampling location, and the luma component at the fifth sampling location to determine the luma component at the first sampling location, wherein the luma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the first chroma component at the first sampling location to a second color space.", "The video coding device may apply the color conversion model to the first chroma component at the first sampling location, the second chroma component at the first sampling location, and to the luma component at the first sampling location to translate the second chroma component at the first sampling location to the second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component, for example, if the YCbCr format is used.", "The first chroma component and/or the second chroma component may be a green difference chroma component and/or an orange difference chrom component, for example, if the YCgCo format is used.", "It should be noted that the descriptions herein may be applicable to color spaces represented in other formats.", "As shown in FIG.", "10, for example, one or more interpolation filters (e.g., those shown in Equations (17)-(18)) may be used to align the luma component to the sampling location of a misaligned chroma component.", "Once aligned, a conversion model, such as a 3D LUT, may be used to convert the chroma component from a first color space to a second color space.", "The input for 3D LUT conversion of a chroma component may be (y′, u, v), for example if the chroma components have the same sampling locations, and where y′ is the adjusted luma component (e.g., the luma component at the sampling location that overlaps with the sampling location of the chroma components u, v).", "The components (y′, u, v) may be associated with the first color space.", "The output of the 3D LUT may be U or V, which may refer to a chroma component, U or V, in the second color space.", "Interpolation filters, (e.g., those shown in Equations (17)-(18)) may be used to align the luma component to the sampling location of the chroma component such that the conversion model may be used.", "For example, the luma component at a sampling location of a chroma component (e.g., sampling location C0) may be determined by applying an interpolation filter using the luma component at two or more luma sampling locations (e.g., sampling locations L0, L1, L4, and/or L5), for example, as described with reference to Equations (17)-(18).", "The sampling location of a chroma component may comprise two or more chroma components, for example, a red difference chroma component Cr0 and corresponding blue difference chroma component Cb0).", "The luma component at the sampling location C0 and chroma components at the sampling location C0 may be used to translate the chroma component at the location C0 from a first color space to a second color space.", "For example, as discussed herein, when converting a chroma component from one color space to another, the value of the luma component at the chroma component sampling location may be determined.", "To determine value of the luma component at a sampling location of a chroma component (e.g., sampling location C0), a video coding device may use a four-tap interpolation filter or a two-tap interpolation filter.", "The video coding device may determine which interpolation filter to use based on the sampling location of the chroma component on the x-y axis in FIG.", "10.For example, the video coding device may determine the x and y components of the chroma component at a sampling location.", "The video coding device may then divide the x coordinate of the chroma component sampling location by two, and the video coding device may divide they coordinate of the chroma component sampling location by two.", "If the remainder of the division of the x coordinate by two is one, and the remainder of the division of the y coordinate by two is one, the video coding device may utilize the interpolation filter in Equation (17) or Equation (18) to determine the luma component at a sampling location of a chroma component.", "If the remainder of the division of the x coordinate by two is zero, and the remainder of the division of the y coordinate by two is one, the video coding device may utilize the interpolation filter in Equation (17) or Equation (18) to determine the luma component at a sampling location of a chroma component.", "If the remainder of the division of the x coordinate by two is one, and the remainder of the division of the y coordinate by two is zero, the video coding device may utilize the interpolation filter in Equation (17) or Equation (18) to determine the luma component at a sampling location of a chroma component.", "If the remainder of the division of the x coordinate by two is zero, and the remainder of the division of they coordinate by two is zero, the video coding device may utilize the interpolation filter in Equation (17) or Equation (18) to determine the luma component at a sampling location of a chroma component.", "A video coding device may use Equations (17)-(18) interchangeably to determine the value (e.g., align) the luma component at a sampling location of a chroma component.", "For example, the luma component at the sampling location C0 may be determined using a four-tap filter, for example, as shown in Equation (17): L(C0)=((L0+L4)*3+(L1+L5)+4)>>3 (17) where >>3 may mean the sum of ((L0+L4)*3+(L1+L5)+4) is divided by 23 and/or >>3 is calculated using right shift by three.", "If the sum of ((L0+L4)*3+(L1+L5)+4) is not an integer, the decimal may be disregarded prior to dividing the sum by 23.In Equation 17, to determine the luma component at the sampling location C0, a video coding device may apply an interpolation filter in which the luma component at sampling location L0 is added to the luma component at a different sampling location L4 to determine a sum.", "The video coding device may then multiply the sum by three, and add the multiplied sum to the luma component at sampling location L1, the luma component at sampling location L5 and four to determine a final sum.", "An integer sum of the final sum may be determined.", "The video coding device may then divide this integer sum by 8 to determine the luma component at the sampling location C0.The luma component at the sampling locations C1, C2, and C3 may be determined using Equation 17 with the appropriate luma components.", "The luma component at the sampling location C0 may be determined using a two-tap filter.", "An example of a two-tap filter that may be used is provide in Equation (18): L(C0)=(L0+L4+1)>>1 (18) where >>1 may mean the sum of (L0+L4+1) is divided by 21 and/or >>1 is calculated using right shift by one.", "If the sum of (L0+L4+1) is not an integer, the decimal may be disregarded prior to dividing the sum by 21.In Equation 18, to determine the luma component for the sampling location C0, a video coding device may apply a two-tap interpolation filter in which the luma component at a sampling location L0 is added to the luma component at a different sampling location L4 and one to determine a sum.", "An integer sum of the final sum may be determined.", "The video coding device may then divide the integer value of the sum by two to determine the luma component at the sampling location C0.The luma component at the sampling locations C1, C2, and C3 may be determined using Equation 18 with the appropriate luma components.", "The video coding device may translate (e.g., determine) a chroma component at the sampling location C0 in a second color space using the luma component at the sampling location C0 in the first color space and both of the chroma components at the sampling location C0 in the first color space using a conversion model, for example, a 3D LUT as described herein.", "As noted, the luma component at the sampling location C0 may be determined using an interpolation filter, for example, as shown in Equation (17) or (18).", "A video coding device may receive a picture associated with a first color space.", "The picture may comprise a luma component at a first sampling location, a first chroma component at a second sampling location, a second chroma component at the second sampling location, the first chroma component at a third sampling location, the second chroma component at the third sampling location, the first chroma component at a fourth sampling location, the second chroma component at the fourth sampling location, the first chroma component at a fifth sampling location, and the second chroma component at the fifth sampling location.", "The video coding device may apply an interpolation filter to two or more of the first chroma component at the second sampling location, the first chroma component at the third sampling location, the first chroma component at the fourth sampling location, and the first chroma component at the fifth sampling location to determine the first chroma component at the first sampling location, wherein the first chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply the interpolation filter to two or more of the second chroma component at the second sampling location, the second chroma component at the third sampling location, the second chroma component at the fourth sampling location, and the second chroma component at the fifth sampling location to determine the second chroma component at the first sampling location, wherein the second chroma component at the first sampling location is associated with the first color space.", "The video coding device may apply a color conversion model to the luma component at the first sampling location, the first chroma component at the first sampling location, and the second chroma component at the first sampling location to translate the luma component at the first sampling location to a second color space.", "The first chroma component and/or the second chroma component may be a red difference chroma component and/or a blue difference chroma component As shown in FIG.", "10, for example, one or more interpolation filters (e.g., those shown in Equations (19)-(22)) may be used to align one or more chroma components to the sampling location of a misaligned luma component.", "Once aligned, a conversion model, such as a 3D LUT, may be used to convert the luma component from a first color space to a second color space.", "The input for 3D LUT conversion for the luma component may be (y, u′, v′), where u′ and v′ are the adjusted chroma components (e.g., the chroma components at the sampling location that overlaps with the sampling location of the luma component y).", "The components (y, u′, v′) may be associated with the first color space.", "The output of the 3D LUT is Y, which may refer to the luma component in the second color space.", "Interpolation filters (e.g., those shown in Equations (19)-(22)) may be used to align a chroma component to the sampling location of the luma component such that the conversion model may be used.", "For example, a chroma component at a sampling location of a luma component (e.g., sampling location L4, L5, L8, and/or L9) may be determine by applying a resampling filter using the chroma component at two or more sampling locations (e.g., sampling locations C0, C1, C2, and/or C3).", "As such, a resampled value of a component (e.g., a value of the component at a different sampling location) may be determined using the component at a plurality of other sampling locations.", "For example, the luma component at the sampling locations L4, L5, L8, and/or L9 in FIG.", "10 may be interpolated using a 3D LUT.", "To interpolate the luma component at the sampling locations L4, L5, L8, and/or L9, chroma components (e.g., u, v) at the sampling locations L4, L5, L8, and/or L9 may be determined.", "The chroma components at the sampling locations L4, L5, L8, and L9 may be derived using one or more resampling filters (e.g., Equations (19)-(22)), for example, as described herein.", "The luma component at the sampling location L4, L5, L8, and/or L9 and chroma components at the sampling location L4, L5, L8, and/or L9 may be used to translate the luma component at the location L4, L5, L8, and/or L9 from a first color space to a second color space.", "For example, as discussed herein, when converting a luma component from one color space to another, the value of the chroma component (e.g., the red difference chroma component and/or the blue difference chroma component) at the luma component sampling location may be determined.", "To determine value of the chroma component at a sampling location of a luma component (e.g., sampling location L0, L1, L4, L5), a video coding device may use a four-tap interpolation filter or a two-tap interpolation filter.", "The video coding device may determine which interpolation filter to use based on the sampling location of the luma component on the x-y axis in FIG.", "10.For example, the video coding device may determine the x and y components of the luma component at a sampling location.", "The video coding device may then divide the x coordinate of the luma component sampling location by two, and the video coding device may divide the y coordinate of the luma component sampling location by two.", "If the remainder of the division of the x coordinate by two is zero, and the remainder of the division of the y coordinate by two is one, the video coding device may utilize the interpolation filter in Equation (19) to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at a sampling location of a luma component.", "As shown in FIG.", "10, Equation (19) may be utilized to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at the luma component sampling locations L4, L6, L12 and L14.If the remainder of the division of the x coordinate by two is one, and the remainder of the division of the y coordinate by two is one, the video coding device may utilize the interpolation filter in Equation (20) to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at a sampling location of a luma component.", "As shown in FIG.", "10, Equation (20) may be utilized to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at the luma component sampling locations L5, L7, L13 and L15.If the remainder of the division of the x coordinate by two is zero, and the remainder of the division of the y coordinate by two is zero, the video coding device may utilize the interpolation filter in Equation (21) to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at a sampling location of a luma component.", "As shown in FIG.", "10, Equation (21) may be utilized to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at the luma component sampling locations L0, L2, L8 and L10.If the remainder of the division of the x coordinate by two is one, and the remainder of the division of the y coordinate by two is zero, the video coding device may utilize the interpolation filter in Equation (22) to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at a sampling location of a luma component.", "As shown in FIG.", "10, Equation (22) may be utilized to determine the chroma component (e.g., red difference chroma component and/or blue difference chroma component) at the luma component sampling locations L1, L3, L9 and L11.The chroma component at the sampling location L4 may be derived using Equation (19): C(L4)=(C0*3+C2+2)>>2 (19) where >>2 may mean the sum of (C0*3+C2+2) is divided by 22 and/or >>2 is calculated using right shift by two.", "If the sum of (C0*3+C2+2) is not an integer, the decimal may be disregarded prior to dividing the sum by 22.In Equation (19), to determine the chroma component at the sampling location L4, a video coding device may apply an interpolation filter in which the chroma component (e.g., Cr0 or Cb0) at sampling location C0 is multiplied by three, then the sum is added to the chroma component (e.g., Cr2 or Cb2) at a different chroma sampling location C2, and that sum is added to two to determine a final sum.", "An integer value of the final sum may be determined.", "The interpolation filer may divide the integer sum by four to determine the chroma component at the sampling location L4.The value of a plurality of chroma components (e.g., cr and cb, u and v, etc.)", "at the sampling location L4 may be determined using an interpolation filer (e.g., Equation (19)).", "The chroma component at other sampling locations (e.g., the sampling locations L6, L14, L112) may be determined using Equation (19) using the chroma component at the appropriate sampling locations.", "The chroma component at the sampling location L8 may be derived using Equation (20).", "The chroma component at the sampling location L8 may be similar to the derived chroma component for the sampling location L4.Equation (20) is provided herein: C(L8)=(C0+C2*3+2)>>2 (20) where >>2 may mean the sum of (C0+C2*3+2) is divided by 22 and/or >>2 is calculated using right shift by two.", "If the sum of (C0+C2*3+2) is not an integer, the decimal may be disregarded prior to dividing the sum by 22.In Equation (20), to determine the chroma component at the sampling location L8, a video coding device may apply an interpolation filter in which the chroma component (e.g., Cr2 or Cb2) at sampling location C2 is multiplied by three, then the sum is added to the chroma component (e.g., Cr0 or Cb0) at a different sampling location C0, and that sum is added to two to determine a final sum.", "An integer value of the final sum may be determined.", "The interpolation filer may divide the integer sum by four to determine the chroma component at the sampling location L8.The chroma component for sampling locations L8, L2, L10 may be determined using Equation (20) with the appropriate sampling locations of the chroma component.", "The value of a plurality of chroma components (e.g., Cr and Cb, u and v, etc.)", "at the sampling location L8 may be determined using an interpolation filer (e.g., Equation (20)).", "The chroma component at the sampling location L5 may be determined using Equation (21), for example, as follows: C(L5)=((C0+C1)*3+(C2+C3)+4)>>3 (21) where >>3 may mean the sum of ((C0+C1)*3+(C2+C3)+4) is divided by 23 and/or >>3 is calculated using right shift by three.", "If the sum of ((C0+C1)*3+(C2+C3)+4) is not an integer, the decimal may be disregarded prior to dividing the sum by 23.In Equation (21), to determine the chroma component at the sampling location L5, a video coding device may apply an interpolation filter in which the chroma component (e.g., Cr0 or Cb0) at sampling location C0 is added to the chroma component (e.g., Cr1 and Cb1) at a different sampling location C1.The video coding device may then multiply the sum of the sampling location C1 and the sampling location C0 by three, and add the multiplied sum to the chroma component at the sampling location C2 (e.g., Cr2 and Cb2), the chroma component at the sampling location C3 (e.g., Cr3 and Cb3) and four to determine a final sum.", "An integer value of the final sum may be determined.", "The video coding device may then divide this integer value by eight to determine the chroma component at the sampling location L5.The chroma component for sampling locations L7, L13, L15 may be determined using Equation (21) with the appropriate sampling locations of the chroma component.", "The value of a plurality of chroma components (e.g., Cr and Cb, u and v, etc.)", "at the sampling locations L5 may be determined using an interpolation filter (e.g., Equation (21)).", "The derived chroma component for luma component at sampling location L9 may be similar to the derived chroma component for the luma component at sampling location L5.The chroma component at the sampling location L9 may be determined using Equation (22), for example, as follows: C(L9)=((C0+C1)+(C2+C5)*3+4)>>3 (22) where >>3 may mean the sum of ((C0+C1)+(C2+C5)*3+4) is divided by 23 and/or >>3 is calculated using right shift by three.", "If the sum of ((C0+C1)+(C2+C5)*3+4) is not an integer, the decimal may be disregarded prior to dividing the sum by 23.In Equation (22), to determine the chroma component at the sampling location L9, a video coding device may apply an interpolation filter in which the chroma component C0 (e.g., Cr0 or Cb0) at sampling location C0 is added to the chroma component C1 (e.g., Cr1 or Cb1) at sampling location C1.The video coding device may then add the chroma component (e.g., Cr2 or Cb2) at sampling location C2 to the chroma component (e.g., Cr5 or Cb5) at a different sampling location C5.The video coding device may then multiply the sum of the chroma component at the sampling location C2 and the chroma component at the sampling location C5 by three, and the multiplied sum may be added to the sum the chroma component at the sampling location C0 and the chroma component at the sampling location C1 and four to determine a final sum.", "An integer value of the final sum may be determined.", "The video coding device may then divide this integer value by eight to determine the chroma component at the sampling location L9.The chroma component for sampling locations L11, L1, L3 may be determined using Equation (22) with the appropriate chroma component sampling locations.", "The interpolation filter for the luma component at the sampling locations L4 and L8 may be a two-tap filter, for example, a two-tap filter [1, 3] and [3, 1], respectively.", "For example, the interpolation filer for the luma component at the sampling location L4 and L8 may be the interpolation filter described with reference to Equation (19) and Equation (20), respectively.", "The interpolation filter for the luma component at the sampling locations L5 and L9 may be a four-tap filter, for example, a four-tap filter [3, 3, 1, 1] and [1, 1, 3, 3], respectively.", "For example, the interpolation filer for the luma component at the sampling location L5 and L9 may be the interpolation filter described with reference to Equation (21) and Equation (22), respectively.", "The video coding device may be configured to apply a first interpolation filter to a chroma component at a first sampling location and the second interpolation to a chroma component at a second sampling location, etc.", "For example, the video coding device may be configured to apply one or more of Equations (17)-(18) to one or more of two overlapping chroma components (e.g., a red difference chroma component and/or a blue difference chroma component) at one or more sampling locations.", "For example, the video coding device may apply Equation (17) to the chroma component at a first sampling location then apply Equation (18) to the chroma component at a second sampling location, and then apply Equation (17) to the chroma component at a third sampling location, etc.", "Similarly, the video coding device may be configured to apply a first interpolation filter to a luma component at a first sampling location and a second interpolation to the luma component at a second sampling location, etc.", "For example, the video coding device may be configured to apply one or more of Equations (19)-(22) to a luma component at one or more sampling locations.", "For example, the video coding device may apply Equation (19) to the luma component at a first sampling location, Equation (20) to the luma component at a second sampling location, Equation (21) to the luma component at a third sampling location, Equation (22) to the luma component at a fourth sampling location, etc.", "FIG.", "11A depicts a diagram of an example communications system 1100 in which one or more disclosed embodiments may be implemented and/or may be used.", "The communications system 1100 may be a multiple access system that provides content, such as voice, data, video, messaging, broadcast, etc., to multiple wireless users.", "The communications system 1100 may enable multiple wireless users to access such content through the sharing of system resources, including wireless bandwidth.", "For example, the communications systems 1100 may employ one or more channel access methods, such as code division multiple access (CDMA), time division multiple access (TDMA), frequency division multiple access (FDMA), orthogonal FDMA (OFDMA), single-carrier FDMA (SC-FDMA), and the like.", "As shown in FIG.", "11A, the communications system 1100 may include wireless transmit/receive units (WTRUs) 1102a, 1102b, 1102c, and/or 1102d (which generally or collectively may be referred to as WTRU 1102), a radio access network (RAN) 1103/1104/1105, a core network 1106/1107/1109, a public switched telephone network (PSTN) 1108, the Internet 1110, and other networks 1112, though it will be appreciated that the disclosed embodiments contemplate any number of WTRUs, base stations, networks, and/or network elements.", "Each of the WTRUs 1102a, 1102b, 1102c, and/or 1102d may be any type of device configured to operate and/or communicate in a wireless environment.", "By way of example, the WTRUs 1102a, 1102b, 1102c, and/or 1102d may be configured to transmit and/or receive wireless signals and may include user equipment (UE), a mobile station, a fixed or mobile subscriber unit, a pager, a cellular telephone, a personal digital assistant (PDA), a smartphone, a laptop, a netbook, a personal computer, a wireless sensor, consumer electronics, and the like.", "The communications systems 1100 may also include a base station 1114a and a base station 1114b.", "Each of the base stations 1114a, 1114b may be any type of device configured to wirelessly interface with at least one of the WTRUs 1102a, 1102b, 1102c, and/or 1102d to facilitate access to one or more communication networks, such as the core network 1106/1107/1109, the Internet 1110, and/or the networks 1112.By way of example, the base stations 1114a and/or 1114b may be a base transceiver station (BTS), a Node-B, an eNode B, a Home Node B, a Home eNode B, a site controller, an access point (AP), a wireless router, and the like.", "While the base stations 1114a, 1114b are each depicted as a single element, it will be appreciated that the base stations 1114a, 1114b may include any number of interconnected base stations and/or network elements.", "The base station 1114a may be part of the RAN 1103/1104/1105, which may also include other base stations and/or network elements (not shown), such as a base station controller (BSC), a radio network controller (RNC), relay nodes, etc.", "The base station 1114a and/or the base station 1114b may be configured to transmit and/or receive wireless signals within a particular geographic region, which may be referred to as a cell (not shown).", "The cell may further be divided into cell sectors.", "For example, the cell associated with the base station 1114a may be divided into three sectors.", "Thus, in one embodiment, the base station 1114a may include three transceivers, i.e., one for each sector of the cell.", "In another embodiment, the base station 1114a may employ multiple-input multiple output (MIMO) technology and, therefore, may utilize multiple transceivers for each sector of the cell.", "The base stations 1114a and/or 1114b may communicate with one or more of the WTRUs 1102a, 1102b, 1102c, and/or 1102d over an air interface 1115/1116/1117, which may be any suitable wireless communication link (e.g., radio frequency (RF), microwave, infrared (IR), ultraviolet (UV), visible light, etc.).", "The air interface 1115/1116/1117 may be established using any suitable radio access technology (RAT).", "More specifically, as noted above, the communications system 1100 may be a multiple access system and may employ one or more channel access schemes, such as CDMA, TDMA, FDMA, OFDMA, SC-FDMA, and the like.", "For example, the base station 1114a in the RAN 1103/1104/1105 and the WTRUs 1102a, 1102b, and/or 1102c may implement a radio technology such as Universal Mobile Telecommunications System (UMTS) Terrestrial Radio Access (UTRA), which may establish the air interface 1115/1116/1117 using wideband CDMA (WCDMA).", "WCDMA may include communication protocols such as High-Speed Packet Access (HSPA) and/or Evolved HSPA (HSPA+).", "HSPA may include High-Speed Downlink Packet Access (HSDPA) and/or High-Speed Uplink Packet Access (HSUPA).", "In another embodiment, the base station 1114a and the WTRUs 1102a, 1102b, and/or 1102c may implement a radio technology such as Evolved UMTS Terrestrial Radio Access (E-UTRA), which may establish the air interface 1115/1116/1117 using Long Term Evolution (LTE) and/or LTE-Advanced (LTE-A).", "In other embodiments, the base station 1114a and the WTRUs 1102a, 1102b, and/or 1102c may implement radio technologies such as IEEE 802.16 (i.e., Worldwide Interoperability for Microwave Access (WiMAX)), CDMA2000, CDMA2000 1×, CDMA2000 EV-DO, Interim Standard 2000 (IS-2000), Interim Standard 95 (IS-95), Interim Standard 856 (IS-856), Global System for Mobile communications (GSM), Enhanced Data rates for GSM Evolution (EDGE), GSM EDGE (GERAN), and the like.", "The base station 1114b in FIG.", "11A may be a wireless router, Home Node B, Home eNode B, or access point, for example, and may utilize any suitable RAT for facilitating wireless connectivity in a localized area, such as a place of business, a home, a vehicle, a campus, and the like.", "In one embodiment, the base station 1114b and the WTRUs 1102c, 1102d may implement a radio technology such as IEEE 802.11 to establish a wireless local area network (WLAN).", "In another embodiment, the base station 1114b and the WTRUs 1102c, 1102d may implement a radio technology such as IEEE 802.15 to establish a wireless personal area network (WPAN).", "In yet another embodiment, the base station 114b and the WTRUs 102c, 1102d may utilize a cellular-based RAT (e.g., WCDMA, CDMA2000, GSM, LTE, LTE-A, etc.)", "to establish a picocell or femtocell.", "As shown in FIG.", "11A, the base station 1114b may have a direct connection to the Internet 1110.Thus, the base station 1114b may not be required to access the Internet 1110 via the core network 1106/1107/1109.The RAN 1103/1104/1105 may be in communication with the core network 1106/1107/1109, which may be any type of network configured to provide voice, data, applications, and/or voice over internet protocol (VoIP) services to one or more of the WTRUs 1102a, 1102b, 1102c, and/or 1102d.", "For example, the core network 1106/1107/1109 may provide call control, billing services, mobile location-based services, pre-paid calling, Internet connectivity, video distribution, etc., and/or perform high-level security functions, such as user authentication.", "Although not shown in FIG.", "11A, it will be appreciated that the RAN 1103/1104/1105 and/or the core network 1106/1107/1109 may be in direct or indirect communication with other RANs that employ the same RAT as the RAN 1103/1104/1105 or a different RAT.", "For example, in addition to being connected to the RAN 1103/1104/1105, which may be utilizing an E-UTRA radio technology, the core network 1106/1107/1109 may also be in communication with another RAN (not shown) employing a GSM radio technology.", "The core network 1106/1107/1109 may also serve as a gateway for the WTRUs 1102a, 1102b, 1102c, and/or 1102d to access the PSTN 1108, the Internet 1110, and/or other networks 112.The PSTN 1108 may include circuit-switched telephone networks that provide plain old telephone service (POTS).", "The Internet 1110 may include a global system of interconnected computer networks and devices that use common communication protocols, such as the transmission control protocol (TCP), user datagram protocol (UDP) and the internet protocol (IP) in the TCP/IP internet protocol suite.", "The networks 1112 may include wired or wireless communications networks owned and/or operated by other service providers.", "For example, the networks 1112 may include another core network connected to one or more RANs, which may employ the same RAT as the RAN 1103/1104/1105 or a different RAT.", "Some or all of the WTRUs 1102a, 1102b, 1102c, and/or 1102d in the communications system 1100 may include multi-mode capabilities, i.e., the WTRUs 1102a, 1102b, 1102c, and/or 1102d may include multiple transceivers for communicating with different wireless networks over different wireless links.", "For example, the WTRU 1102c shown in FIG.", "11A may be configured to communicate with the base station 1114a, which may employ a cellular-based radio technology, and with the base station 1114b, which may employ an IEEE 802 radio technology.", "FIG.", "11B depicts a system diagram of an example WTRU 1102.As shown in FIG.", "11B, the WTRU 1102 may include a processor 1118, a transceiver 1120, a transmit/receive element 1122, a speaker/microphone 1124, a keypad 1126, a display/touchpad 1128, non-removable memory 1130, removable memory 1132, a power source 1134, a global positioning system (GPS) chipset 1136, and other peripherals 1138.It will be appreciated that the WTRU 1102 may include any sub-combination of the foregoing elements while remaining consistent with an embodiment.", "Also, embodiments contemplate that the base stations 1114a and 1114b, and/or the nodes that base stations 1114a and 1114b may represent, such as but not limited to transceiver station (BTS), a Node-B, a site controller, an access point (AP), a home node-B, an evolved home node-B (eNodeB), a home evolved node-B (HeNB), a home evolved node-B gateway, and proxy nodes, among others, may include some or all of the elements depicted in FIG.", "11B and described herein.", "The processor 1118 may be a general purpose processor, a special purpose processor, a conventional processor, a digital signal processor (DSP), a plurality of microprocessors, one or more microprocessors in association with a DSP core, a controller, a microcontroller, Application Specific Integrated Circuits (ASICs), Field Programmable Gate Array (FPGAs) circuits, any other type of integrated circuit (IC), a state machine, and the like.", "The processor 1118 may perform signal coding, data processing, power control, input/output processing, and/or any other functionality that enables the WTRU 1102 to operate in a wireless environment.", "The processor 1118 may be coupled to the transceiver 1120, which may be coupled to the transmit/receive element 1122.While FIG.", "11B depicts the processor 1118 and the transceiver 1120 as separate components, it may be appreciated that the processor 1118 and the transceiver 1120 may be integrated together in an electronic package or chip.", "The transmit/receive element 1122 may be configured to transmit signals to, or receive signals from, a base station (e.g., the base station 1114a) over the air interface 1115/1116/1117.For example, in one embodiment, the transmit/receive element 1122 may be an antenna configured to transmit and/or receive RF signals.", "In another embodiment, the transmit/receive element 1122 may be an emitter/detector configured to transmit and/or receive IR, UV, or visible light signals, for example.", "In yet another embodiment, the transmit/receive element 1122 may be configured to transmit and receive both RF and light signals.", "It will be appreciated that the transmit/receive element 1122 may be configured to transmit and/or receive any combination of wireless signals.", "In addition, although the transmit/receive element 1122 is depicted in FIG.", "11B as a single element, the WTRU 1102 may include any number of transmit/receive elements 1122.More specifically, the WTRU 1102 may employ MIMO technology.", "Thus, in one embodiment, the WTRU 1102 may include two or more transmit/receive elements 1122 (e.g., multiple antennas) for transmitting and receiving wireless signals over the air interface 1115/1116/1117.The transceiver 1120 may be configured to modulate the signals that are to be transmitted by the transmit/receive element 1122 and to demodulate the signals that are received by the transmit/receive element 1122.As noted above, the WTRU 1102 may have multi-mode capabilities.", "Thus, the transceiver 1120 may include multiple transceivers for enabling the WTRU 1102 to communicate via multiple RATs, such as UTRA and IEEE 802.11, for example.", "The processor 1118 of the WTRU 1102 may be coupled to, and may receive user input data from, the speaker/microphone 1124, the keypad 1126, and/or the display/touchpad 1128 (e.g., a liquid crystal display (LCD) display unit or organic light-emitting diode (OLED) display unit).", "The processor 1118 may also output user data to the speaker/microphone 1124, the keypad 1126, and/or the display/touchpad 1128.In addition, the processor 1118 may access information from, and store data in, any type of suitable memory, such as the non-removable memory 1130 and/or the removable memory 1132.The non-removable memory 1130 may include random-access memory (RAM), read-only memory (ROM), a hard disk, or any other type of memory storage device.", "The removable memory 1132 may include a subscriber identity module (SIM) card, a memory stick, a secure digital (SD) memory card, and the like.", "In other embodiments, the processor 1118 may access information from, and store data in, memory that is not physically located on the WTRU 1102, such as on a server or a home computer (not shown).", "The processor 1118 may receive power from the power source 1134, and may be configured to distribute and/or control the power to the other components in the WTRU 1102.The power source 1134 may be any suitable device for powering the WTRU 1102.For example, the power source 1134 may include one or more dry cell batteries (e.g., nickel-cadmium (NiCd), nickel-zinc (NiZn), nickel metal hydride (NiMH), lithium-ion (Li-ion), etc.", "), solar cells, fuel cells, and the like.", "The processor 1118 may also be coupled to the GPS chipset 1136, which may be configured to provide location information (e.g., longitude and latitude) regarding the current location of the WTRU 1102.In addition to, or in lieu of, the information from the GPS chipset 1136, the WTRU 1102 may receive location information over the air interface 1115/1116/1117 from a base station (e.g., base stations 1114a, 1114b) and/or determine its location based on the timing of the signals being received from two or more nearby base stations.", "It will be appreciated that the WTRU 1102 may acquire location information by way of any suitable location-determination method while remaining consistent with an embodiment.", "The processor 1118 may further be coupled to other peripherals 1138, which may include one or more software and/or hardware modules that provide additional features, functionality and/or wired or wireless connectivity.", "For example, the peripherals 1138 may include an accelerometer, an e-compass, a satellite transceiver, a digital camera (for photographs or video), a universal serial bus (USB) port, a vibration device, a television transceiver, a hands free headset, a Bluetooth® module, a frequency modulated (FM) radio unit, a digital music player, a media player, a video game player module, an Internet browser, and the like.", "FIG.", "11C depicts a system diagram of the RAN 1103 and the core network 1106 according to an embodiment.", "As noted above, the RAN 1103 may employ a UTRA radio technology to communicate with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1115.The RAN 1103 may also be in communication with the core network 1106.As shown in FIG.", "11C, the RAN 1103 may include Node-Bs 1140a, 1140b, and/or 1140c, which may each include one or more transceivers for communicating with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1115.The Node-Bs 1140a, 1140b, and/or 1140c may each be associated with a particular cell (not shown) within the RAN 1103.The RAN 1103 may also include RNCs 1142a and/or 1142b.", "It will be appreciated that the RAN 1103 may include any number of Node-Bs and RNCs while remaining consistent with an embodiment.", "As shown in FIG.", "11C, the Node-Bs 1140a and/or 1140b may be in communication with the RNC 1142a.", "Additionally, the Node-B 1140c may be in communication with the RNC 1142b.", "The Node-Bs 1140a, 1140b, and/or 1140c may communicate with the respective RNCs 1142a, 1142b via an Iub interface.", "The RNCs 1142a, 1142b may be in communication with one another via an Iur interface.", "Each of the RNCs 1142a, 1142b may be configured to control the respective Node-Bs 1140a, 1140b, and/or 1140c to which it is connected.", "In addition, each of the RNCs 1142a, 1142b may be configured to carry out or support other functionality, such as outer loop power control, load control, admission control, packet scheduling, handover control, macrodiversity, security functions, data encryption, and the like.", "The core network 1106 shown in FIG.", "11C may include a media gateway (MGW) 1144, a mobile switching center (MSC) 1146, a serving GPRS support node (SGSN) 1148, and/or a gateway GPRS support node (GGSN) 1150.While each of the foregoing elements are depicted as part of the core network 1106, it will be appreciated that any one of these elements may be owned and/or operated by an entity other than the core network operator.", "The RNC 1142a in the RAN 1103 may be connected to the MSC 1146 in the core network 1106 via an IuCS interface.", "The MSC 1146 may be connected to the MGW 1144.The MSC 1146 and the MGW 1144 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to circuit-switched networks, such as the PSTN 1108, to facilitate communications between the WTRUs 1102a, 1102b, and/or 1102c and traditional land-line communications devices.", "The RNC 1142a in the RAN 1103 may also be connected to the SGSN 1148 in the core network 1106 via an IuPS interface.", "The SGSN 1148 may be connected to the GGSN 1150.The SGSN 1148 and the GGSN 1150 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to packet-switched networks, such as the Internet 1110, to facilitate communications between and the WTRUs 1102a, 1102b, and/or 1102c and IP-enabled devices.", "As noted above, the core network 1106 may also be connected to the networks 1112, which may include other wired or wireless networks that are owned and/or operated by other service providers.", "FIG.", "11D depicts a system diagram of the RAN 1104 and the core network 1107 according to an embodiment.", "As noted above, the RAN 1104 may employ an E-UTRA radio technology to communicate with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1116.The RAN 1104 may also be in communication with the core network 1107.The RAN 1104 may include eNode-Bs 1160a, 1160b, and/or 1160c, though it will be appreciated that the RAN 1104 may include any number of eNode-Bs while remaining consistent with an embodiment.", "The eNode-Bs 1160a, 1160b, and/or 1160c may each include one or more transceivers for communicating with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1116.In one embodiment, the eNode-Bs 1160a, 1160b, and/or 1160c may implement MIMO technology.", "Thus, the eNode-B 1160a, for example, may use multiple antennas to transmit wireless signals to, and receive wireless signals from, the WTRU 1102a.", "Each of the eNode-Bs 1160a, 1160b, and/or 1160c may be associated with a particular cell (not shown) and may be configured to handle radio resource management decisions, handover decisions, scheduling of users in the uplink and/or downlink, and the like.", "As shown in FIG.", "11D, the eNode-Bs 1160a, 1160b, and/or 1160c may communicate with one another over an X2 interface.", "The core network 1107 shown in FIG.", "11D may include a mobility management gateway (MME) 1162, a serving gateway 1164, and a packet data network (PDN) gateway 1166.While each of the foregoing elements are depicted as part of the core network 1107, it will be appreciated that any one of these elements may be owned and/or operated by an entity other than the core network operator.", "The MME 1162 may be connected to each of the eNode-Bs 1160a, 1160b, and/or 1160c in the RAN 1104 via an S1 interface and may serve as a control node.", "For example, the MME 1162 may be responsible for authenticating users of the WTRUs 1102a, 1102b, and/or 1102c, bearer activation/deactivation, selecting a particular serving gateway during an initial attach of the WTRUs 1102a, 1102b, and/or 1102c, and the like.", "The MME 1162 may also provide a control plane function for switching between the RAN 104 and other RANs (not shown) that employ other radio technologies, such as GSM or WCDMA.", "The serving gateway 1164 may be connected to each of the eNode-Bs 1160a, 1160b, and/or 1160c in the RAN 1104 via the S1 interface.", "The serving gateway 1164 may generally route and forward user data packets to/from the WTRUs 1102a, 1102b, and/or 1102c.", "The serving gateway 1164 may also perform other functions, such as anchoring user planes during inter-eNode B handovers, triggering paging when downlink data is available for the WTRUs 1102a, 1102b, and/or 1102c, managing and storing contexts of the WTRUs 1102a, 1102b, and/or 1102c, and the like.", "The serving gateway 1164 may also be connected to the PDN gateway 1166, which may provide the WTRUs 1102a, 1102b, and/or 1102c with access to packet-switched networks, such as the Internet 1110, to facilitate communications between the WTRUs 1102a, 1102b, and/or 1102c and IP-enabled devices.", "The core network 1107 may facilitate communications with other networks.", "For example, the core network 1107 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to circuit-switched networks, such as the PSTN 1108, to facilitate communications between the WTRUs 1102a, 1102b, and/or 1102c and traditional land-line communications devices.", "For example, the core network 1107 may include, or may communicate with, an IP gateway (e.g., an IP multimedia subsystem (IMS) server) that serves as an interface between the core network 1107 and the PSTN 1108.In addition, the core network 1107 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to the networks 1112, which may include other wired or wireless networks that are owned and/or operated by other service providers.", "FIG.", "11E depicts a system diagram of the RAN 1105 and the core network 1109 according to an embodiment.", "The RAN 1105 may be an access service network (ASN) that employs IEEE 802.16 radio technology to communicate with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1117.As will be further discussed below, the communication links between the different functional entities of the WTRUs 1102a, 1102b, and/or 1102c, the RAN 1105, and the core network 1109 may be defined as reference points.", "As shown in FIG.", "11E, the RAN 1105 may include base stations 1180a, 1180b, and/or 1180c, and an ASN gateway 1182, though it will be appreciated that the RAN 1105 may include any number of base stations and ASN gateways while remaining consistent with an embodiment.", "The base stations 1180a, 1180b, and/or 1180c may each be associated with a particular cell (not shown) in the RAN 1105 and may each include one or more transceivers for communicating with the WTRUs 1102a, 1102b, and/or 1102c over the air interface 1117.In one embodiment, the base stations 1180a, 1180b, and/or 1180c may implement MIMO technology.", "Thus, the base station 1180a, for example, may use multiple antennas to transmit wireless signals to, and receive wireless signals from, the WTRU 1102a.", "The base stations 1180a, 1180b, and/or 1180c may also provide mobility management functions, such as handoff triggering, tunnel establishment, radio resource management, traffic classification, quality of service (QoS) policy enforcement, and the like.", "The ASN gateway 1182 may serve as a traffic aggregation point and may be responsible for paging, caching of subscriber profiles, routing to the core network 1109, and the like.", "The air interface 1117 between the WTRUs 1102a, 1102b, and/or 1102c and the RAN 1105 may be defined as an R1 reference point that implements the IEEE 802.16 specification.", "In addition, each of the WTRUs 1102a, 1102b, and/or 1102c may establish a logical interface (not shown) with the core network 1109.The logical interface between the WTRUs 1102a, 1102b, and/or 1102c and the core network 1109 may be defined as an R2 reference point, which may be used for authentication, authorization, IP host configuration management, and/or mobility management.", "The communication link between each of the base stations 1180a, 1180b, and/or 1180c may be defined as an R8 reference point that includes protocols for facilitating WTRU handovers and the transfer of data between base stations.", "The communication link between the base stations 1180a, 1180b, and/or 1180c and the ASN gateway 1182 may be defined as an R6 reference point.", "The R6 reference point may include protocols for facilitating mobility management based on mobility events associated with each of the WTRUs 1102a, 1102b, and/or 1102c.", "As shown in FIG.", "11E, the RAN 1105 may be connected to the core network 1109.The communication link between the RAN 1105 and the core network 1109 may defined as an R3 reference point that includes protocols for facilitating data transfer and mobility management capabilities, for example.", "The core network 1109 may include a mobile IP home agent (MIP-HA) 1184, an authentication, authorization, accounting (AAA) server 1186, and a gateway 1188.While each of the foregoing elements are depicted as part of the core network 1109, it will be appreciated that any one of these elements may be owned and/or operated by an entity other than the core network operator.", "The MIP-HA may be responsible for IP address management, and may enable the WTRUs 1102a, 1102b, and/or 1102c to roam between different ASNs and/or different core networks.", "The MIP-HA 1184 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to packet-switched networks, such as the Internet 1110, to facilitate communications between the WTRUs 1102a, 1102b, and/or 1102c and IP-enabled devices.", "The AAA server 1186 may be responsible for user authentication and for supporting user services.", "The gateway 1188 may facilitate interworking with other networks.", "For example, the gateway 1188 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to circuit-switched networks, such as the PSTN 1108, to facilitate communications between the WTRUs 1102a, 1102b, and/or 1102c and traditional land-line communications devices.", "In addition, the gateway 1188 may provide the WTRUs 1102a, 1102b, and/or 1102c with access to the networks 1112, which may include other wired or wireless networks that are owned and/or operated by other service providers.", "Although not shown in FIG.", "11E, it should, may, and/or will be appreciated that the RAN 1105 may be connected to other ASNs and the core network 1109 may be connected to other core networks.", "The communication link between the RAN 1105 the other ASNs may be defined as an R4 reference point, which may include protocols for coordinating the mobility of the WTRUs 1102a, 1102b, and/or 1102c between the RAN 1105 and the other ASNs.", "The communication link between the core network 1109 and the other core networks may be defined as an R5 reference, which may include protocols for facilitating interworking between home core networks and visited core networks.", "Although features and elements are described above in particular combinations, one of ordinary skill in the art will appreciate that each feature or element can be used alone or in any combination with the other features and elements.", "In addition, the methods described herein may be implemented in a computer program, software, or firmware incorporated in a computer-readable medium for execution by a computer or processor.", "Examples of computer-readable media include electronic signals (transmitted over wired or wireless connections) and computer-readable storage media.", "Examples of computer-readable storage media include, but are not limited to, a read only memory (ROM), a random access memory (RAM), a register, cache memory, semiconductor memory devices, magnetic media such as internal hard disks and removable disks, magneto-optical media, and optical media such as CD-ROM disks, and digital versatile disks (DVDs).", "A processor in association with software may be used to implement a radio frequency transceiver for use in a WTRU, UE, terminal, base station, RNC, or any host computer." ] ]
Patent_15875638
[ [ "BICYCLE POWER METER", "A power meter for a bicycle includes a body having a torque input section and a torque output section, the body configured to transmit power between the torque input section and the torque output section.", "The power meter also includes a printed circuit board (“PCB”) having a substrate and at least one strain measurement device which may be attached to the PCB.", "The power meter may be integrally constructed with a chainring construction." ], [ "1.A chainring structure, comprising: a torque output section comprising a plurality of sprockets having two axially bounding sprockets defining an inner-most and outer-most sprocket; a torque input section configured to attach to a crank assembly; a power meter chamber disposed radially between the torque input section and the torque output section, the power meter chamber including a base surface of a strain measurement section; a power meter disposed in the power meter chamber and having at least one strain measurement device attached to the base surface; the torque output section, torque input section, and power meter chamber formed as a unitary single piece and configured to dispose the base surface axially between the axially bounding sprockets of the plurality of sprockets.", "2.The chainring structure of claim 1, wherein the power meter chamber is formed as a unitary single piece so as to dispose a plane perpendicular to an axis of rotation of the plurality of sprockets and including the base surface between the bounding sprockets.", "3.The chainring structure of claim 1, wherein the torque output section, torque input section, and power meter chamber are formed from a same material.", "4.The chainring structure of claim 3, wherein the same material is aluminum or an aluminum alloy.", "5.The chainring structure of claim 3, wherein the torque output section, torque input section, and power meter chamber are formed through a removal of material from a single piece of the same material.", "6.The chainring structure of claim 5, wherein the base surface is formed through the removal of the same material from the single piece to expose the base surface.", "7.The chainring structure of claim 1, wherein the strain measurement section comprises at least one strain measurement feature.", "8.The chainring structure of claim 1, wherein the at least one strain measurement device comprises planar electrical contact surfaces, and the power meter comprises a printed circuit board (“PCB”), the PCB being configured such that strain measurement device planar electrical contact surfaces are disposed facing electrical circuitry contacts of the PCB, the electrical circuitry contacts of the PCB communicatively coupled to both the strain measurement device planar electrical contact surfaces and the circuitry of the PCB.", "9.The chainring structure of claim 8, wherein the at least one strain measurement device attachment to the PCB comprises a structural support material.", "10.The chainring structure of claim 1, wherein the at least one strain measurement device is a foil or wire type electrical strain gauge.", "11.The chainring structure of claim 1, wherein the at least one strain measurement device is communicatively coupled to the circuitry of a printed circuit board (“PCB”) of the power meter with a volume of an electrically conductive bonding material.", "12.The chainring structure of claim 11, wherein the electrically conductive bonding material is a fusible metal alloy.", "13.The chainring structure of claim 11, wherein the volume of electrically conductive bonding material comprises at least one distinct volume of electrically conductive bonding material, and both the PCB and the at least one strain measurement device are in physical contact with the distinct volume of electrically conductive bonding material.", "14.The chainring structure of claim 1, wherein the at least one strain measurement device is attached to the base surface with an adhesive.", "15.The chainring structure of claim 1, wherein the power meter comprises a printed circuit board (“PCB”) having a substrate, and the substrate is of annular construction and disposed in the power meter chamber around the torque input section.", "16.The chainring structure of claim 15, wherein the at least one strain measurement device comprises a plurality of strain measurement devices disposed about the torque input section.", "17.The chainring structure of claim 15, wherein the at least one strain measurement device is attached to the substrate." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>A bicycle rider may desire information regarding the amount of power being input, output, or removed from the drive train of a bicycle during use.", "Power meters may be configured to detect and/or measure this power, and/or output or otherwise provide this amount of power.", "Bicycle power meters may use deformation or strain measurement devices, such as strain gauges, to measure deflection and/or deformation of a bicycle component during use to establish the amount of power.", "Traditionally the installation, positioning, and/or placement of these strain measurement devices is a difficult and tedious task as each strain measurement device would be individually positioned, placed, and/or coupled to the bicycle component, for example manually with a set of forceps or tweezers.", "After attaching to the component, the strain measurement devices were then communicatively coupled in some way to processing circuits installed separately and/or subsequently to the strain measurement devices.", "This traditional type of strain measurement device and separate circuitry construction and assembly requires a significant amount of effort, and is very costly." ], [ "<SOH> SUMMARY <EOH>In an embodiment, a chainring structure includes a torque output section comprising a plurality of sprockets having two axially bounding sprockets defining an inner-most and outer-most sprocket.", "The chainring structure also includes a torque input section configured to attach to a crank assembly.", "The chainring structure also includes a power meter chamber disposed radially between the torque input section and the torque output section, the power meter chamber including a base surface of a strain measurement section.", "A power meter is disposed in the power meter chamber and has at least one strain measurement device attached to the base surface.", "The torque output section, torque input section, and power meter chamber of the chainring structure are formed as a unitary single piece and configured to dispose the base surface axially between the axially bounding sprockets of the plurality of sprockets." ], [ "BACKGROUND OF THE INVENTION A bicycle rider may desire information regarding the amount of power being input, output, or removed from the drive train of a bicycle during use.", "Power meters may be configured to detect and/or measure this power, and/or output or otherwise provide this amount of power.", "Bicycle power meters may use deformation or strain measurement devices, such as strain gauges, to measure deflection and/or deformation of a bicycle component during use to establish the amount of power.", "Traditionally the installation, positioning, and/or placement of these strain measurement devices is a difficult and tedious task as each strain measurement device would be individually positioned, placed, and/or coupled to the bicycle component, for example manually with a set of forceps or tweezers.", "After attaching to the component, the strain measurement devices were then communicatively coupled in some way to processing circuits installed separately and/or subsequently to the strain measurement devices.", "This traditional type of strain measurement device and separate circuitry construction and assembly requires a significant amount of effort, and is very costly.", "SUMMARY In an embodiment, a chainring structure includes a torque output section comprising a plurality of sprockets having two axially bounding sprockets defining an inner-most and outer-most sprocket.", "The chainring structure also includes a torque input section configured to attach to a crank assembly.", "The chainring structure also includes a power meter chamber disposed radially between the torque input section and the torque output section, the power meter chamber including a base surface of a strain measurement section.", "A power meter is disposed in the power meter chamber and has at least one strain measurement device attached to the base surface.", "The torque output section, torque input section, and power meter chamber of the chainring structure are formed as a unitary single piece and configured to dispose the base surface axially between the axially bounding sprockets of the plurality of sprockets.", "BRIEF DESCRIPTION OF THE DRAWINGS FIGS.", "1A-1B are side views of bicycles, which may be used to employ one or more power meters; FIGS.", "2-4 illustrate an embodiment having a power meter integrated with components of a bicycle drivetrain, such as the drivetrain for the bicycle of FIGS.", "1A or 1B; FIG.", "5 is an exploded view of the power meter integrated with a chainring carrier of FIGS.", "2-4; FIG.", "6 is a perspective view of the chainring carrier of FIGS.", "2-4; FIGS.", "7-9 illustrate various views of the chainring carrier of FIG.", "6, with a cover removed; FIGS.", "10-12 illustrate various views of a printed circuit board (“PCB”) of the power meter of FIGS.", "7-9; FIGS.", "13A-13D illustrate various views of a power meter integrated with a chainring; FIGS.", "14A-14B illustrate various views of a power meter integrated with a chainring; FIG.", "14C illustrates an exploded view of the power meter integrated with the chainring of FIGS.", "14A-14B; FIG.", "15A illustrates a perspective view of the power meter integrated with multiple drive sprockets; FIG.", "15B illustrates the power meter integrated with multiple drive sprockets of FIG.", "15A with the power meter cover removed to show the printed circuit board assembly and power meter chamber; FIGS.", "15C-15E illustrate various view of the power meter integrated with multiple drive sprockets of FIG.", "15A; FIG.", "16A shows an expanded view of the cross section indicated in FIG.", "8; FIG.", "16B shows an expanded view of the area indicated in FIG.", "16A; FIG.", "17 is a block diagram of an embodiment of a power meter system; Other aspects and advantages of the embodiments disclosed herein will become apparent upon consideration of the following detailed description, wherein similar or identical structures have similar or identical reference numerals.", "DETAILED DESCRIPTION Strain measurement devices may be physically integrated with the operational circuitry of a bicycle power meter.", "Physically integrating strain measurement devices and operational circuitry structure may cause the construction and/or precise positioning of power meter components to be accomplished in a less expensive and/or less resource intensive manner.", "The strain measurement devices may be attached directly to a physical structure containing the power meter operational circuitry, such as a printed circuit board (“PCB”) substrate, thus coupling the strain measurement devices and the power meter circuitry into a singular power meter PCB assembly.", "Further, fixably attaching the strain measurement devices to the PCB such that the position of the strain measurement devices in a plane of the PCB substrate is fixed relative to other components of the PCB assembly may allow for easier alignment and/or positioning of the strain measurement devices.", "For example, the alignment of the strain measurement devices may be established based on alignment of features of the PCB, which may be features of the PCB substrate and/or other PCB components.", "Power meters may be used with different bicycle components to determine power transmissions through the component.", "In an embodiment, the power meter may be used in combination with the drive train of a bicycle.", "For example, the power meter may be integrated with a chainring and/or a chainring carrier for measuring power transmitted from through the crank arms and/or shaft through the chainring drive assembly and to the chain or belt of the drivetrain.", "The power meter may also be integrated with other elements of the drivetrain, such as a rear cog or cassette operatively coupled with the rear wheel, a crank arm or pedal, and/or a rear wheel hub.", "Power meters may also be coupled with other components, such as one or more brake rotors of a bicycle with a disc-braking system.", "The power meter may be configured so as to dispose strain measurement devices radially between a wheel hub attachment section and one or more friction surfaces of a rotor and/or rotor assembly.", "Strain measurement devices disposed in this manner can measure the strain of the rotor and/or rotor assembly caused by the dissipation of motive forces between rotational forces of the road acting on the front and/or rear wheel of the bicycle and the friction surfaces of the rotor and/or rotor assembly where the motive forces are dissipated into other forms of energy, such as heat energy.", "This is believed to provide a measure of braking power or power dissipation of the braking system.", "In an embodiment, the power meter may be integrated with a carrier of the rotor and/or rotor assembly.", "As such, one or more strain measurement devices may be disposed on the carrier radially between a torque input section and a torque output section of the rotor carrier.", "For example, the one or more strain measurement devices may be disposed on the rotor carrier between a radially inner wheel hub connection section and a radially outer attachment section to an annular rotor member, the annular rotor member containing the friction or power dissipation surfaces.", "In an embodiment, the power meter may be configured for use in an application wherein the transmission of power across a component involves a dissipation of energy as heat energy, such as a brake rotor application.", "To accommodate the additional heat energy, and/or the dissipation thereof, the power meter may include heat dissipation features, such as ribs, fins, or other features.", "For example, a rotor assembly may include a carrier, and the carrier may include the heat dissipation features.", "The heat dissipation features may be disposed and/or formed on a surface of the carrier, facilitating the transfer of the heat energy into an ambient environment, such as the surrounding air.", "These features dissipate the heat energy to maintain and/or limit a temperature of the rotor assembly to protect a PCB assembly of the power meter.", "A bicycle may use multiple power meters.", "In an embodiment, a bicycle includes at least one power meter configured to measure the power input to the drive train of the bicycle, and at least one power meter configured to measure the power dissipated by the braking system.", "For example, a first power meter may be integrated with at least one chainring of the drivetrain, as is described herein, and a second and third power meter may be integrated with a front rotor and a rear rotor of the bicycle braking system, respectively.", "The values from these three power meters may be used to compare the amount of power input to the bicycle, and the amount of power dissipated by the braking system.", "The difference between these values may be attributed to rolling resistance of the bicycle, air resistance due to ambient air surrounding the bicycle during use, or other factors.", "In an embodiment, the three power meters are configured to transmit the measure power values to one or more component of the bicycle, such as a cycle computer, or other portable computing device or computer.", "For example, the three power meters may be configured to transmit the values representing the measured power to a same bicycle component, mobile computing device, or computer.", "FIGS.", "1A-1B generally illustrate bicycles 100 with which a power meter may be used.", "The bicycle 100 includes a frame 38, front and rear wheels 79, 78 rotatably attached to the frame 38, and a drivetrain 70.A front brake 92 is provided for braking the front wheel 79 and a rear brake 91 is provided for braking the rear wheel 78.The front and/or forward orientation of the bicycle 100 is indicated by the direction of arrow “A.” As such, a forward direction of movement for the bicycle is indicated by the direction of arrow A.", "The illustrated bicycle 100 may be a road bike having drop-style handlebars 22, a mountain bike, or any other type of bicycle.", "The present invention has applications to bicycles of any type, including fully or partially suspensioned mountain bikes and others, as well as bicycles with mechanically controlled (e.g.", "cable, hydraulic, pneumatic) and non-mechanical controlled (e.g.", "wired, wireless) drive systems.", "The bicycle 100 may include one or more shift units 26, mounted to the handlebars 22.A front gear changer or front gear shift mechanism 30, such as a front derailleur, may be positioned on the frame 38, such as on the seat tube 32, adjacent the front sprocket assembly 34 so as to effect gear changes to the front sprockets or an associated structure.", "A rear gear changer or rear gear shift mechanism 36, such as a rear derailleur, is mounted to a member of the frame 38 of the bicycle, such as a mount, rear dropout, and/or an associated structure, in a position to effect gear changes in a rear sprocket assembly 41.In some embodiments, the bicycle may only include a front or only a rear gear changer.", "The drivetrain 70 comprises a chain 72, the front sprocket assembly 34, which is coaxially mounted with a crank assembly 74, and the front gear change mechanism 30, such as a derailleur.", "The drivetrain also includes the rear sprocket assembly 41 coaxially mounted with the rear wheel 78, and the rear gear change mechanism 36, such as a rear derailleur.", "The crank assembly 74 includes pedals 76, two crank arms 75, and a crank spindle (not shown) connecting the two crank arms 75.The crank assembly may also include other components.", "For example, the crank assembly 74 may also include a chainring carrier or spider 77 configured to transfer torque between one or more of the crank arms 75 and the front sprocket assembly 34.In another embodiment, the crank arms 75 and the front sprocket assembly 34 may be torque transmittingly coupled in other ways, such as by being directly attached to the crank spindle.", "The drivetrain 70 may also include a power meter 200.The power meter 200 may be configured to be coupled with, or a part of, the crank assembly 74.The power meter 200 may be integrated with a body, such as the chainring carrier 77 or rotor carrier 577, and may include one or more strain measurement devices 260, such as strain gauges, arranged in a generally annular pattern about the body.", "The strain measurement devices 260 are connected to circuitry and/or other sensors to generate power information, which may be transmitted to another bicycle component or external device for further processing and/or display.", "Alternatively, the power meter 200 may be coupled with the chainring assembly 34 directly, for example without the use of a chainring carrier 77.The power meter 200 may also, or alternatively, be included with other components of the bicycle.", "In an embodiment, such as that shown in FIG.", "1B, the power meter 200 may be integrated with a braking system to measure and/or otherwise detect braking power.", "For example, the power meter 200 may be integrated with one or more rotors 500 of a disc-braking system.", "As illustrated in FIG.", "1B, a disc-braking system may be a system having a caliper 94 configured to apply a braking force to the rotor 500.Including a power meter with a brake rotor 500 may allow a user to determine the power dissipated by the braking system.", "Including a power meter with the brake rotor 500 may also, or alternatively, provide an indication of the braking forces applied to the rotor 500.As is illustrated in FIG.", "1B, a bicycle 100 may include one or more power meters.", "For example, the bicycle may have a power meter configured to measure power input to the drive train 70 and the bicycle may have one or more power meters configured to measure brake forces and/or power dissipation.", "For example, the bicycle 100 may include a power meter 200 integrated with brake rotors 500 configured in the braking systems of the front wheel 79 and the rear wheel 78.In an example, the bicycle 100 may include a power meter 200 integrated with brake rotors 500 configured in the braking systems of the front wheel 79 and the rear wheel 78.The power meter 200 may include an annular printed circuit board (“PCB”) with strain measurement devices attached directly to the PCB.", "For example, the strain measurement device may be electrical resistance type strain gauges that are generally planar and/or laminar in construction with a layer of conductive metal formed in one or more patterns on a non-electrical substrate, film, paper, or other material.", "The conductive metal pattern or patterns may be formed of various metallic constructions, including foil and/or wire.", "The conductive metal pattern or patterns may be formed of any metal or metal alloy.", "For example, copper or copper alloys such as constantan may be used.", "Planar strain measurement devices also may include electrical contact connection surfaces configured for connection to circuitry of the PCB.", "The PCB has a substrate to which components of the PCB are applied and/or attached.", "The substrate may form the structure and/or shape of the PCB.", "The substrate may be any substance operable to form the underlying attachment of the PCB components.", "For example, silicon, silicon dioxide, aluminum oxide, sapphire, germanium, gallium arsenide (“GaAs”), an alloy of silicon and germanium, or indium phosphide (“InP”), may be used.", "The substrate may be rigid or flexible.", "In an embodiment, the substrate forms an annular rigid ring.", "The rigid ring may be one continuous piece of substrate material.", "In an embodiment, a substrate ring has an inner diameter and an outer diameter defining the extents of the substrate there between.", "In an embodiment, the substrate may be sized, shaped, and/or otherwise configured to position strain measurement devices relative to a body of a bicycle component so as to measure torsional strain, such as the strain caused by the relative difference in rotation of an inner radial position of the body and an outer radial position of the body.", "The connection to the circuitry of the PCB may be accomplished using any technique.", "In an embodiment, the connection is accomplished through an application of layer of a conductive medium, such as solder, between the electrical contact connection surfaces of the planar strain measurement device and contact connection surfaces of the PCB which provide electrically communicative contact with other electronic components connected to the PCB, such as a processor, memory, other sensors, and/or other electric or electronic devices.", "Such connection may be made directly, without the use of an intermediate conductive connector, such as an elongated electrical lead, wire, or other device.", "For example, the conductive medium may be bounded on opposing sides by the electrical contact connection surfaces of the PCB and strain measurement device.", "In this example, the electrical contact connection surfaces of the PCB and strain measurement device may be secured substantially parallel and opposing each other by the conductive medium.", "Further, as is described above, the connection may provide that the strain measurement device is fixably attached to the PCB substrate such that the strain measurement device is secure and not movable in a radial plane of the PCB substrate relative to other features and/or components of the PCB.", "As described herein, the PCB may be attached to a body of a drivetrain to form a power meter.", "Such a body may be any body having a torque input section and torque output section.", "For example, drive train components such as a chainring, a chainring carrier, a crank arm, a spindle, and/or a pedal may be used as a body for attachment of the PCB, or components of the PCB.", "Alternatively, the PCB may stand alone as the power meter.", "FIGS.", "2-4 show a body, such as a chainring carrier and/or crank arm, of a bicycle drivetrain having an integrated power meter 200.The bicycle drivetrain may be the drivetrain 70 for the bicycle 100 of FIGS.", "1A or 1B.", "FIG.", "2 shows a perspective view of the drivetrain components, FIG.", "3 shows a top view of the drive train components, and FIG.", "4 shows a bottom view, opposing that of FIG.", "3, of the bicycle components.", "In this embodiment, the body is a chainring carrier 77, or spider.", "The chainring carrier 77 may be made of any material operable to transmit torque, and a resulting power, between a torque input section (225 described below with respect to FIGS.", "5-9) and a torque output section 222.For example, aluminum alloys may be used.", "A crank arm 75 is shown attached to the chainring carrier 77.The crank arm 75 has a pedal attachment section 102 to which a pedal 76 may be attached such that a bicycle rider may input pedaling forces into the bicycle drive train.", "These pedaling forces result in a torque that causes the crank arm 75 and attached chainring carrier to rotate about a crank or rotation axis 105.The crank arm 75 has a spindle attachment feature 108 that provides for attachment to a spindle that connects a crank arm and pedal assembly disposed on an opposing side of the bicycle to facilitate pedaling with both feet of the bicycle rider.", "The spindle attachment feature 108 may be any feature operable to transfer torque, such as a splined interface.", "As such, torque from either crank arm 75 may be transferred into the chainring carrier 77 through the crank arm 75 attachment to the chainring carrier 77.The crank arm 75 may be attached to the chainring carrier 77 using any technique operable to transmit torque between the crank arm 75 and a torque input section 225 of the chainring carrier 77.In an embodiment, the crank arm 75 is connected as is described in U.S. Patent Application Publication 2015/0082939.For example, a crank arm 75 and chainring carrier 77 may be attached with corresponding features and with a distinct torque transmitting connection, such as with a bolted connection.", "In this example, the chainring carrier 77 is sized and shaped to connect to the crank arm 75.A first pairing feature 131 is formed on one of the crank arm 75 and the chainring carrier 77 and a second pairing feature 132 is formed on the other of the crank arm 75 and the chainring carrier 77 to position the chainring carrier on the crank arm.", "A clearance 133 is defined between the first and second pairing features 131, 132 when the first and second pairing features 131, 132 are paired.", "A torque-transmitting coupling 130, such as through bolted connection, is formed on the crank arm 75 and the chainring carrier 77 configured to transmit substantially all of the torque applied to the chainring carrier 77 from the crank arm 75.A power meter cover 202 is provided to protect other power meter components installed within and/or on the body, such as a PCB assembly described below with respect to FIGS.", "9-12.The power meter cover 202 may be constructed of any material operable to provide for the protection of the internal power meter 200 components.", "For example, aluminum alloys may be used.", "In an embodiment, the power meter 200 may communicate signals wirelessly and the power meter cover 202 may be made of a material that is radio frequency (“RF”) transparent, such as polycarbonate or other materials.", "Also, a raised section 213 of a cover 212, as is illustrated in the embodiment, may be configured so as to cover an antennae and/or other wireless communication device of the power meter, and the raised section may be provided in an RF transparent material.", "Further, the raised section 213 may be formed of an RF transparent material and the rest of the cover may be formed of a material having a higher interference with RF signals, such as a metal or carbon fiber composite.", "The power meter cover 202 may be attached to the body, in this embodiment the chainring carrier 77, using any technique.", "For example adhesives may be used to attach the power meter cover 202.A power supply casing 204 is also provided to both secure and protect a power supply for the power meter 200.In an embodiment, the power supply casing 204 includes a removable power supply cover 205 to provide access to the power supply.", "A torque output section 222 is shown on the chainring carrier 77.Provided in the torque output section 222 in the displayed embodiment are torque output member attachment features 224, such as a plurality of bolt holes, which are configured to provide attachment to a chainring or other torque transmitting component of the bicycle drivetrain.", "The chainring carrier 77 includes a strain measurement section 230, which may include one or more strain measurement features 232.The strain measurement features 232 are formed into the chainring carrier 77 to provide for positioning of strain measurement devices to detect and/or quantify mechanical deformations of the chainring carrier 77 due to torque applied between the torque input section 225 and the torque output section 222.For example, the strain measurement devices may be electrical resistance type strain gauges attached to the strain measurement features 232.FIGS.", "5-9 show the chainring carrier 77 of FIGS.", "2-4.FIG.", "5 illustrates an exploded view of the chainring carrier 77 and other components of the power meter 200.FIG.", "6 shows a perspective view of the chainring carrier 77.FIG.", "7 shows a perspective view of the chainring carrier 77 with the power meter cover 202 removed.", "FIG.", "8 shows a top view of the chainring carrier 77 with the power meter cover 202 removed, and FIG.", "9 shows the same top view of the chainring carrier 77 with the power supply components hidden from view.", "As used herein, a power meter may include various components.", "In an embodiment, a power meter may include all of the components indicated in FIG.", "5.More or fewer components may be included in the power meter 200.For example, the power meter may be the components of FIG.", "5, without the chainring carrier 77.As shown in FIGS.", "5 and 7-9 the chainring carrier 77 includes a cavity 207 configured for installation of the PCB assembly 250 and/or other power meter 200 components.", "The cavity 207 may include an alignment feature 209 which corresponds to substrate alignment feature 254 formed in a substrate 252 of the PCB assembly 250.As can be seen in FIG.", "9, wherein the power supply components are hidden from view, through the correlation of these alignment features 254, 209 the PCB assembly 250 may be appropriately aligned with the chainring carrier 77.Other alignment features may also be used and/or formed into the PCB substrate 252.The PCB assembly 250 also includes a plurality of strain measurement devices 260 attached to the substrate 252 and/or other parts of the PCB assembly 250.The strain measurement devices 260 are configured to provide a signal indicative of strain in an attached body.", "The signal may be interpreted and acted upon by circuitry 28 of the power meter, for example as is described with respect to the power meter system 40 of FIG.", "17.The circuitry 28 may be configured to interpret the signal indicative of strain, and calculate a corresponding mechanical power being transmitted through the attached body.", "In the displayed embodiment the strain measurement devices 260 are attached at strain measurement device attachment features 258 formed in the substrate 252.Further features and/or characteristics of the PCB assembly 250 are discussed below with respect to FIGS.", "10-12.In the displayed embodiment, the strain measurement device attachment features 258 form a vacancy or void.", "The void may provide access to the strain measurement devices 260 in an axial direction of the PCB assembly 250, such as along a direction of the axis of rotation 105.This access may be used during installation of the PCB assembly 250 into a body such as the chainring carrier 77.For example, to generate a quality attachment of the strain measurement devices 260 a clamp may be used for attachment to the body during a curing process.", "As shown, the strain measurement device attachment features 258 are configured to allow the attachment of the strain measurement devices 260 so that the strain measurement devices do not protrude beyond an inner diameter 251 of the substrate 252.This configuration may provide for a maximized substrate 252 surface area available for circuitry implementation, but a minimized total surface area of the PCB assembly, particularly in an annular substrate implementation wherein such a configuration may optimize and/or minimize the radial extents of the PCB assembly installation.", "Further, the strain measurement device attachment features 258 may be disposed so as to circumferentially correlate with bolt holes 224 of the torque output section 222.For example, the device attachment features 258 may be circumferentially separated by an angle Θ.", "In an embodiment, the angle Θ may be 10 degrees to 20 degrees.", "In an embodiment, a number of strain measurement device attachment features matches a number of strain measurement features and/or bolt holes 224 of a torque output section 222.As shown, the strain measurement devices 260 are attached at a radially inner edge of the substrate 252.Alternatively, the strain measurement devices 260 may be attached at a radially out edge of the substrate 252, or between the radially inner and radially outer edge of the substrate 252.The power supply for the power meter 200 is attached both physically and electrically using a contact structure 206 and a metallic screw 203.As shown, the alignment feature 209 also provide for the attachment of the power supply for the power meter 200 using the metallic screw 203.Alignment features may be provided without facilitation for power supply attachment as well.", "FIGS.", "10-12 show the PCB assembly 250 of the power meter 200.FIG.", "10 shows a top perspective view of the PCB assembly 250.FIG.", "11 shows a top view of the PCB assembly 250, and FIG.", "12 shows a bottom perspective view of the PCB assembly 250.The PCB assembly 250 includes circuitry 28 as is described further with respect to FIG.", "17 below.", "The circuitry 28 may involve one or more processors 20, as well as other electric and/or electronic components as well as additional sensors 93, such as an accelerometer.", "The circuitry may also include one or more antennae 290 as part of the communication interface 90.Additional or alternative alignment features 255, 256 used for aligning the PCB assembly 250 to a body of a bicycle drivetrain may be formed into the substrate 252 of the PCB.", "For example, one or more notches 255 may be cut into an interior and/or exterior edge of the substrate 252.The notches 255 may be configured to correspond to corollary features of the body to which the PCB assembly 250 is to be attached.", "Also, one or more holes 256 may be formed in the substrate 252 which may be used by an assembly tool or handler to specifically attach to the PCB assembly 250 in a particular orientation.", "The tool and/or handler may then be aligned to the body to which the PCB assembly is to be attached such that the PCB assembly 250 is aligned properly to the body.", "For example, the alignment features 256, 255, 254 may be used independently or in combination to align the one or more strain measurement devices 260 to the body.", "The substrate 252 operates to connect, and/or provide structure for the circuitry and attached components of the PCB assembly 250.The substrate 252 may be flexible or rigid.", "In an embodiment, the substrate 252 is a rigid substrate providing a durable basis for the PCB assembly 250.The substrate 252 is formed to provide shape and other substance for the PCB assembly 250.For example, as shown, the substrate 252 is formed in an annular construction and/or shape.", "Such an annular shape facilitates installation of the PCB assembly 250 around a torque input section of a body.", "At least one strain measurement device 260 may be attached to the PCB assembly 250 such that the at least one strain measurement device 260 is fixed in a plane P of the PCB assembly 250 relative to at least one feature of the PCB assembly 250.For example, the strain measurement devices 260 may be fixed relative to one or more of the alignment features 254, 255, 256 and/or a circuitry 28 component such as the processor 20.The plane P may be a plane formed to include the substrate 252.In an embodiment, the plane P is perpendicular to the axis of rotation 105.An annular construction of the substrate 252, and rigid attachment of the strain measurement devices 260 as described above, provides for the disposition of a plurality of strain measurement devices 260 around the annular shape and about the torque input section.", "Such an annular construction also allows for the disposition of the strain measurement devices between the torque input section and the torque output section.", "In an embodiment, a chainring structure may be formed with an integrated power meter chamber that is configured to house a power meter 200.The power meter chamber includes a base surface that is configured for attachment to the power meter 200.For example, the base surface may be configured for attachment to strain gauges of the power meter 200.The chainring structure includes teeth in the power output section.", "For example, the chainring structure may include teeth forming one or more sprockets.", "The particular disposition of the base surface relative to the teeth and/or sprockets can affect an accuracy of a measurement of torque and/or power transmitted through the chainring structure.", "In an embodiment, the particular relative disposition of the base surface relative to the sprockets and teeth along a rotational axis of the chainring structure can affect the measurement of the torque and/or power transmitted through the chainring structure using the power meter 200.FIGS.", "13A-13D show an embodiment having a power meter 200 integrated with a chainring structure 300A.", "FIG.", "13C shows a cross sectional view of the power meter 200 integrated with the chainring structure 300A as is indicated in FIG.", "13B.", "FIG.", "13D shows an enlarged view of a portion of the power meter 200 integrated with the chainring structure 300A as indicated in FIG.", "13C.", "The chainring structure 300A also includes a power meter cover 202A and/or a power supply cover 204A.", "In the embodiment illustrated in FIGS.", "13A-13D, the chainring structure 300A includes a first type of tooth 323C and a second type of tooth 323D.", "The second type of tooth 323D is configured to interact specifically with an outer link space and plates of a roller drive chain for a bicycle.", "The first type of tooth 323C is configured to interact specifically with an inner link space and plates of a roller drive chain for a bicycle.", "In an embodiment, as is illustrated in FIGS.", "13A-13D, the second type of tooth 323D is axially wider than the first type of tooth 323C.", "For example, the second type of tooth 323D may include axial protrusions 324A, 324B configured to fill the outer link space and/or interact with outer link plates of a roller drive chain for a bicycle.", "There may be an inboard protrusion 324A and/or an outboard protrusion 324B on the second type of tooth 323D.", "In an embodiment, the first type of tooth 232C is free of protrusions on the outboard and inboard sides, while the second type of tooth includes protrusions on the outboard 324B and/or inboard side 324A.", "The outboard protrusion 324B and the inboard protrusion 324A may provide the same or different axial widths from a tooth centerline.", "In an embodiment, as is illustrated in FIGS.", "13A-13D, the chainring structure 300A includes a torque output section 322 comprising a plurality of teeth 323C, 323D configured as a sprocket 501, for example, a power output sprocket for the chainring structure 300A.", "The power meter 200 is integrated with the chainring structure 300A and disposed in a power meter chamber 506 formed in the chainring structure 300A.", "The chainring structure 300A also includes a torque input section 325 configured to attach to a crank assembly of a bicycle.", "The chainring structure 300A includes the power meter chamber 506 disposed radially between the torque input section 325 and the torque output section 322, the power meter chamber 506 includes a base surface 508 of a strain measurement section 230.The power meter 200 has at least one strain measurement device attached to the base surface 508.In the embodiment illustrated in FIGS.", "13A-13D, the torque output section 322, torque input section 325, and power meter chamber 506 of the chainring structure 300A are formed as a unitary single piece and configured to dispose the base surface 508 axially outboard of the sprocket 501.For example, the base surface 508 may be disposed outboard of a center T of the plurality of one or both of the two different types of teeth 323C, 323D.", "In an embodiment, the base surface 508 may be disposed outboard of the outboard protrusion 324B of the second type of teeth 323D.", "In this embodiment, the chainring structure 300A includes a PCB assembly installation section 305.The chainring structure also includes a torque input section 325, configured similarly to the torque input section 225 described above with regard to the chainring carrier 77.The chainring structure 300A also includes a torque output section 322 that includes a plurality of teeth 323C, 323D, as described above, configured to operationally interact with, and transmit torque to, a bicycle chain, such as the bicycle chain 72 described with respect to FIGS.", "1A and 1B.", "The displayed embodiment also includes a PCB assembly 250, a power meter cover 202A and/or power supply cover 204A of the power meter 200.FIGS.", "14A and 14B show another embodiment having a power meter 200 integrated with a chainring structure 300B.", "FIG.", "14C illustrates an exploded view of the chainring structure 300B, FIG.", "14A shows a perspective view of the chainring structure 300B with the power meter cover 202 removed, and FIG.", "14B shows a top view of the chainring 300B with the cover 202 removed.", "In this embodiment, the chainring structure 300B includes a PCB assembly installation section 305.The chainring structure also includes a torque input section 325, configured similarly to the torque input section 225 described above with regard to the chainring carrier 77.The chainring structure 300B also includes a torque output section 322 that includes a plurality of teeth 323 configured to operationally interact with, and transmit torque to, a bicycle chain, such as the bicycle chain 72 described with respect to FIGS.", "1A and 1B.", "The displayed embodiment also includes a PCB assembly 250, a power meter cover 202, and power supply components 204, 205 of the power meter 200.FIGS.", "15A-15E shows an embodiment similar to the embodiment shown in FIGS.", "13A-13D and 14A-14C, but the torque output section 322 includes two pluralities of teeth 323A and 323B disposed as different drive sprockets for the drivetrain.", "The two pluralities of teeth 323A and 323B are formed as a small sprocket 502 and a large sprocket 504, the small sprocket having a smaller effective diameter and/or fewer teeth than the large sprocket.", "In this embodiment, the chainring structure 300C includes a torque output section 322 comprising a plurality of sprockets having two axially bounding sprockets 502, 504 defining an inner-most 502 and outer-most sprocket 504.The power meter 200 is integrated with the chainring structure 300C and disposed in a power meter chamber 506.The chainring structure 300C also includes a torque input section 325 configured to attach to a crank assembly.", "The chainring structure 300C includes a power meter chamber 506 disposed radially between the torque input 325 section and the torque output section 322, the power meter chamber 506 including a base surface 508 of a strain measurement section 230.A power meter 200 is disposed in the power meter chamber 506.The power meter 200 has at least one strain measurement device attached to the base surface 508.The torque output section 322, torque input section 325, and power meter chamber 506 of the chainring structure 300C are formed as a unitary single piece and configured to dispose the base surface 508 axially between the axially bounding sprockets 502, 504 of the plurality of sprockets.", "The base surface 508 may be disposed between centers A1, A2 of the sprockets and/or the two pluralities of teeth 323A, 323B.", "In an embodiment, the base surface 508 may be axially disposed in a space bounded by an inboard surface 524 of teeth 323A of a larger sprocket 504 and an outboard surface 522 of teeth 323B of a smaller sprocket 502.In an embodiment, the power meter chamber 506 is formed so as to dispose a plane M that includes the base surface 508, at an angle a to an axis of rotation R of the plurality of sprockets.", "For example, as is shown in FIG.", "15E, the power meter chamber 506 is formed as a unitary single piece so as to dispose a plane M perpendicular to an axis of rotation R of the plurality of sprockets.", "The plane M includes the base surface 508 and is between the bounding sprockets 502, 504.As is illustrated in the embodiment illustrated in FIG.", "15E, the torque output section 322, torque input section 325, and power meter chamber 506 are formed from a same material.", "For example, the torque output section 322, torque input section 325, and power meter chamber 506 may be formed from aluminum or an aluminum alloy.", "Other materials such as steal may also be used.", "In an embodiment the torque output section 322, torque input section 325, and/or power meter chamber 506 are formed through a removal of material from a single piece of a same or common material.", "For example, the torque output section 322, torque input section 325, and power meter chamber 506 may be formed through a machining and/or milling process.", "In an embodiment, the base surface 508 is formed through the removal of material from the single piece to expose the base surface 508.In an embodiment, there is a transition section 516 also formed between and connecting the first sprocket 502 and the second sprocket 504.The transition section may be formed of the same single piece material as the the first sprocket 502 and the second sprocket 504.In an embodiment, the teeth of the first sprocket 502 and/or the second sprocket 504 are also formed of the same single piece material, for example through material removal techniques such as machining or milling.", "Other techniques may also be used to form the single piece material into the chainring structure.", "For example, stamping, forging and/or other forming techniques may be used.", "Similarly, in an embodiment the single sprocket chainring structure 300A, as illustrated in the embodiments shown in FIGS.", "13A-13D and 14A-14C, may also be formed as a single piece unitary structure, for example from a single piece of material through material removal or other processes.", "In an embodiment the strain measurement section 230 includes at least one strain measurement feature formed in the one piece unitary structure of the chainring structure 300C.", "The at least one strain measurement feature may include the base surface 508.At least one strain measurement device may be attached to the strain measurement feature at the base surface 508.The strain measurement device may be attached to the base surface 508 using an adhesive, for example as is described herein.", "In an embodiment, the power meter comprises a printed circuit board (“PCB”) having a substrate 252, and the substrate 252 is of annular construction and disposed in the power meter chamber 506 around the torque input section 325 of the chainring structure 300C.", "The at least one strain measurement device may be a plurality of strain measurement devices disposed about the torque input section 325 of the chainring structure 300C.", "FIGS.", "16A and 16B illustrate close up views of the attachment of the strain measurement devices 260 to the substrate 252 of the PCB and the body 401.A volume of electrically conductive bonding material 405, for example a fusible metal alloy such as tin, lead, brass, or silver based solder, is disposed between planar electrical contact surfaces 420 of the strain measurement device 260 and electrical circuitry contacts 422 that are communicatively coupled to circuitry 28 of the PCB assembly.", "The volume of electrically conductive bonding material 405 involves at least one distinct volume of electrically conductive bonding material, and the electrical circuitry contacts 422, and/or the substrate 252, and the at least one strain measurement device 260 are in physical contact with the distinct volume of electrically conductive bonding material 405.The electrical circuitry contacts 422 may be embedded in the substrate 252.The strain measurement device 260 may be laminar, and formed of multiple layers.", "A base layer 432 may be formed to provide an attachment surface to be attached to the body 401 and a base insulative layer for conductive material 427 of the strain measurement patterns and/or the electrical contact surfaces 420 of the strain measurement device 260.A cover layer 429 may be included to cover the conductive material 427 layer.", "The cover layer 429 may not exist in an area of the electrical contact surfaces 420 so as to leave the contact surfaces available for electrical connection.", "The strain measurement patterns are disposed in a section 441 to be attached to the body 401.In the displayed embodiment, the section 441 is to be disposed generally flat and parallel to the correlating surface of the body 401.The strain measurement device 260 is attached to the body 401 with an attachment material 425 that is appropriately rigid to transmit the deformation of the body in a measurable way to the strain measurement device 260, but also resilient enough to avoid cracking or otherwise breaking down due to repetitive deformation of the body.", "In an embodiment an adhesive, such as a cyanoacrylate based adhesive, is used.", "Polyester, Phenol, and/or epoxy based adhesives may also be used.", "The PCB assembly and/or the substrate may be attached to the body using any technique.", "In the displayed embodiment, a material 417 such as a double sided adhesive tape, for example a foam adhesive tape, may be used to secure the PCB assembly to the body 401.Such attachment may provide for thermal and mechanical deformations of the body 401 to be isolated from the PCB assembly.", "Such attachment mechanisms, however, may cause the substrate 252 to which the strain measurement device 260 is attached, to have a significant void to be filled between the strain measurement device 260 and the body 401.This void may be filled with the strain measurement device attachment material 425, however, the configuration may apply stresses to the strain measurement device 260 that can cause buckling or other breakages of the conductive material layer of the strain measurement device 260.To help alleviate this configuration issue, the strain measurement device 260 may also be attached to the substrate 252 of the PCB assembly with a structural support material 415.The structural support material 415 is configured to provide structural rigidity to the strain measurement device 260 as the device is deformed to form a connection with the body 401.A structural support fillet or other structure may be formed by the structural support material 415.The structural support material 415 may be disposed so as to be connected to the substrate 252 and the cover layer 429 of the strain measurement device 260.In an embodiment, the structural support material 415 maintains an edge of the substrate and at least a portion of the strain measurement device 260 in a generally orthogonal or perpendicular orientation.", "The structural support material 415 may be any material operable to provide the requisite rigidity.", "For example, an ultra-violet light curable adhesive may be used.", "FIG.", "17 is a block diagram of an exemplary power meter system 40 for a bicycle.", "The system 40 may be used alone to communicate with and/or control bicycle components or other devices.", "The system 40 includes circuitry 28 which includes at least one processor 20 and a memory 10.In the illustrated embodiment, the circuitry 28 also includes a user interface 82, a strain detection device interface 80, and a communication interface 90.Circuitry 28 may also include component connections and/or electrically connecting materials embedded in a substrate material.", "The system also includes at least one strain detection device 260 in communication with the strain detection device communication interface 80.Additional, different, or fewer components are possible for the power meter system 40.For example, the user interface 82 may not be included in a circuitry 28 and/or the power meter system.", "Also, components may be combined.", "In an embodiment, the power meter system is integrated with a component of a power train of a bicycle, such as a chainring or chainring carrier, for example as is described with respect to FIGS.", "2-16.The processor 20 may include a general processor, digital signal processor, an application specific integrated circuit (ASIC), field programmable gate array (FPGA), analog circuit, digital circuit, combinations thereof, or other now known or later developed processor.", "The processor 20 may be a single device or combinations of devices, such as through shared or parallel processing.", "The circuitry 28 is operable to interpret a signal indicative of strain from deformation of an attached body from one or more of the strain detection devices 260 and determine a corresponding power transmitted between the torque input and the torque output section.", "For example, the signal may be communicated from the strain detection devices 260 to the processor 20 which may apply a conversion technique of the strain to a power transmitted across the body for a time period.", "Such a conversion technique may involve using the known material characteristics of the body, such as the modulus of elasticity and a known geometry of the body.", "Force values to cause amounts of strain measurable by the strain detection devices 260 may be known from these, or other, characteristics of the power meter system.", "For example, these values, or indications of these values, may be stored on a memory 10.The measured strain values may be matched against these values by the processor 20 to determine an input force, and a resulting power over time transmitted by the body of the drive train.", "The memory 10 may be a volatile memory or a non-volatile memory.", "The memory 10 may include one or more of a read only memory (ROM), random access memory (RAM), a flash memory, an electronic erasable program read only memory (EEPROM), or other type of memory.", "The memory 10 may be removable from the power meter system 40, such as a secure digital (SD) memory card.", "In a particular non-limiting, exemplary embodiment, a computer-readable medium can include a solid-state memory such as a memory card or other package that houses one or more non-volatile read-only memories.", "Further, the computer-readable medium can be a random access memory or other volatile re-writable memory.", "Additionally, the computer-readable medium can include a magneto-optical or optical medium, such as a disk or tapes or other storage device.", "Accordingly, the disclosure is considered to include any one or more of a computer-readable medium and other equivalents and successor media, in which data or instructions may be stored.", "The memory 10 is a non-transitory computer-readable medium and is described to be a single medium.", "However, the term “computer-readable medium” includes a single medium or multiple media, such as a centralized or distributed memory structure, and/or associated caches that are operable to store one or more sets of instructions and other data.", "The term “computer-readable medium” shall also include any medium that is capable of storing, encoding or carrying a set of instructions for execution by a processor or that cause a computer system to perform any one or more of the methods or operations disclosed herein.", "In an alternative embodiment, dedicated hardware implementations, such as application specific integrated circuits, programmable logic arrays and other hardware devices, can be constructed to implement one or more of the methods described herein.", "Applications that may include the apparatus and systems of various embodiments can broadly include a variety of electronic and computer systems.", "One or more embodiments described herein may implement functions using two or more specific interconnected hardware modules or devices with related control and data signals that can be communicated between and through the modules, or as portions of an application-specific integrated circuit.", "Accordingly, the present system encompasses software, firmware, and hardware implementations.", "The power supply 84 is a portable power supply.", "The power supply may involve the generation of electric power, for example using a mechanical power generator, a fuel cell device, photo-voltaic cells, or other power generating devices.", "The power supply may include a battery such as a device consisting of two or more electrochemical cells that convert stored chemical energy into electrical energy.", "The power supply 84 may include a combination of multiple batteries or other power providing devices.", "Specially fitted or configured battery types, or standard battery types such as CR 2012, CR 2016, and/or CR 2032 may be used.", "The communication interface 90 provides for data and/or signal communication from the power meter system 40 to another component of the bicycle, or an external device such as a mobile phone or other computing device.", "The communication interface 90 communicates the data using any operable connection.", "An operable connection may be one in which signals, physical communications, and/or logical communications may be sent and/or received.", "An operable connection may include a physical interface, an electrical interface, and/or a data interface.", "The communication interface 90 may be configured to communicate wirelessly, and as such include one or more antennae.", "The communication interface 90 provides for wireless communications in any now known or later developed format.", "Although the present specification describes components and functions that may be implemented in particular embodiments with reference to particular standards and protocols, the invention is not limited to such standards and protocols.", "For example, standards for Internet and other packet switched network transmission (e.g., TCP/IP, UDP/IP, HTML, HTTP, HTTPS) represent examples of the state of the art.", "Such standards are periodically superseded by faster or more efficient equivalents having essentially the same functions.", "Bluetooth® and or ANT+™ standards may also, or alternatively, be used.", "Accordingly, replacement standards and protocols having the same or similar functions as those disclosed herein are considered equivalents thereof.", "In an embodiment, the communication interface 90 may be configured to transmit a signal indicative of a power determined from a measured strain of a body.", "Further, the determined power may be transmitted wirelessly.", "The strain detection device interface 80 provides for data and/or signal communication from one or more strain detection devices 260 to the power meter circuitry 28.The interface 80 communicates using wired and/or wireless communication techniques.", "For example, the interface 80 communicates with the strain detection devices 260 using a system bus, or other communication technique.", "The strain detection device interface 80 may include additional electric and/or electronic components, such as an additional processor and/or memory for detecting, communicating, and/or otherwise processing signals of the strain detection devices 260.The user interface 82 may be one or more buttons, keypad, keyboard, mouse, stylus pen, trackball, rocker switch, touch pad, voice recognition circuit, or other device or component for communicating data between a user and the power meter system 40.The user interface 82 may be a touch screen, which may be capacitive or resistive.", "The user interface 82 may include a liquid crystal display (“LCD”) panel, light emitting diode (“LED”), LED screen, thin film transistor screen, or another type of display.", "The user interface 82 may also include audio capabilities, or speakers.", "In an embodiment, the user interface 82 includes an LED indicator.", "The LED indicator lights to indicate input of the commands or other actions of the power meter system.", "The communication interface 90 is configured to send and/or receive data such as control signals and/or commands to and/or from bicycle components such as the front gear changer 30 and/or the shift units 26.The component communication interface 90 communicates the data using any operable connection.", "An operable connection may be one in which signals, physical communications, and/or logical communications may be sent and/or received.", "An operable connection may include a physical interface, an electrical interface, and/or a data interface.", "The communication interface 90 provides for wireless communications in any now known or later developed format.", "Although the present specification describes components and functions that may be implemented in particular embodiments with reference to particular standards and protocols, the invention is not limited to such standards and protocols.", "For example, standards for Internet and other packet switched network transmission (e.g., TCP/IP, UDP/IP, HTML, HTTP, HTTPS) represent examples of the state of the art.", "Such standards are periodically superseded by faster or more efficient equivalents having essentially the same functions.", "Accordingly, replacement standards and protocols having the same or similar functions as those disclosed herein are considered equivalents thereof.", "In accordance with various embodiments of the present disclosure, methods described herein may be implemented with software programs executable by a computer system, such as the circuitry 28.Further, in an exemplary, non-limited embodiment, implementations can include distributed processing, component/object distributed processing, and parallel processing.", "Alternatively, virtual computer system processing can be constructed to implement one or more of the methods or functionality as described herein.", "A computer program (also known as a program, software, software application, script, or code) can be written in any form of programming language, including compiled or interpreted languages, and it can be deployed in any form, including as a standalone program or as a module, component, subroutine, or other unit suitable for use in a computing environment.", "A computer program does not necessarily correspond to a file in a file system.", "A program can be stored in a portion of a file that holds other programs or data (e.g., one or more scripts stored in a markup language document), in a single file dedicated to the program in question, or in multiple coordinated files (e.g., files that store one or more modules, sub programs, or portions of code).", "A computer program can be deployed to be executed on one computer or on multiple computers that are located at one site or distributed across multiple sites and interconnected by a communication network.", "The processes and logic flows described in this specification can be performed by one or more programmable processors executing one or more computer programs to perform functions by operating on input data and generating output.", "The processes and logic flows can also be performed by, and apparatus can also be implemented as, special purpose logic circuitry, e.g., an FPGA (field programmable gate array) or an ASIC (application specific integrated circuit).", "As used in this application, the term ‘circuitry’ or ‘circuit’ refers to all of the following: (a) hardware-only circuit implementations (such as implementations in only analog and/or digital circuitry) and (b) to combinations of circuits and software (and/or firmware), such as (as applicable): (i) to a combination of processor(s) or (ii) to portions of processor(s)/software (including digital signal processor(s)), software, and memory(ies) that work together to cause an apparatus, such as a mobile phone or server, to perform various functions) and (c) to circuits, such as a microprocessor(s) or a portion of a microprocessor(s), that require software or firmware for operation, even if the software or firmware is not physically present.", "This definition of ‘circuitry’ applies to all uses of this term in this application, including in any claims.", "As a further example, as used in this application, the term “circuitry” would also cover an implementation of merely a processor (or multiple processors) or portion of a processor and its (or their) accompanying software and/or firmware, as well as other electronic components.", "The term “circuitry” would also cover, for example and if applicable to the particular claim element, a baseband integrated circuit or applications processor integrated circuit for a mobile computing device or a similar integrated circuit in server, a cellular network device, or other network device.", "Processors suitable for the execution of a computer program include, by way of example, both general and special purpose microprocessors, and any one or more processors of any kind of digital computer.", "Generally, a processor receives instructions and data from a read only memory or a random access memory or both.", "The essential elements of a computer are a processor for performing instructions and one or more memory devices for storing instructions and data.", "Generally, a computer also includes, or be operatively coupled to receive data from or transfer data to, or both, one or more mass storage devices for storing data, e.g., magnetic, magneto optical disks, or optical disks.", "However, a computer need not have such devices.", "Moreover, a computer can be embedded in another device, e.g., a mobile telephone, a personal digital assistant (PDA), a mobile audio player, a Global Positioning System (GPS) receiver, or a power meter system 40 to name just a few.", "Computer readable media suitable for storing computer program instructions and data include all forms of non-volatile memory, media and memory devices, including by way of example semiconductor memory devices, e.g., EPROM, EEPROM, and flash memory devices; magnetic disks, e.g., internal hard disks or removable disks; magneto optical disks; and CD ROM and DVD-ROM disks.", "The processor and the memory can be supplemented by, or incorporated in, special purpose logic circuitry.", "In an embodiment, a power meter for a bicycle includes a body comprising a torque input section and a torque output section, the body configured to transmit power between the torque input section and the torque output section.", "The power meter also includes a printed circuit board (“PCB”).", "The PCB includes a substrate, at least one strain measurement device attached to the substrate, the at least one strain measurement device configured to provide a signal indicative of strain detected in the body, and circuitry, embedded in the substrate, the circuitry configured for interpreting the signal and determining a corresponding power transmitted between the torque input and the torque output section.", "In an embodiment, the at least one strain measurement device may be attached to the substrate such that the at least one strain measurement device is fixed in a plane of the PCB relative to at least one feature of the PCB.", "In an embodiment, the feature is formed in the substrate.", "In an embodiment, the at least one strain measurement device may be a foil or wire type electrical strain gauge.", "In an embodiment, the torque output section may include teeth.", "In an embodiment, the torque output section may include chainring attachment features.", "In an embodiment, the at least one strain measurement device may include planar electrical contact surfaces, and the PCB may be configured such that the strain measurement device planar electrical contact surfaces are disposed facing electrical circuitry contacts of the PCB, the electrical circuitry contacts of the PCB communicatively coupled to both the strain measurement device planar electrical contact surfaces and the circuitry of the PCB.", "In an embodiment, the at least one strain measurement device may be communicatively coupled to the circuitry of the PCB with a volume of an electrically conductive bonding material.", "In an embodiment, the electrically conductive bonding material may be a fusible metal alloy.", "In an embodiment, the volume of electrically conductive bonding material may include at least one distinct volume of electrically conductive bonding material, and both the PCB and the at least one strain measurement device are in physical contact with the distinct volume of electrically conductive bonding material.", "In an embodiment, the at least one strain measurement device may be attached to the body with an adhesive.", "In an embodiment, the at least one strain measurement device attachment to the PCB may include a structural support material.", "In an embodiment, the structural support material may be disposed both on an edge of the substrate and on a surface of the at least one strain measurement device.", "In an embodiment, the edge and the surface are oriented substantially orthogonal to each other.", "In an embodiment, the substrate may be of annular construction and disposed in the body around the torque input section.", "In an embodiment, the at least one strain measurement device may include a plurality of strain measurement devices disposed about the torque input section.", "In an embodiment, the plurality of strain measurement devices may be disposed so as to align with strain measurement features of the body.", "In an embodiment, the substrate includes at least one strain measurement device attachment feature, and the at least one strain measurement device may be disposed on the substrate so as to be aligned with the at least one strain measurement device attachment feature.", "In an embodiment, the strain measurement device attachment feature may include at least one vacancy formed in the substrate.", "In an embodiment, the vacancies are configured to provide access to the at least one strain measurement device in an axial direction of the PCB.", "In an embodiment, the body further may include bolt holes in the torque output section configured for attachment to a chainring, and the strain measurement device attachment features are disposed so as to correlate to the bolt holes.", "In an embodiment, the power meter may include a same number of strain measurement features and bolt holes.", "In an embodiment, the circuitry may be further configured to wirelessly transmit a second signal indicative of the determined power.", "In an embodiment a brake rotor includes a rotor carrier having a torque input section, and a torque output section.", "The brake rotor also includes a printed circuit board (“PCB”) that includes a substrate, at least one strain measurement device, the at least one strain measurement device configured to provide a signal indicative of strain detected in the rotor carrier, and circuitry, attached to the substrate, the circuitry configured for interpreting the signal and determining a corresponding power transmitted between the torque input and the torque output section.", "The brake rotor also includes an annular rotor structure attached to the torque output section of the rotor carrier and having at least one friction surface configured for generating friction to dissipate power provided at the torque input section of the carrier.", "The carrier may also include heat dissipation features.", "The illustrations of the embodiments described herein are intended to provide a general understanding of the structure of the various embodiments.", "The illustrations are not intended to serve as a complete description of all of the elements and features of apparatus and systems that utilize the structures or methods described herein.", "Many other embodiments may be apparent to those of skill in the art upon reviewing the disclosure.", "Other embodiments may be utilized and derived from the disclosure, such that structural and logical substitutions and changes may be made without departing from the scope of the disclosure.", "Additionally, the illustrations are merely representational and may not be drawn to scale.", "Certain proportions within the illustrations may be exaggerated, while other proportions may be minimized.", "Accordingly, the disclosure and the figures are to be regarded as illustrative rather than restrictive.", "While this specification contains many specifics, these should not be construed as limitations on the scope of the invention or of what may be claimed, but rather as descriptions of features specific to particular embodiments of the invention.", "Certain features that are described in this specification in the context of separate embodiments can also be implemented in combination in a single embodiment.", "Conversely, various features that are described in the context of a single embodiment can also be implemented in multiple embodiments separately or in any suitable sub-combination.", "Moreover, although features may be described above as acting in certain combinations and even initially claimed as such, one or more features from a claimed combination can in some cases be excised from the combination, and the claimed combination may be directed to a sub-combination or variation of a sub-combination.", "Similarly, while operations and/or acts are depicted in the drawings and described herein in a particular order, this should not be understood as requiring that such operations be performed in the particular order shown or in sequential order, or that all illustrated operations be performed, to achieve desirable results.", "In certain circumstances, multitasking and parallel processing may be advantageous.", "Moreover, the separation of various system components in the embodiments described above should not be understood as requiring such separation in all embodiments, and it should be understood that any described program components and systems can generally be integrated together in a single software product or packaged into multiple software products.", "One or more embodiments of the disclosure may be referred to herein, individually and/or collectively, by the term “invention” merely for convenience and without intending to voluntarily limit the scope of this application to any particular invention or inventive concept.", "Moreover, although specific embodiments have been illustrated and described herein, it should be appreciated that any subsequent arrangement designed to achieve the same or similar purpose may be substituted for the specific embodiments shown.", "This disclosure is intended to cover any and all subsequent adaptations or variations of various embodiments.", "Combinations of the above embodiments, and other embodiments not specifically described herein, are apparent to those of skill in the art upon reviewing the description.", "The Abstract of the Disclosure is provided to comply with 37 C.F.R.", "§1.72(b) and is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.", "In addition, in the foregoing Detailed Description, various features may be grouped together or described in a single embodiment for the purpose of streamlining the disclosure.", "This disclosure is not to be interpreted as reflecting an intention that the claimed embodiments require more features than are expressly recited in each claim.", "Rather, as the following claims reflect, inventive subject matter may be directed to less than all of the features of any of the disclosed embodiments.", "Thus, the following claims are incorporated into the Detailed Description, with each claim standing on its own as defining separately claimed subject matter.", "It is intended that the foregoing detailed description be regarded as illustrative rather than limiting and that it is understood that the following claims including all equivalents are intended to define the scope of the invention.", "The claims should not be read as limited to the described order or elements unless stated to that effect.", "Therefore, all embodiments that come within the scope and spirit of the following claims and equivalents thereto are claimed as the invention." ] ]
Patent_15875642
[ [ "SYSTEM AND METHOD FOR CREATING CUSTOM FINGERNAIL ART", "Systems and methods are provided for a creating nail polish art by providing an image, providing a hand pose stencil, including image coordinates for the fingernails of the hand pose stencil, allowing the hand pose stencil to be positioned on the image, associating the image coordinates for the fingernails to portions of the image, and displaying the portions of the image that have been associated with the image coordinates on fingernail polish stickers.", "Such systems and methods provide a revolutionary new way to create custom nail art by printing an image onto nail polish stickers for a gorgeous, one-of-a-kind manicure that a user can self-apply with no dry-time or waiting." ], [ "1.A system, comprising: a visual display module; a user interface; a memory, configured to store a plurality of image files and a virtual hand pose stencil, wherein the virtual hand pose stencil includes a plurality of respective fingernail objects corresponding to respective fingernails of a hand pose image, and wherein the virtual hand pose stencil includes respective image coordinates for each of the respective fingernail objects; a processor in communication with the memory, the user interface and the visual display module, wherein the processor executes a set of instructions instructing the processor to provide an image file in response to an image input received from a user on the user interface; generate and display by the visual display module a displayed image representative of the image file; automatically position the virtual hand pose stencil over the image file to associate the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil with respective portions of the displayed image on a page generated by the processor; in the event the processor receives a repositioning input from the user via a repositioning control of the user interface, reposition the virtual hand pose stencil over the image file to associate at least one of the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil with a different portion of the displayed image on an updated page generated by the processor; and generate and display by the visual display module a composite image comprised of the hand pose image, the respective portions of the displayed image associated with the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil, and a visual border for the hand pose image representing the repositioning control; wherein the composite image displays the respective portions of the displayed image in alignment with the respective fingernails of the hand pose image.", "2.The system of claim 1, wherein the instruction, in the event the processor receives a repositioning input from the user on the user interface, to reposition the virtual hand pose stencil over the image file, comprises an instruction to resize the virtual hand pose stencil positioned over the image file on the updated page generated by the processor.", "3.The system of claim 1, wherein the instruction, in the event the processor receives a repositioning input from the user on the user interface, to reposition the virtual hand pose stencil over the image file, comprises an instruction to rotate the virtual hand pose stencil positioned over the image file on the updated page generated by the processor.", "4.The system of claim 1, wherein the visual display module of the user computing device is a touch screen, and the repositioning input from the user on the user interface of the user computing device comprises a multi-touch twist input or a multi-touch stretch input applied on the touch screen to the visual border for the hand pose image representing the repositioning control.", "5.The system of claim 1, wherein the respective image coordinates for the each of the respective fingernail objects comprise a cuticle base curve and a directional line extending from the cuticle base curve.", "6.The system of claim 1, further comprising a remote server computer system for a computer controlled printer, wherein the set of instructions further instructs the processor to transmit to the remote server computer system a nail wrap design file including the respective portions of the displayed image associated with the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil, and wherein the remote server computer system is configured to generate from the nail wrap design file a printer file configured to communicate to the computer controlled printer instructions for printing a user nail wrap design onto a nail wrap material in accordance with the respective portions of the displayed image associated with the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil.", "7.The system of claim 1, wherein the virtual hand pose stencil is representative of a tiger claw hand pose.", "8.The system of claim 1, wherein the virtual hand pose stencil is representative of a long view horizontal hand pose.", "9.The system of claim 1, wherein the virtual hand pose stencil is representative of a long view vertical hand pose.", "10.The system of claim 1, wherein the virtual hand pose stencil is representative of a thumbs up hand pose.", "11.The system of claim 1, wherein the virtual hand pose stencil is representative of a fly trap hand pose.", "12.A processor-based method, comprising: providing, by a processor of a user computing device, an image file in response to receiving an image input from a user on a user interface of the user computing device; generating and displaying, by a visual display module of the user computing device, a displayed image representative of the image file; providing, by the processor, a virtual hand pose stencil representative of a hand pose, wherein virtual hand pose stencil includes a plurality of respective fingernail objects corresponding to respective fingernails of a hand pose image, wherein the virtual hand pose stencil includes respective image coordinates for each of the respective fingernail objects; automatically positioning, by the processor, the virtual hand pose stencil over the image file, to associate the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil with respective portions of the displayed image on a page generated by the user computing device; and generating and displaying, by the visual display module of the user computing device, a composite image comprised of the hand pose image, the respective portions of the displayed image associated with the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil, and a visual border for the hand pose image representing a repositioning control; wherein the composite image displays the respective portions of the displayed image in alignment with the respective fingernails of the hand pose image; wherein the virtual hand pose stencil is repositionable over the image file in response to receiving a repositioning input from the user via the repositioning control, to associate at least one of the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil with a different portion of the displayed image on an updated page generated by the user computing device.", "13.The processor-based method of claim 12, further comprising the step, in response to the processor receiving the repositioning input from the user on the user interface of the user computing device, of resizing the virtual hand pose stencil positioned over the image file on the updated page generated by the user computing device.", "14.The processor-based method of claim 12, further comprising the step, in response to the processor receiving the repositioning input from the user on the user interface of the user computing device, of rotating the virtual hand pose stencil positioned over the image file on the updated page generated by the user computing device.", "15.The processor-based method of claim 12, wherein the visual display module of the user computing device is a touch screen, and the repositioning input from the user on the user interface of the user computing device comprises a multi-touch twist input or a multi-touch stretch input applied on the touch screen to the visual border for the hand pose image representing the repositioning control.", "16.The processor-based method of claim 12, wherein the step of providing a virtual hand pose stencil representative of a hand pose comprises the steps of capturing an image of a user's posed hand including the respective fingernails, and determining the image coordinates for the plurality of respective fingernail objects corresponding to each of the respective fingernails in the captured image of the user's posed hand.", "17.A server-based method, comprising: receiving, from a remote user device, a nail wrap design file including respective portions of a user-selected image file associated with a virtual hand pose stencil, wherein the virtual hand pose stencil is representative of a hand pose and includes a plurality of respective fingernail objects corresponding to respective fingernails of a hand pose image; wherein the virtual hand pose stencil includes respective image coordinates including a cuticle base curve for each of the respective fingernail objects; and wherein in the nail wrap design file, the virtual hand pose stencil associates the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil with respective portions of the user-selected image file; receiving, from the remote user device, a user-selected size of a user nail wrap design to be printed onto a nail wrap design material, wherein in the printer file each of the respective portions of the user-selected image file associated with the respective image coordinates for the each of the respective fingernail objects has a size based upon the user-selected size relative to the cuticle base curve of the respective image coordinates; and generating, from the nail wrap design file, a printer file configured to communicate to a computer controlled printer instructions for printing the user nail wrap design onto the nail wrap material in accordance with the respective portions of the user-selected image file associated with the respective image coordinates for each of the respective fingernail objects of the virtual hand pose stencil.", "18.The server-based method of claim 17, wherein the step of receiving a user-selected size of a user nail wrap design to be printed onto a nail wrap design material comprises selecting a user-selected width of the user nail wrap design, wherein in the printer file each of the respective portions of the user-selected image file associated with the respective image coordinates for the each of the respective fingernail objects has a width based upon the user-selected width relative to the cuticle base curve of the respective image coordinates.", "19.The server-based method of claim 17, wherein the respective image coordinates for each of the respective fingernail objects comprise the cuticle base curve and a directional line extending from the cuticle base curve.", "20.The server-based method of claim 19, wherein the step of receiving a user-selected size of a user nail wrap design to be printed onto a nail wrap design material comprises selecting a user-selected width of the user nail wrap design, wherein in the printer file each of the respective portions of the user-selected image file associated with the respective image coordinates for the each of the respective fingernail objects has a width based upon the user-selected width relative to the cuticle base curve of the respective image coordinates." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Applying nail polish to nails allows users to enhance the appearance of nails while allowing for self-expression and coordination of a user's overall aesthetic presentation.", "There are a variety of colors of nail polish from which users can select to use on their nails, alone or in combination.", "There are also a variety of styles and designs that users can employ while applying nail polish.", "There are also decals or stickers available for users to add onto their nails to enhance nail appearance and achieve self-expression.", "However, no current system or method allows users to take art, including previously-captured pictures, self-designed images, or other pictures, images, and/or designs, and place them on nails as part of enhancing the appearance of nails and achieving self-expression." ], [ "<SOH> OBJECTS AND SUMMARY OF THE INVENTION <EOH>Embodiments of the invention provide a revolutionary new way to create custom nail art by printing a photo into nail polish stickers for a gorgeous, one-of-a-kind manicure that you can apply yourself with no dry-time or waiting.", "A system and method includes an app to create custom nail art by printing a photograph into fingernail polish stickers for a unique manicure that the user can self-apply.", "The user may download a mobile app that allows the user to design exactly how a photograph or other image will appear on fingernails.", "A vendor may then print and ship printed, high-quality nail wraps to the user.", "Further details regarding methods, processes, materials, modules, components, steps, embodiments, applications, features, platforms and advantages are set forth herein and in the U.S.", "Provisional Application No.", "61/941,866, which is incorporated herein by reference in its entirety." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of Non-Provisional Patent Application No.", "14/626,846, filed Feb. 19, 2015, entitled System and Method for Creating Custom Fingernail Art, which claims priority to U.S.", "Provisional Patent Application No.", "61/941,866, filed Feb. 19, 2014, the entirety of which is incorporated by reference as if fully set forth herein.", "FIELD OF THE INVENTION The field of the invention relates to systems and methods of nail polish application and developing, creating, and providing nail polish art.", "BACKGROUND OF THE INVENTION Applying nail polish to nails allows users to enhance the appearance of nails while allowing for self-expression and coordination of a user's overall aesthetic presentation.", "There are a variety of colors of nail polish from which users can select to use on their nails, alone or in combination.", "There are also a variety of styles and designs that users can employ while applying nail polish.", "There are also decals or stickers available for users to add onto their nails to enhance nail appearance and achieve self-expression.", "However, no current system or method allows users to take art, including previously-captured pictures, self-designed images, or other pictures, images, and/or designs, and place them on nails as part of enhancing the appearance of nails and achieving self-expression.", "OBJECTS AND SUMMARY OF THE INVENTION Embodiments of the invention provide a revolutionary new way to create custom nail art by printing a photo into nail polish stickers for a gorgeous, one-of-a-kind manicure that you can apply yourself with no dry-time or waiting.", "A system and method includes an app to create custom nail art by printing a photograph into fingernail polish stickers for a unique manicure that the user can self-apply.", "The user may download a mobile app that allows the user to design exactly how a photograph or other image will appear on fingernails.", "A vendor may then print and ship printed, high-quality nail wraps to the user.", "Further details regarding methods, processes, materials, modules, components, steps, embodiments, applications, features, platforms and advantages are set forth herein and in the U.S.", "Provisional Application No.", "61/941,866, which is incorporated herein by reference in its entirety.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is an exemplary depiction of nail designs that have been produced according to embodiments of the invention.", "FIG.", "2A is an exemplary depiction of a nail design that a user selects or creates according to embodiments of the invention.", "FIG.", "2B is an example of printed nail wraps that are shipped to a user according, which can be provided in either a classic or a broad shape according to embodiments of the invention.", "FIG.", "2C is an example of printed nail wraps according to embodiments of the invention being worn by a user.", "FIG.", "3 is an example of a home page of the app according to embodiments of the invention.", "FIG.", "4 is an example of part of the app that provides a transient chrome-less window that appears in certain embodiments of the invention.", "FIG.", "5 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "6A is an example of one of the connection windows for the creation pages of the app according to embodiments of the invention.", "FIG.", "6B is an example of one of the connection windows for the creation pages of the app according to embodiments of the invention.", "FIG.", "7 is an example of one of the browse interfaces of the app according to embodiments of the invention.", "FIG.", "8A is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "8B is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "8C is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "9 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "10 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "11 is an example of a dialogue box of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "12A is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "12B is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "13 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "14 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "15 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "15A is an example of a “share toggle” on a checkout page of the app according to embodiments of the invention.", "FIG.", "16 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "17 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "18 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "19 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "20 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "21 is an example of an inspiration page of the app according to embodiments of the invention.", "FIG.", "22 is an example of various inspiration tiles on an inspiration page of the app according to embodiments of the invention.", "FIG.", "23 is an example of various inspiration tiles on an inspiration page of the app according to embodiments of the invention.", "FIG.", "24 is an example of a sign-in/register pop-up feature of the app according to embodiments of the invention.", "FIG.", "25 is an example of an account page of the app according to embodiments of the invention.", "FIG.", "26 is an example of a drop down menu of an account page of the app according to embodiments of the invention.", "FIG.", "27 is an example of a drop down menu of an account page of the app according to embodiments of the invention.", "FIG.", "28 is an example of “addresses” and “edit address” pages of the app according to embodiments of the invention.", "FIG.", "29 is an example of “edit billing info” and “edit saved credit card” pages of the app according to embodiments of the invention.", "FIG.", "30 is an example of a “my heart list” page of the app according to embodiments of the invention.", "FIG.", "31 is an example of a page listing nail snaps designs that are saved to a user account page of the app according to embodiments of the invention.", "FIG.", "32 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "33 is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "34 is an example of a view cart checkout page of the app according to embodiments of the invention.", "FIG.", "35 is an example of a view cart checkout page of the app according to embodiments of the invention.", "FIG.", "36A is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "36B is an example of a checkout page of the app according to embodiments of the invention.", "FIG.", "37A is an example of image coordinates according to embodiments of the invention.", "FIG.", "37B is an example of image coordinates for a pointer finger and how they will get printed on nail wraps according to embodiments of the invention.", "FIG.", "38A is an example of a nail wraps design on a finger pose stencil according to embodiments of the invention.", "FIG.", "38B is an example of a printed nail wraps design according to embodiments of the invention.", "FIG.", "39A is an example of a Tiger Claw finger pose or hand pose for a finger pose or hand pose stencil according to embodiments of the invention.", "FIG.", "39B is an example of a Long View Horizontal finger pose or hand pose for a finger pose or hand pose stencil according to embodiments of the invention.", "FIG.", "39C is an example of a Long View Vertical finger pose or hand pose for a finger pose or hand pose stencil according to embodiments of the invention.", "FIG.", "39D is an example of a Thumbs Up finger pose or hand pose for a finger pose or hand pose stencil according to embodiments of the invention.", "FIG.", "39E is an example of a Fly Trap finger pose or hand pose for a finger pose or hand pose stencil according to embodiments of the invention.", "FIG.", "40A is an example of a Kitten nail wrap size according to embodiments of the invention.", "FIG.", "40B is an example of a Cat nail wrap size according to embodiments of the invention.", "FIG.", "40C is an example of a Lioness nail wrap size according to embodiments of the invention.", "FIG.", "41 is an example of an error message that may appear according to embodiments of the invention.", "FIG.", "42 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "43 is an example of “addresses” and “edit address” pages of the app according to embodiments of the invention.", "FIG.", "44 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "45 is an example of a launch page of the app according to embodiments of the invention.", "FIG.", "46 is an example of a home page of the app according to embodiments of the invention.", "FIG.", "47 is an example of one of the creation pages of the app according to embodiments of the invention.", "FIG.", "48 is an example of one of the creation pages of the app according to embodiments of the invention.", "DETAILED DESCRIPTION OF THE INVENTION Illustrative embodiments are now discussed and illustrated.", "Other embodiments may be used in addition or instead.", "Details which may be apparent or unnecessary may be omitted to save space or for a more effective presentation.", "Conversely, some embodiments may be practiced without all of the details which are disclosed.", "A system and method includes an app to create custom nail art by printing a photograph into nail polish stickers for a unique manicure that the user can self-apply.", "In one embodiment, the app is free and lets a user design exactly how the user wants the photo or image to appear on the user's nails.", "As shown in FIG.", "1 and FIG.", "2A, a user may create many different possible nail designs.", "After creating or selecting a nail design FIG.", "2A, the user can direct it to be printed.", "Depending on a user's nail size, the user can select that the nail design be printed in multiple sizes or widths, which can be referred to in the app and on the physical product with various names, including “classic and broad,” “kitten, cat, and tiger,” “small, medium, and large,” or “petite and regular.” The user's nail design is then printed as high quality nail wraps and mailed to the user.", "FIG.", "2B provides an example of the printed nail wraps that are shipped to a user.", "After receiving the printed nail wraps, the user may apply the nail design to the user's nails.", "An example of nail wraps applied to a user's nails is provided in FIG.", "2C.", "Embodiments of the invention include an app to create custom nail art by printing a photograph into fingernail polish stickers for a unique manicure that the user can self-apply.", "The user may download the app that allows the user to design exactly how a photograph or other image will appear on fingernails.", "In one embodiment, the app has a home page FIG.", "3, FIG.", "46, which explains what nail wraps or “NailSnaps” are, provides a bit of inspiration and offers a clear starting point for a user to customize the user's nail art.", "The app home page may have the following features: the main navigation selections are at the top of every page 31; a NailSnaps Logo, which links back to this home page 32; a “Get Inspired” link, which links to the “Get Inspired” page 33; a “Create” link, which links to a “Create: Choose Source” page 34; and a “Sign In” link 35, which links to a “Sign In/Register Overlay” page.", "There can also be a link to a “My Account” page.", "In one embodiment, the app has a footer that is displayed on every page except the creation pages 36.The footer contains a newsletter signup field 37.Once the user submits their email, a transient chrome-less window displays thanks, then closes after 6 seconds FIG.", "4.Content for the footer 36 may include social links map to the following accounts 38: Pinterest>pinterest.com/nailsnaps; Instagram>instagram.com/nailsnaps; Tumblr>nailsnaps.tumblr.com/; YouTube>youtube.com/user/nailsnaps; Facebook>facebook.com/NailSnaps; Twitter>twitter.com/nailsnaps.", "In one embodiment, the app includes creation pages that allow a user to create the nail designs that it wishes to use to create the user's nail wraps FIG.", "5, FIG.", "47.The user chooses a source that contains pictures or images to connect with FIG.", "5, FIG.", "47 to begin the creation flow, including social media connections such as Instagram 51, Facebook 52, or a device's internal camera or locally stored photos.", "If a user chooses to connect with Instagram 51, then the app opens an Instagram Connect window FIG.", "6A over the existing creation page FIG.", "5.If a user chooses to connect with Facebook 52, then the app opens a Facebook Connect window FIG.", "6B over the existing creation page FIG.", "5.After a social media connection has been authorized, the user's photos in the social media platform are displayed.", "Alternatively, if the user is using the app on a device with a camera, the user can choose to use the device's camera to take a photo to use for the creation process or it can use any of the photos stored on the device.", "On the creation page FIG.", "5, if a user chooses a “browse” option 53, then the app opens the devices' photo library.", "If a user chooses one of the social networks and accepts permissions to access it, the app opens a browsable interface for the user's photos on that network.", "If a user chooses the “Connect with Facebook” option 52 from the creation page FIG.", "5 and accepts the permissions to proceed with Facebook FIG.", "6B, then the app provides Facebook browse tabs.", "FIG.", "7 shows what the browse interface looks like if the user chooses to browse photos from her Facebook account.", "Browsing Instagram photos looks roughly the same minus the photo category tabs.", "While browsing photos on Facebook 71, a user can select an image 72.A user can tap any photo 72 to select that image for her manicure.", "After a user selects a photo to use to create nail wraps for her manicure, the creation pages of the app provides a loading screen FIG.", "8A.", "The loading screen provides an animation that lets the user know that something is happening 81.In a preferred embodiment the loading screen animation 81 can be nails tapping on a surface.", "After the photo loads, the creation pages of the app present the photo to the user so that the user can see the image she is working with to create nail wraps FIG.", "8B.", "Then, the app provides instructions, a finger pose stencil, and controls FIG.", "8C.", "These elements appear at the same time to let the user place begin to customize her nail wraps design 82.In one embodiment, after a user selects an image, the user uses a Finger Pose Stencil provided in a creation page of the app to arrange the image how the user wants it to appear on her nail wraps FIGS.", "9, 10, 12A, 12B, 13, 42, 44.The creation page explains how to use the Finger Pose Stencil and provides instructions FIG.", "9, FIG.", "44.The Finger Pose Stencil preferably uses a finger pose called “Tiger Claw,” 91, which is the most common hand or finger pose for women to show off a manicure in a photo, but other finger or hand poses and custom finger or hand poses based on a user's own hand or nails or measurements may also be used as described herein.", "The user controls how she wants her photo to appear on her nails by rotating 92 and re-sizing 93 the hand or finger pose.", "The user may re-position 92 or re-size 93 the image using two-finger gestures on touch screens to stretch the hand.", "On non-touch devices, a user may click a corner to drag the hand larger.", "The user may rotate the image using two finger twist gestures on touch screens to rotate the hand.", "On non-touch devices, a user may click the rotate icon and drag left or right to rotate.", "FIG.", "10 and FIG.", "48 provide examples of how a user might rotate and re-size the Tiger Claw finger pose on a particular image to create NailSnaps that show the “birds on a wire” image across the manicure.", "On a creation page FIGS.", "9, 10, 12A, 12B, 13, 14, 42, 44 a user may also choose to lock an image on a nail.", "A user locks an image on a nail by tapping the nail.", "After locking the image coordinates for a particular nail, a user may continue to rotate and re-size the hand, as shown in FIG.", "12.If a user locks a nail and then re-sizes the hand, the aspect ratio for image coordinates may remain consistent to the hand pose at the time the nail was locked.", "The hand pose stencil includes image coordinates for the fingernails of the hand pose stencil.", "Once the image coordinates from the pointer finger are locked, the user can continue to re-size and rotate the finger pose but the aspect ratio of the locked image coordinates remains fixed relative to the finger pose.", "For example in FIG.", "12A, the bird image on the pointer finger nail was locked.", "In FIG.", "12B, after the finger pose was re-sized, the bird image on the pointer finger nail 121 remained the same relative to the pointer finger nail even though the finger pose was re-sized smaller.", "When trying to lock a nail on a creation page FIGS.", "12A, 12B, a user may tap a specific nail to lock it or to copy the image on the nail.", "As shown in FIG.", "13 and FIG.", "14, tapping on a particular nail 131 brings up controls 132 for the image coordinates that appear on that nail, including “Lock This Nail” 133, “Copy to Another Nail” 134, and “Clear This Nail” 135.Image coordinates consist of a cuticle base curve and a directional line.", "Selecting “Lock This Nail” 133 lets the user lock the image coordinates for that particular nail so that nail stays the same even while the user continues re-sizing and rotating the hand 121.Selecting “Copy To Another Nail” 134 lets the user copy the image coordinates for that particular nail to other fingers FIG.", "14.Selecting “Clear This Nail” 135 is an option if a nail has been locked or its coordinates copied from another nail.", "The “Clear This Nail” 135 control lets the user clear the lock or copy so that the nail simply shows whatever part of the image is beneath it.", "The “Clear This Nail” option is disabled if no lock or copy from another nail has been applied.", "If a user selects the “Copy to Another Nail” option 135, then the user will have several additional selection options 141.For example, a user can paste the image coordinates for the pointer finger to the ring finger so that the same image coordinates appear on both the pointer and the ring finger.", "The nail that receives the copy is now locked with the image coordinates that were copied to it.", "If a user wants to copy a nail to multiple nails but not all, this will have to be done in multiple steps.", "Aspect ratio for image coordinates remains consistent to the nail from which it was copied; i.e., the image will remain at the same level of zoom across all nails.", "For example, when image coordinates from the pointer finger are copied to the thumb, more of the surrounding image will appear on the thumb.", "Those same image coordinates copied to the pinky will show less of the surrounding image.", "FIG.", "14 provides an example of one embodiment where a user taps the “All Nails” selection button 142 and decides to copy an image from the pointer finger to all nails.", "Thus, image coordinates may include measurements of the cuticle base curve and a directional line extending down the middle of the nail from the cuticle base curve.", "Image coordinates vary depending on the size and shape of a nail.", "Typically, a thumb nail will have a different cuticle base curve than a pinky finger.", "On a creation page FIGS.", "9, 10, 12A, 12B, 13 there is a “start over” button 101 and a “next” button 102.A user may select the “start over” button 101 if she wants to start over in any way.", "If a user selects the “start over” button 101, a dialogue box FIG.", "11 is presented to the user.", "This dialogue box allows a user to start over at various creation pages, including FIG.", "7 (choose a new image from the same source) and FIG.", "5 (choosing a source for an image).", "A user may also select an option to undo any specific locked images for any nail FIG.", "12B and place the hand in the original size and orientation with all nails cleared.", "The “nevermind” option allows a user to exit the “start over” dialogue box FIG.", "11.A user may select the “next” button 102 after she has positioned the hand (the Finger Pose Stencil) on the image.", "If a user selects the “next” button 102, the user proceeds to one of potentially several or one or more “checkout” pages.", "In one embodiment of the invention, the app provides one or more “checkout” pages, which can include a series of checkout pages.", "In one embodiment there is a “save” checkout page FIG.", "15.On a “save” checkout page FIG.", "15, there is a preview of the image that the user selected to create her nail snaps 151 and the nail snaps that the user created 152.The preview of the user's nail snaps 152 allows the user to edit the layout of the nail snaps 152 and to name the user's nail snaps design 153.By selecting the “modify” button 154 on the checkout page FIG.", "15, a user is taken back to a creation page FIGS.", "9, 10, 12, 13, 42, 44 to continue editing the nail snaps.", "On a “save” checkout page FIG.", "15, a user is also permitted to name 153 the nail snaps she created.", "The nail snaps design is automatically named according to the title of the image used to create the nail snaps, which is pulled from either the device or social network, depending from where the image was taken.", "A user may edit or change the pre-populated “name” field 153 as desired.", "Also on a “save” checkout page FIG.", "15, a user is given the option to share 155 her nail snaps design on various social media platforms by using “share toggles” 155, FIG.", "15A.", "If the user is already logged into a social media account, then the first time they tap these icons, they must connect the app to that network.", "If the user is not logged in or registered, she will need to do that first before she can share.", "Tapping the a share link makes the share icon go from grey (off) to blue (on) 155, FIG.", "15A.", "Also on a “save” checkout page FIG.", "15, a user is given the option to “publish” 156 her nail snaps design.", "Selecting the “Publish” option 156 posts the user's nail wraps design photo to the “Get Inspired” gallery.", "There is also provided a marketplace where users can publish their designs.", "In the marketplace, users can buy and sell each other's designs.", "To do this, users will be able to check a box that asks them if they want to publish and sell their designs, which will allow them to earn money from the designs they created.", "Also on a “save” checkout page FIG.", "15, a user is given the option to “Save & View Cart” 157.If a user selects this option, the user's nail wraps design is saved to the user's favorite or “heart” list and if she has toggled any of the social networks to the ON position, her work is shared to that network.", "After tapping the “Save & View Cart” button 157, if a user is signed in, the app performs a save function and then opens the user's shopping cart page.", "If a user is not signed in, then the app opens the chrome-less create account/sign in pop-up 36.After the user successfully signs into her account, the app performs a save and then opens the shopping cart or cart view page.", "FIG.", "32 and FIG.", "33 are additional embodiments of “save” or “save & share” checkout pages of the app according to embodiments of the invention.", "FIG.", "34 and FIG.", "35 are examples of embodiments of “view cart” checkout pages of the app according to embodiments of the invention for one or multiple items, respectively.", "Also on a “save” checkout page FIG.", "15, a user is given the option to “Save to My “Heart” List” 158.If a user selects this option by tapping this link 158, then the app saves the user's nail wrap design and follows the same rules for sign-in but takes the user back to a creation page FIG.", "5 where the user can choose a source from which to select images to use to create custom nail wraps.", "On a “view cart” checkout page FIG.", "16, there is an image preview of the nail snaps that the user created 161.If the user taps a “modify” button below the image preview of the nail snaps 162, the user is taken back to a creation page FIGS.", "9, 10, 12, 13 to modify the layout of her nail design 161.Also on a “view cart” checkout page FIG.", "16, a user is given the option to select the size of her nail wraps 163 by selecting an “edit” button 163 beside the “size” option.", "Depending on a user's nail size, the user can select that the nail design be printed in multiple sizes or widths, which can be referred to in the app and on the physical product with various names, including “classic and broad,” “kitten, cat, and tiger,” “small, medium, and large,” or “petite and regular.” Every order can be shipped in cat/medium/regular/classic size and an additional size or other sizes, depending on the user's selection and preferences.", "The various sizing options provide maximum flexibility for fitting various nail sizes.", "Also on a “view cart” checkout page FIG.", "16, a user is given the option to select the quantity 164 of nail wraps she wants.", "A user can change the quantity to buy by editing the number in the “quantity” field 164.Under the “quantity” field 164, there are 3 links: “Update,” 165, which updates the subtotal to reflect changes to the quantity number the user has made (reloads the page if needed.", "); “Remove,” 166, which deletes the item from the cart (if the cart becomes empty, copy appears saying, “You have no items in your cart.”); and “Create A NailSnaps Design,” 167, which takes the user back to the beginning of the creation flow.).", "Also on a “view cart” checkout page FIG.", "16, a user is given the option to enter a voucher or promotional code in a “Voucher/Promo Code Field,” 168, which lets the user enter a code to reduce the price.", "To use this field, the user enters a voucher or promotional code and taps “Apply Discount” 169 to update the subtotal with the discount.", "The app reloads the page if needed.", "Also on a view cart checkout page FIG.", "16, a user is given the option to select “Create More NailSnaps,” 167, which is a link that takes the user away from the checkout pages, leaving the current items in the cart, and returns the user to the beginning of the creation flow to create and add another design.", "Also on a view cart checkout page FIG.", "16, a user is given the option to go back by selecting the “Back” button, 170, which takes the user back to the previous save checkout page FIG.", "15.Also on a view cart checkout page FIG.", "16, a user is given the option to continue by tapping the “Next” button 171 which takes the user to the Shipping page.", "Once a person is satisfied with the item(s) in her cart, she may tap or click the “Next” button 171.If a user has created multiple nail wraps designs, she may include all of them in her cart FIG.", "17.FIG.", "17 provides an example of a view cart checkout page in one embodiment of the invention where the app saves the new designs that a user creates and saves them to the cart.", "New rows may appear on alternating background colors.", "On a “shipping” checkout page FIG.", "18, a user is given the option to enter her shipping and billing information.", "In a preferred embodiment of the invention, all shipping address fields are required and the zip code field is higher in the order than it normally appears to save user time because once a user completes the zip code field, the city, state, and country fields auto-populate.", "The user may select that the same address be used for shipping and billing 181.A user may select that the address be saved to her account for future use 182.Also on a shipping checkout page FIG.", "18, a user is given the option to go back by tapping or selecting the “Back” button 183, or the user may continue by tapping or selecting the “Next” button 184, which takes the user to a “checkout” page of the checkout pages FIG.", "19.Also on a “checkout” page of the checkout pages FIG.", "19, a user enters her payment information, which typically constitutes credit card information, although payment by PayPal, gift card, and other payment options may be possible and can also be accepted or used.", "Once a user enters her financial information, she may select the “Process Order” button 191, which submits the users order.", "After selecting the “Process Order” button 191, the user is taken to a final order confirmation page FIG.", "20.The order confirmation page FIG.", "20 provides an order confirmation number, presents the shipping and billing address(es), and provides the details of the user's order, including quantity, images, sizes, price, and total cost.", "FIGS.", "36A and 36B together are an example of a continuous checkout page of the app according to embodiments of the invention.", "In one embodiment of the invention, the app provides “inspiration” or “get inspired” pages.", "On an inspiration or “get inspired” page FIG.", "21, there is provided examples of nail wraps designed according to embodiments of the invention.", "The page FIG.", "21 shows users the kinds of great looking designs they can make with NailSnaps.", "There are two kinds of content: (1) photo posts to social networks with the #NailSnaps hashtag 211 and (2) newly created looks that users can share as part of the creation process 212.The social posts manually curated from a #NailSnaps hashtag search on Twitter, Instagram, Pinterest and Facebook.", "The page FIG.", "21 may rely on a social media manager who is able to choose which #nailsnaps hashtagged posts should be displayed.", "On an inspiration or “get inspired” page FIG.", "21, there are provided share instructions 213, which inform users how they can get their designs to appear on the page.", "There is also provided inspiration tiles showing nail wraps images from social posts.", "There is also provided inspiration tiles showing nail wraps images from newly created designs in the app.", "The tiles are laid out with certain variations based on where they came from.", "When a user reaches the bottom of the page, additional tiles load 214 so that there is progressive loading of tiles for display.", "FIG.", "30 provides an example of a “my heart list” page of the app according to embodiments of the invention.", "On an inspiration or “get inspired” page FIG.", "21, the inspiration tiles always contain an image and an avatar of the user who shared the image 215.A user can choose to share images from various sources, including the app or social media platforms such as Facebook, Twitter, Instagram or Pinterest, and the inspiration tiles are laid out with different variations based on what source the images were shared from FIG.", "22.A user may mouse-over an inspiration tile (or tap it if on a touch screens) and then a button appears over the image that lets the user add it to a list of their liked images—a heart list.", "FIG.", "23 provides an example of the Heart and Share controls that are available for an inspiration tile and that allow a user to like and/or to share the images.", "A user may tap or click the Heart button 231 to add the design to her heart list—this control stays visible until another image is tapped.", "A user may double tap on any image to share the post back to the network it came from using that network's standard controls.", "According to embodiments of the invention, a user may create a User Account.", "Certain functions on the app may only be performed if the user has an account.", "If a user tries to do something that requires an account, such as adding a design to her heart list, then a Sign In/Register pop-up FIG.", "24 appears.", "A Sign-In/Register pop-up FIG.", "24 is a chrome-less overlay that appears anytime a user tries to do something that requires an account: A Sign-In/Register pop-up FIG.", "24 allows a user to Tap/Click Sign-In, to add a design to her “heart” list, to save a design, and/or to place an order.", "FIG.", "31 is an example of a user account page where the user has saved various nail snaps designs to her account.", "After a user creates a user account, if a user signs in using the Sign-In/Register pop-up FIG.", "24, then, when the user taps or clicks the Account button or link on the app, a user is taken to a user account page FIG.", "25.Before a user with an account signs into her account, the Account button or link that can appear on the app is a “Sign In” button 35, 251 or link instead.", "For signed-in users, the Sign In link 35, 251 is replaced with a “My Account” button or link, which links to a user account page FIG.", "25.If a user hovers over (or taps on) a “My Account” button or link, a drop-down menu FIG.", "26 appears that provides several selections, including “Account Info,” which links to an user account page FIG.", "25, “Order Status,” which opens a user's order status or history, “My Heart List,” which opens a user's heart list, “Sign Out,” which signs a user out, and “Cart Indicator,” which provides a quantity indicator that will appear next to the cart to remind the user about how many items her cart contains if she has added items to her cart.", "If a user signs in through a social media platform such as Twitter, Instagram, or Facebook, her name and avatar are pulled from that social media platform.", "A user can tap or click her avatar image 252 to upload a new image from the user's device.", "A user may also tap or click her name to make that into an editable field.", "In one embodiment of the invention, a user may edit her account settings 253, in which case a window FIG.", "27 appears in which a user may edit account information and settings.", "As shown in FIG.", "28, FIG.", "43, a user may also edit address(es) that may be saved in her account information or add a new address to her account information by selecting an “edit” button or link beside a saved address or by selecting an “add new address” option.", "Once an address is updated or a new address is created, the “Addresses” page that lists all the saved addresses associated with a user's account re-loads with a message at the top that indicates that the edit or addition was successful.", "As shown in FIG.", "29, a user may also edit billing information that may be saved in her account information or add new credit card information.", "A user may also add new credit card information, which is an example of “edit billing info” and “edit saved credit card” pages of the app according to embodiments of the invention.", "In one embodiment of the invention, there are image coordinates.", "Image coordinates consist of a cuticle base curve 371 and a directional line 372 as shown in FIG.", "37A.", "The cuticle base curve 371 and the directional line 372 are collectively referred to as image coordinates and they are what gets locked or copied to a particular nail.", "When a nail is locked, the aspect ratio of the image is fixed in relation to the source nail in the hand pose stencil at the time the image was locked.", "When a nail is copied, the aspect ratio for image coordinates remains consistent to the nail from which it was copied.", "The fingers on which each set of image coordinates 371, 372 appear determine what will be printed as nail wraps.", "Each finger's image according to its coordinates 371, 372 is printed as two or more of the available sizes: cat/medium/classic/regular, kitten/small/petite/narrow, or lioness/large/broad, etc.", "For example, as shown in FIG.", "37B, when ordered, an image coordinate for a pointer finger results in two pointer finger nail wrap stickers getting printed, which are shown as Cat and Lioness sized in the depiction.", "According to one embodiment of the invention, when a user places an order for a nail snaps design, the user may receive a medium (cat/regular/classic/etc.)", "size nail wraps pack of 10 for both hands FIG.", "40B.", "The user may also be able to select a second set of 10 nail wraps of a second size—either large (lioness/broad/etc.)", "FIG.", "40C or small (kitten/petite/etc.)", "FIG.", "40B, depending on the user's selection.", "For example, each of the five fingers of the nail pose stencil is used to create a sticker sheet in two of the three available sizes, doubled because a user has two hands meaning that each order may include 20 nail stickers with two sizes for maximum flexibility of the nail wraps wearer.", "So ordering a design like that depicted in FIG.", "38A results in two sizes (medium and the other size of the customer's choosing) getting printed and shipped as depicted in FIG.", "38B.", "In various embodiments of the invention, there are provided different finger pose (interchangeably referred to as “hand pose”) stencils with various finger or hand poses.", "The finger or hand pose stencil includes image coordinates for the fingernails of the finger or hand pose stencil Finger poses for the finger pose stencils include Tiger Claw FIG.", "39A, Long View Horizontal FIG.", "39B, Long View Vertical FIG.", "39C, Thumbs Up FIG.", "39D (connecting the thumb to the ring finger for one long element in an image), and Fly Trap FIG.", "39E (giving users the largest possible canvas and great for images of people or characters).", "Additionally, there is a mechanism that creates a custom finger pose stencil based on a photo or live real time scan or image collection of each customer's hand.", "Alternatively a user may inter measurements of its nails and nail beds to create a custom finger pose stencil.", "An embodiment of the invention provides for nail wraps to be printed in three sizes: Kitten (small/petite/etc.)", "FIG.", "40A, Cat (medium/classic/regular/etc.)", "FIG.", "40B, and Lioness (large/broad/etc.)", "FIG.", "40C.", "Every order may be shipped in a medium size and a user's choice of another size for a total of 20 stickers per order for maximum flexibility of nail sizes.", "All nail wraps are the same length so the sizing only refers to the width of the nail bed.", "For narrow nail beds or petite hands, smaller sizes are 85% narrower than medium sizes.", "For wide nail beds or large, expressive hands, larger sizes are 115% wider than medium sizes.", "In one embodiment of the invention, there is an option to share nail snap designs through social media platforms.", "Whenever a user has gone through the design process, the user is able to share her designs with the world even if she hasn't purchased them.", "There is also provided a virtual marketplace that lets users sell their creations to other users so that people may purchase their friends' designs and users may get paid for any designs that they sell.", "In one embodiment of the invention, if a user skips, forgets to complete, or completes incorrectly a required field an error message may be displayed FIG.", "41 so that the user is prompted to complete the field properly.", "In one embodiment of the invention, an address validator is also provided.", "An address validator may be a smart way to avoid common customer typos that might cause shipping problems, ones that otherwise would have resulted in undelivered or delayed orders.", "An address validator may be used to prevent a user from advancing if she did not input an address that could be validated.", "The user's address may then be replaced by the validated address.", "Alternatively, if the address validator detects a difference between the user's entered address and the address validation, then the user may be informed that her typed address doesn't match the address validation and therefore may contain errors.", "The user then may have the option to proceed anyway, to use the address validation or to re-type the address.", "In one embodiment of the invention, there is provided a launch page of the app.", "After a user is on the launch home page for about 1.5 seconds, the launch page changes to a home page FIG.", "3, FIG.", "45.The components, steps, features, objects, benefits and advantages which have been discussed are merely illustrative.", "None of them, nor the discussions relating to them, are intended to limit the scope of protection in any way.", "Numerous other embodiments are also contemplated.", "These include embodiments which have fewer, additional and/or different components, steps, features, objects, benefits and advantages.", "These also include embodiments in which the components and/or steps are arranged and/or ordered differently.", "Unless otherwise stated, all measurements, values, ratings, positions, magnitudes, sizes, and other specifications which are set forth in this specification are approximate, not exact.", "They are intended to have a reasonable range which is consistent with the functions to which they relate and with what is customary in the art to which they pertain.", "All articles, patents, patent applications, and other publications which have been cited are hereby incorporated herein by reference." ] ]
Patent_15875643
[ [ "VEHICLE MANIPULATION USING OCCUPANT IMAGE ANALYSIS", "Vehicle manipulation is performed using occupant image analysis.", "A camera within a vehicle is used to collect cognitive state data including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned, on a first computing device, for the occupant based on the cognitive state data.", "The cognitive state profile includes information on absolute time.", "The cognitive state profile includes information on trip duration time.", "Voice data is collected and the cognitive state data is augmented with the voice data.", "Further cognitive state data is captured, on a second computing device, on the occupant while the occupant is in a second vehicle.", "The further cognitive state data is compared, on a third computing device, with the cognitive state profile that was learned for the occupant.", "The second vehicle is manipulated based on the comparing of the further cognitive state data." ], [ "1.A computer-implemented method for vehicle manipulation comprising: collecting, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learning, on a first computing device, a cognitive state profile for the occupant based on the cognitive state data; capturing, on a second computing device, further cognitive state data on the occupant while the occupant is in a second vehicle; comparing, on a third computing device, the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulating the second vehicle based on the comparing of the further cognitive state data.", "2.The method of claim 1 wherein the cognitive state profile includes information on absolute time.", "3.The method of claim 2 wherein the absolute time includes time of day, day of week, day of month, or time of year information.", "4.", "(canceled) 5.The method of claim 1 further comprising collecting voice data and augmenting the cognitive state data with the voice data.", "6.The method of claim 5 wherein the voice data includes audio data.", "7.The method of claim 5 further comprising evaluating the voice data for timbre, prosody, vocal register, vocal resonance, pitch, loudness, speech rate, or language content.", "8.The method of claim 5 wherein the augmenting is based on lexical analysis of the voice data that looks at sentiment.", "9.The method of claim 5 wherein the voice data includes non-speech vocalizations.", "10.The method of claim 9 wherein the non-speech vocalizations include grunts, yelps, squeals, snoring, sighs, laughter, filled pauses, unfilled pauses, or yawns.", "11.The method of claim 1 wherein the occupant is a passenger within the vehicle.", "12.The method of claim 11 wherein the vehicle is an autonomous vehicle.", "13.The method of claim 1 wherein the vehicle is a semi-autonomous vehicle.", "14.The method of claim 1 further comprising performing facial recognition on the occupant.", "15.The method of claim 14 further comprising using the cognitive state profile across a fleet of vehicles.", "16.The method of claim 1 wherein the vehicle and the second vehicle are a same vehicle.", "17.The method of claim 1 wherein the vehicle and the second vehicle are different vehicles.", "18.The method of claim 1 wherein the vehicle and the second vehicle are part of a fleet of vehicles.", "19.The method of claim 1 wherein the manipulating includes a locking out operation; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; or steering control.", "20.The method of claim 1 wherein the manipulating is based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "21.", "(canceled) 22.The method of claim 1 further comprising capturing cognitive state data on a second occupant and manipulating the second vehicle based on the cognitive state data for the occupant and the cognitive state data for the second occupant.", "23.", "(canceled) 24.The method of claim 1 further comprising comparing the further cognitive state data with additional cognitive state profiles learned from additional occupants of additional vehicles.", "25.The method of claim 24 wherein the additional cognitive state profiles are selected based on demographics of the occupant.", "26.The method of claim 24 wherein the additional cognitive state profiles are selected based on characteristics of the vehicle of the occupant.", "27.The method of claim 24 wherein the additional cognitive state profiles are selected based on a route being travelled.", "28.", "(canceled) 29.A computer program product embodied in a non-transitory computer readable medium for vehicle manipulation, the computer program product comprising code which causes one or more processors to perform operations of: collecting, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learning a cognitive state profile for the occupant based on the cognitive state data; capturing further cognitive state data on the occupant while the occupant is in a second vehicle; comparing the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulating the second vehicle based on the comparing of the further cognitive state data.", "30.A computer system for vehicle manipulation comprising: a memory which stores instructions; one or more processors attached to the memory wherein the one or more processors, when executing the instructions which are stored, are configured to: collect, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learn a cognitive state profile for the occupant based on the cognitive state data; capture further cognitive state data on the occupant while the occupant is in a second vehicle; compare the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulate the second vehicle based on the comparing of the further cognitive state data." ], [ "<SOH> BACKGROUND <EOH>People undertake travel for a wide range of purposes.", "Travel, which usually involves moving one or more people from one location to another, can be undertaken for financial reasons such as commuting to and from work or school, for personal reasons such as pleasure, relaxation, or discovery, or for exercise, to name only a few.", "Travel can also result from more sinister events such as war, famine, or displacement.", "Depending on the purpose of the travel and the modes of transportation available, people choose a mode of transportation based on convenience, availability, or cost.", "The modes of transportation include ground transportation, water transportation, and air transportation.", "Ground transportation can be accomplished on foot, by animal, or by vehicle such as a bicycle, an automobile, a van, a bus, or a train.", "Water transportation can include using a personal vehicle such as a raft, canoe, or kayak, a public vehicle such as a ferry or a ship, among others.", "Air transportation can be accomplished using an airship or airplane.", "Whichever mode of transportation is chosen by a person, the mode most often involves a vehicle.", "People spend a tremendous amount of time in vehicles.", "Whether waiting for a vehicle, traveling in the vehicle, attempting to park the vehicle, waiting in security lines to get on a vehicle, among many other travel-related activities, substantial portions of time are committed to vehicular travel.", "Typical vehicle-related travel events include the daily commute; taking the kids to athletics practices, musical instrument lessons, or debate club; taking the pets to the veterinary clinic; shopping for food or household items; traveling; or any of the other common activities that require transportation, people use a variety of vehicles to meet their transportation needs.", "Traveling in a vehicle is time consuming at best, and at worst, boring, frustrating, and irritating.", "Rush hour traffic, accidents, and poorly maintained roads, among other situations, further complicate automotive transportation.", "The difficulties of transportation are also compounded by operating an unfamiliar vehicle, traveling in an unfamiliar city, and even having to remember to drive on the opposite side of the road in a construction zone or when traveling in some foreign countries.", "Sadly, these transportation realities can have catastrophic consequences.", "Irritated operators of vehicles can experience road rage and other antisocial behaviors, and bored, sleepy, impaired, distracted, or otherwise inattentive drivers can cause vehicular accidents and injury to themselves, pedestrians, bicyclists, animals, and property." ], [ "<SOH> SUMMARY <EOH>Vehicular manipulation uses occupant image analysis.", "The vehicle to be manipulated can be an autonomous vehicle, a semi-autonomous vehicle, and so on.", "An in-vehicle camera is used to collect cognitive state data from an occupant of the vehicle.", "The occupant can be the operator of the vehicle or a passenger in the vehicle.", "The cognitive state data can include image data, facial data, etc.", "Other in-vehicle sensors can include a microphone for collecting voice data or audio data, and other sensors to collect physiological data.", "The cognitive state data is collected from the operator or passenger of a vehicle.", "The vehicle can be a first vehicle, a second vehicle, a public transportation vehicle, etc.", "The image data and facial image data can be captured using one or more cameras or another image capture apparatus.", "One or more cognitive state profiles are learned for the occupant of the vehicle.", "The one or more cognitive state profiles are based on the cognitive state data that was obtained.", "The cognitive state profile can include cognitive states, mental states, emotional states, moods, preferences of the occupant, and so on.", "Further cognitive state data is captured from the occupant.", "The further cognitive state data can be collected while the occupant is in a second vehicle.", "The second vehicle can be the same vehicle, a second vehicle, a vehicle from a fleet of vehicles, and so on.", "The further cognitive state data is compared with the cognitive state profile that was generated for the occupant.", "The comparing of the further cognitive state data can include identifying the occupant of the second vehicle, determining any differences in cognitive state data collected within the vehicle with that cognitive state data collected within the second vehicle, and so on.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The manipulation of the second vehicle can be the same as the manipulation of a first vehicle, can be adapted to a specific make or class of the second vehicle, can be tailored to the second vehicle based on tires or other equipment, can be modified based on weather patterns, traffic patterns, and so on.", "In embodiments, a computer-implemented method for vehicle manipulation comprises: collecting, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learning, on a first computing device, a cognitive state profile for the occupant based on the cognitive state data; capturing, on a second computing device, further cognitive state data on the occupant while the occupant is in a second vehicle; comparing, on a third computing device, the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulating the second vehicle based on the comparing of the further cognitive state data.", "In some embodiments, the method includes collecting voice data and augmenting the cognitive state data with the voice data.", "The occupant can be a passenger within the vehicle.", "The vehicle can be an autonomous vehicle or a semi-autonomous vehicle.", "In embodiments, the method includes using the cognitive state profile across a fleet of vehicles.", "The manipulating can include locking out operation of the vehicle; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; or steering control.", "In other embodiments, the manipulating can be based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "Various features, aspects, and advantages of numerous embodiments will become more apparent from the following description." ], [ "RELATED APPLICATIONS This application claims the benefit of U.S. provisional patent applications “Vehicle Manipulation using Occupant Image Analysis” Ser.", "No.", "62/448,448, filed Jan. 20, 2017, “Image Analysis for Two-sided Data Hub” Ser.", "No.", "62/469,591, filed Mar.", "10, 2017, “Vehicle Artificial Intelligence Evaluation of Mental States” Ser.", "No.", "62/503,485, filed May 9, 2017, “Image Analysis for Emotional Metric Generation” Ser.", "No.", "62/524,606, filed Jun.", "25, 2017, “Image Analysis and Representation for Emotional Metric Threshold Evaluation” Ser.", "No.", "62/541,847, filed Aug. 7, 2017, “Multimodal Machine Learning for Emotion Metrics” Ser.", "No.", "62/557,460, filed Sep. 12, 2017, “Speech Analysis for Cross-Language Mental State Identification” Ser.", "No.", "62/593,449, filed Dec. 1, 2017, “Avatar Image Animation using Translation Vectors” Ser.", "No.", "62/593,440, filed Dec. 1, 2017, and “Directed Control Transfer for Autonomous Vehicles” Ser.", "No.", "62/611,780, filed Dec. 29, 2017.This application is also a continuation-in-part of U.S. patent application “Image Analysis in Support of Robotic Manipulation” Ser.", "No.", "15/273,765, filed Sep. 23, 2016, which claims the benefit of U.S. provisional patent applications “Image Analysis In Support of Robotic Manipulation” Ser.", "No.", "62/222,518, filed Sep. 23, 2015, “Analysis of Image Content with Associated Manipulation of Expression Presentation” Ser.", "No.", "62/265,937, filed Dec. 12, 2015, “Image Analysis Using Sub-Sectional Component Evaluation To Augment Classifier Usage” Ser.", "No.", "62/273,896, filed Dec. 31, 2015, “Analytics for Live Streaming Based on Image Analysis within a Shared Digital Environment” Ser.", "No.", "62/301,558, filed Feb. 29, 2016, and “Deep Convolutional Neural Network Analysis of Images for Mental States” Ser.", "No.", "62/370,421, filed Aug. 3, 2016.The patent application “Image Analysis in Support of Robotic Manipulation” Ser.", "No.", "15/273,765, filed Sep. 23, 2016 is a continuation-in-part of U.S. patent application “Mental State Event Definition Generation” Ser.", "No.", "14/796,419, filed Jul.", "10, 2015 which claims the benefit of U.S. provisional patent applications “Mental State Event Definition Generation” Ser.", "No.", "62/023,800, filed Jul.", "11, 2014, “Facial Tracking with Classifiers” Ser.", "No.", "62/047,508, filed Sep. 8, 2014, “Semiconductor Based Mental State Analysis” Ser.", "No.", "62/082,579, filed Nov. 20, 2014, and “Viewership Analysis Based On Facial Evaluation” Ser.", "No.", "62/128,974, filed Mar.", "5, 2015.The patent application “Mental State Event Definition Generation” Ser.", "No.", "14/796,419, filed Jul.", "10, 2015 is also a continuation-in-part of U.S. patent application “Mental State Analysis Using Web Services” Ser.", "No.", "13/153,745, filed Jun.", "6, 2011, which claims the benefit of U.S. provisional patent applications “Mental State Analysis Through Web Based Indexing” Ser.", "No.", "61/352,166, filed Jun.", "7, 2010, “Measuring Affective Data for Web-Enabled Applications” Ser.", "No.", "61/388,002, filed Sep. 30, 2010, “Sharing Affect Across a Social Network” Ser.", "No.", "61/414,451, filed Nov. 17, 2010, “Using Affect Within a Gaming Context” Ser.", "No.", "61/439,913, filed Feb. 6, 2011, “Recommendation and Visualization of Affect Responses to Videos” Ser.", "No.", "61/447,089, filed Feb. 27, 2011, “Video Ranking Based on Affect” Ser.", "No.", "61/447,464, filed Feb. 28, 2011, and “Baseline Face Analysis” Ser.", "No.", "61/467,209, filed Mar.", "24, 2011.The patent application “Mental State Event Definition Generation” Ser.", "No.", "14/796,419, filed Jul.", "10, 2015 is also a continuation-in-part of U.S. patent application “Mental State Analysis Using an Application Programming Interface” Ser.", "No.", "14/460,915, Aug. 15, 2014, which claims the benefit of U.S. provisional patent applications “Application Programming Interface for Mental State Analysis” Ser.", "No.", "61/867,007, filed Aug. 16, 2013, “Mental State Analysis Using an Application Programming Interface” Ser.", "No.", "61/924,252, filed Jan. 7, 2014, “Heart Rate Variability Evaluation for Mental State Analysis” Ser.", "No.", "61/916,190, filed Dec. 14, 2013, “Mental State Analysis for Norm Generation” Ser.", "No.", "61/927,481, filed Jan. 15, 2014, “Expression Analysis in Response to Mental State Express Request” Ser.", "No.", "61/953,878, filed Mar.", "16, 2014, “Background Analysis of Mental State Expressions” Ser.", "No.", "61/972,314, filed Mar.", "30, 2014, and “Mental State Event Definition Generation” Ser.", "No.", "62/023,800, filed Jul.", "11, 2014.The patent application “Mental State Event Definition Generation” Ser.", "No.", "14/796,419, filed Jul.", "10, 2015 is also a continuation-in-part of U.S. patent application “Mental State Analysis Using Web Services” Ser.", "No.", "13/153,745, filed Jun.", "6, 2011, which claims the benefit of U.S. provisional patent applications “Mental State Analysis Through Web Based Indexing” Ser.", "No.", "61/352,166, filed Jun.", "7, 2010, “Measuring Affective Data for Web-Enabled Applications” Ser.", "No.", "61/388,002, filed Sep. 30, 2010, “Sharing Affect Across a Social Network” Ser.", "No.", "61/414,451, filed Nov. 17, 2010, “Using Affect Within a Gaming Context” Ser.", "No.", "61/439,913, filed Feb. 6, 2011, “Recommendation and Visualization of Affect Responses to Videos” Ser.", "No.", "61/447,089, filed Feb. 27, 2011, “Video Ranking Based on Affect” Ser.", "No.", "61/447,464, filed Feb. 28, 2011, and “Baseline Face Analysis” Ser.", "No.", "61/467,209, filed Mar.", "24, 2011.The foregoing applications are each hereby incorporated by reference in their entirety.", "FIELD OF INVENTION This application relates generally to automotive and vehicular manipulation and more particularly to vehicle manipulation using occupant image analysis.", "BACKGROUND People undertake travel for a wide range of purposes.", "Travel, which usually involves moving one or more people from one location to another, can be undertaken for financial reasons such as commuting to and from work or school, for personal reasons such as pleasure, relaxation, or discovery, or for exercise, to name only a few.", "Travel can also result from more sinister events such as war, famine, or displacement.", "Depending on the purpose of the travel and the modes of transportation available, people choose a mode of transportation based on convenience, availability, or cost.", "The modes of transportation include ground transportation, water transportation, and air transportation.", "Ground transportation can be accomplished on foot, by animal, or by vehicle such as a bicycle, an automobile, a van, a bus, or a train.", "Water transportation can include using a personal vehicle such as a raft, canoe, or kayak, a public vehicle such as a ferry or a ship, among others.", "Air transportation can be accomplished using an airship or airplane.", "Whichever mode of transportation is chosen by a person, the mode most often involves a vehicle.", "People spend a tremendous amount of time in vehicles.", "Whether waiting for a vehicle, traveling in the vehicle, attempting to park the vehicle, waiting in security lines to get on a vehicle, among many other travel-related activities, substantial portions of time are committed to vehicular travel.", "Typical vehicle-related travel events include the daily commute; taking the kids to athletics practices, musical instrument lessons, or debate club; taking the pets to the veterinary clinic; shopping for food or household items; traveling; or any of the other common activities that require transportation, people use a variety of vehicles to meet their transportation needs.", "Traveling in a vehicle is time consuming at best, and at worst, boring, frustrating, and irritating.", "Rush hour traffic, accidents, and poorly maintained roads, among other situations, further complicate automotive transportation.", "The difficulties of transportation are also compounded by operating an unfamiliar vehicle, traveling in an unfamiliar city, and even having to remember to drive on the opposite side of the road in a construction zone or when traveling in some foreign countries.", "Sadly, these transportation realities can have catastrophic consequences.", "Irritated operators of vehicles can experience road rage and other antisocial behaviors, and bored, sleepy, impaired, distracted, or otherwise inattentive drivers can cause vehicular accidents and injury to themselves, pedestrians, bicyclists, animals, and property.", "SUMMARY Vehicular manipulation uses occupant image analysis.", "The vehicle to be manipulated can be an autonomous vehicle, a semi-autonomous vehicle, and so on.", "An in-vehicle camera is used to collect cognitive state data from an occupant of the vehicle.", "The occupant can be the operator of the vehicle or a passenger in the vehicle.", "The cognitive state data can include image data, facial data, etc.", "Other in-vehicle sensors can include a microphone for collecting voice data or audio data, and other sensors to collect physiological data.", "The cognitive state data is collected from the operator or passenger of a vehicle.", "The vehicle can be a first vehicle, a second vehicle, a public transportation vehicle, etc.", "The image data and facial image data can be captured using one or more cameras or another image capture apparatus.", "One or more cognitive state profiles are learned for the occupant of the vehicle.", "The one or more cognitive state profiles are based on the cognitive state data that was obtained.", "The cognitive state profile can include cognitive states, mental states, emotional states, moods, preferences of the occupant, and so on.", "Further cognitive state data is captured from the occupant.", "The further cognitive state data can be collected while the occupant is in a second vehicle.", "The second vehicle can be the same vehicle, a second vehicle, a vehicle from a fleet of vehicles, and so on.", "The further cognitive state data is compared with the cognitive state profile that was generated for the occupant.", "The comparing of the further cognitive state data can include identifying the occupant of the second vehicle, determining any differences in cognitive state data collected within the vehicle with that cognitive state data collected within the second vehicle, and so on.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The manipulation of the second vehicle can be the same as the manipulation of a first vehicle, can be adapted to a specific make or class of the second vehicle, can be tailored to the second vehicle based on tires or other equipment, can be modified based on weather patterns, traffic patterns, and so on.", "In embodiments, a computer-implemented method for vehicle manipulation comprises: collecting, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learning, on a first computing device, a cognitive state profile for the occupant based on the cognitive state data; capturing, on a second computing device, further cognitive state data on the occupant while the occupant is in a second vehicle; comparing, on a third computing device, the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulating the second vehicle based on the comparing of the further cognitive state data.", "In some embodiments, the method includes collecting voice data and augmenting the cognitive state data with the voice data.", "The occupant can be a passenger within the vehicle.", "The vehicle can be an autonomous vehicle or a semi-autonomous vehicle.", "In embodiments, the method includes using the cognitive state profile across a fleet of vehicles.", "The manipulating can include locking out operation of the vehicle; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; or steering control.", "In other embodiments, the manipulating can be based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "Various features, aspects, and advantages of numerous embodiments will become more apparent from the following description.", "BRIEF DESCRIPTION OF THE DRAWINGS The following detailed description of certain embodiments may be understood by reference to the following figures wherein: FIG.", "1 is a flow diagram for vehicle manipulation using occupant image analysis.", "FIG.", "2 is a flow diagram for aspects of vehicle manipulation.", "FIG.", "3 is a flowchart for selecting cognitive state profiles.", "FIG.", "4 is a system diagram for vehicle manipulation.", "FIG.", "5 is a system diagram for an interior of a vehicle.", "FIG.", "6 is a diagram showing image collection including multiple mobile devices.", "FIG.", "7 illustrates feature extraction for multiple faces.", "FIG.", "8 shows an example of live streaming of social video and audio.", "FIG.", "9 is a flow diagram for detecting facial expressions.", "FIG.", "10 is a flow diagram for the large-scale clustering of facial events.", "FIG.", "11 shows unsupervised clustering of features and characterizations of cluster profiles.", "FIG.", "12A shows example tags embedded in a webpage.", "FIG.", "12B shows invoking tags to collect images.", "FIG.", "13 is an example showing a convolutional neural network (CNN).", "FIG.", "14 illustrates a bottleneck layer within a deep learning environment.", "FIG.", "15 shows data collection including devices and locations.", "FIG.", "16 is a diagram of a system for analyzing web-enabled application traffic states utilizing multiple computers.", "DETAILED DESCRIPTION Individuals can spend hundreds of hours or more per year traveling in vehicles such as buses, trains, airplanes, automobiles, and so on.", "The hours in vehicles are spent commuting, running errands, traveling, and so on.", "In vehicles where the vehicle occupant is not primarily concerned with operating the vehicle, such as a train or self-driving (autonomous) automobile, the hours can be spent enjoying entertainment options within the vehicle.", "Movies, games, video and/or phone calls, and the like are all options.", "Furthermore, entertainment option choices can be influenced by the vehicle ride experience and vice versa.", "For example, for some occupants, a high-traffic ride scenario with stop-and-go traffic may be a distracting influence for watching a serious, intense movie.", "Alternatively, for other occupants, a serious, intense movie may be just what is needed to take the occupant's mind off of an otherwise nerve-wracking experience.", "An occupant's cognitive state data can be a critical element for optimizing vehicle operation and vehicle entertainment experiences.", "This is especially critical for travel in autonomous or partially- or semi-autonomous vehicles.", "While an individual is traveling within or atop a vehicle, that individual can present a wide variety of cognitive state data.", "The cognitive state data can include facial data, image data, voice data, audio data, physiological data, and so on.", "A cognitive state profile can be learned from the collected cognitive state data.", "The cognitive state profile can be used to identify an occupant of a vehicle, to determine the cognitive state of the individual, and so on.", "The identification of the occupant can be used for validation or verification purposes, for configuring the vehicle, and the like.", "The vehicle can be an autonomous vehicle or a semi-autonomous vehicle.", "The assessment of the cognitive state of the individual can be used to determine whether the occupant should operate the vehicle, take a break from traveling in the vehicle, seek an alternative travel route, etc.", "By doing so, road safety can be improved, and the transportation experience of the occupant or occupants in the given vehicle can be improved.", "Collecting cognitive state data and learning cognitive state profiles about the vehicle operator or passenger enables adaptation of both vehicle operating characteristics and vehicle environmental experiences for the operators and passengers.", "Cognitive state data can be collected from an individual, where the cognitive state data can include facial data, voice data, physiological data, and so on.", "The cognitive state data of the individual can be used to understand other states of the individual such as emotional states, mental states, moods, and so on.", "Cognitive state data can be collected from an individual in order to learn a cognitive state profile the individual.", "The cognitive state profile can include information relating to the individual such as preferences for vehicle type, choices for settings and adjustments within the vehicle, and so on.", "By learning the cognitive state profile of the individual, further data can be collected and compared to the cognitive state profile.", "The comparing can be the basis for manipulating a second vehicle.", "The vehicle or vehicles that can be manipulated can include autonomous vehicles or semi-autonomous vehicles.", "The benefits of manipulating an autonomous vehicle or a semi-autonomous vehicle include: reducing the time required to configure a vehicle to an individual; verifying that the individual is in a cognitive state capable of operating the vehicle, that the individual is permitted to operate the vehicle, etc.", "; enhancing the transportation experience for the individual; and improving road safety.", "The enhanced transportation experience for the individual includes autonomous operation, security, or comfort.", "The road safety improvements derive from aiding the individual who is navigating in foreign surroundings or operating an unfamiliar vehicle, and from preventing a sleepy, impaired, or inattentive individual from operating the vehicle.", "In the disclosed techniques, vehicles including semi-autonomous vehicles and autonomous vehicles can be manipulated.", "The manipulation of the vehicles can be performed for a variety of purposes including assisting an occupant of the vehicle, choosing routes for the vehicle, improving comfort of the occupant, reducing stress and other negative cognitive states, and so on.", "The vehicle manipulation uses occupant image analysis.", "A camera within the vehicle is used for collecting cognitive state data, including facial data, on an occupant of a vehicle.", "The camera can include a video camera, a still camera, a camera array, a plenoptic camera, a web-enabled camera, a near infrared camera, an RGB camera, and so on.", "A cognitive state profile for the occupant can be developed based on the cognitive state data.", "The cognitive state profile can include information on absolute time, where absolute time can include time of day, day of week, day of month, time of year information, and so on.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The second vehicle can be the same vehicle, can be a different vehicle from a fleet of vehicles, or can be a different vehicle based on make and class.", "The cognitive data can be based on weather pattern or traffic pattern, and so on.", "The further cognitive state data is compared with the cognitive state profile that was developed for the occupant.", "The comparing of the further cognitive state data with the cognitive state profile can identify differences as the occupant of the second vehicle interacts with the second vehicle.", "The difference can include differences in cognitive states.", "The cognitive state data can be used in the detection of one or more of sadness, stress, happiness, anger, frustration, confusion, disappointment, hesitation, cognitive overload, focusing, engagement, attention, boredom, exploration, confidence, trust, delight, disgust, skepticism, doubt, satisfaction, excitement, laughter, calmness, curiosity, humor, depression, envy, sympathy, embarrassment, poignancy, or mirth.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The manipulating of the second vehicle can include a locking out operation; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; steering control; and so on.", "The manipulating of the second vehicle can be based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "FIG.", "1 is a flow diagram for vehicle manipulation using occupant image analysis.", "A cognitive state profile is learned for an individual based on collected cognitive state data.", "Further data is collected and compared to the cognitive state profile.", "The comparing is used for manipulating a vehicle.", "A camera within a vehicle is used for collecting cognitive state data including facial data on an occupant of a vehicle.", "A cognitive state profile is developed for the occupant based on the cognitive state data.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile that was learned for the occupant.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "In some embodiments, manipulation can simply include monitoring an occupant or driver within a vehicle.", "The flow 100 includes collecting cognitive state data 110.The cognitive state data can be collected using a camera 112 within a vehicle and can comprise images of the occupant.", "The cognitive state data can include facial data 114 on an occupant of a vehicle using the images of the occupant.", "The camera can be coupled to an electronic device, a vehicle, etc., with which the one or more people are interacting.", "More than one camera can be used for the obtaining of a series of images.", "The camera or cameras can include a webcam, where a webcam can include a video camera, a still camera, a thermal imager, a CCD device, a phone camera, a three-dimensional camera, a depth camera, a plenoptic camera, multiple webcams used to show different views of a person, or any other type of image capture apparatus that can allow captured data to be analyzed in an electronic system.", "The camera can be used to capture image data, where the image data includes the occupant of the vehicle.", "The image data can include other occupants of the vehicle.", "In embodiments, the occupant can be a passenger within the vehicle.", "The image data can include facial data, where the facial data includes the face of the occupant of the vehicle.", "In embodiments, the manipulating the vehicle can include capturing cognitive state data on a second occupant and can include manipulating a vehicle based on the cognitive state data for the occupant and the cognitive state data for the second occupant.", "The flow 100 can include collecting voice data 116 and augmenting the cognitive state data with the voice data.", "The collecting voice data can include using a microphone, an audio transducer, or other type of audio capture apparatus that can permit using captured audio data such as voice data to be used in an electronic system.", "The voice data can include audio data, where the audio data can include ambient noise such as road noise, interior sound such as an audio source selected by the occupant, and so on.", "In some embodiments, cognitive state data simply includes audio and voice data without facial data.", "In some embodiments, audio data is evaluated based on expected interior or exterior noise for the vehicle.", "Noise cancellation can be performed.", "The various occupants of a vehicle can be identified and their voices can be localized for further analysis.", "The vehicle manipulation then can be based on this audio cognitive state data alone.", "In other embodiments, the audio cognitive state data can be combined with other types of cognitive state data.", "Various modalities of cognitive state data can be combined.", "In some embodiments, the augmenting can be based on lexical analysis of the voice data that evaluates sentiment.", "Sentiment can include affective states, subjective information, and so on.", "Sentiment can be analyzed to determine a vehicle operator's attitude toward a vehicle, travel, travel conditions, etc.", "The lexical analysis can be used to determine cognitive state, mental state, emotional state, mood, and so on.", "The cognitive state data and the augmenting voice data can be used in detection of one or more of drowsiness, fatigue, distraction, sadness, stress, happiness, anger, frustration, confusion, disappointment, hesitation, cognitive overload, focusing, engagement, attention, boredom, exploration, confidence, trust, delight, disgust, skepticism, doubt, satisfaction, excitement, laughter, calmness, curiosity, humor, depression, envy, sympathy, embarrassment, poignancy, or mirth.", "In embodiments, the voice data can include non-speech vocalizations.", "The non-speech vocalizations can include sounds produced by the occupant of the vehicle.", "In embodiments, the non-speech vocalizations include grunts, yelps, squeals, snoring, sighs, laughter, filled pauses, unfilled pauses, or yawns.", "The flow 100 includes evaluating the voice data 118 for timbre, prosody, vocal register, vocal resonance, pitch, loudness, speech rate, or language content.", "The evaluating the voice data can be included in determining a cognitive state for an occupant of the vehicle.", "The voice data that is captured can be converted to text and the text can be analyzed.", "The flow 100 includes learning, on a first computing device, a cognitive state profile 120 for the occupant based on the cognitive state data.", "In embodiments, an identity is recognized for the occupant.", "The identity can be recognized based on facial recognition, voice print recognition, userid entry, mobile phone recognition, key fob recognition, or by recognition of some other electronic signature.", "The cognitive state profile can include information relating to the occupant of the vehicle including schedules, preferences, a user identification (ID), and so on.", "The cognitive state profile can include information on trip duration time.", "The trip duration time can include information on typical time, expected time, increased time due to traffic conditions and weather conditions, and so on.", "In embodiments, the profile can include information on absolute time.", "The absolute time information included in the cognitive state profile can be used for determining whether travel conditions such as rush hour conditions can complicate travel for the occupant and whether the occupant may prefer a warmer or cooler environment in the vehicle, calming classical music instead of invigorating heavy metal selections, and so on.", "The absolute time can include time of day, day of week, day of month, or time of year information.", "The flow 100 includes performing facial recognition 130 on the occupant.", "Facial recognition on the occupant can include using classifiers.", "The classifiers can be used along with weights by a deep neural network.", "Facial recognition on the occupant can be based on identifying facial landmarks, facial regions, distinguishing facial characteristics such as scars, moles, facial hair, facial jewelry, etc.", "Based on the facial recognition, embodiments include using the cognitive state profile across a fleet 132 of vehicles.", "The using the cognitive state profile and the facial recognition of the occupant can be used for vehicle manipulation across the fleet of vehicles.", "In embodiments, the cognitive state profile is based on cognitive state event temporal signatures.", "The temporal signatures, which can include rise time, duration, fall time, etc., can be used to determine a duration of a cognitive state, an intensity of a cognitive state, and so on.", "The flow 100 includes capturing further cognitive state data 140 on the occupant while the occupant is in a second vehicle 142.The capturing of further cognitive state data on the occupant can be used to enhance the learned cognitive state profile, to identify the occupant, and so on.", "The second vehicle 142 can be any of a variety of vehicles including automobiles, trucks, buses, sport utility vehicles (SUV), specialty vehicles, motorcycles, scooters, mopeds, bicycles, boats, and so on.", "In embodiments, the vehicle which can be manipulated and the second vehicle are a same vehicle.", "As previously discussed, the cognitive state data can be collected at all times of day, on different days, in different seasons, and so on.", "In embodiments, the vehicle and the second vehicle can be different vehicles.", "In other embodiments, the vehicle and the second vehicle can be part of a fleet of vehicles.", "The one or more of the vehicle, the second vehicle, and the fleet of vehicles can all be autonomous or semi-autonomous vehicles, and so on.", "As mentioned above, the flow 100 includes capturing cognitive state data on a second occupant 144 and manipulating the second vehicle 146 based on the cognitive state data for the occupant and the cognitive state data for the second occupant.", "The captured cognitive state data from the second occupant can be used for selecting a route based on preferences of the occupant and the second occupant, choosing mutually agreeable music, controlling climate zones within the vehicle, and so on.", "The flow 100 includes comparing, on a third computing device, the further cognitive state data with the cognitive state profile 150 that was learned for the occupant.", "In embodiments, the second computing device and the third computing device can be the same device.", "The further cognitive state data can include facial data, voice data, audio data, physiological data, and so on.", "As stated throughout, the comparing of the further cognitive state data with the cognitive state profile can be used to identify an occupant, to improve a cognitive state profile for the occupant, to identify a cognitive state for the occupant such as sadness, stress, happiness, mirth, etc., and so on.", "In embodiments, the occupant whose cognitive state data is captured can be a passenger within the vehicle.", "There can be more than one passenger in the vehicle.", "The vehicle can be an autonomous vehicle such as a self-driving automobile, an autonomous truck, and so on.", "In embodiments, the vehicle can be a semi-autonomous vehicle.", "The semi-autonomous vehicles can include self-parking cars, collision avoidance signals such as alarms and haptic indications such as shaking seats, and so on.", "The flow 100 includes manipulating the second vehicle 160 based on the comparing of the further cognitive state data.", "The manipulating the second vehicle can include setting up the second vehicle for the occupant who can be identified or whose cognitive state profile is loaded, operating the vehicle in a manner appropriate to or preferred by the occupant and so on.", "In embodiments, the manipulating includes a locking out operation; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; or steering control.", "These manipulations of the vehicle can be performed for the safety, convenience, comfort, etc., of the one or more occupants of the vehicle.", "In other embodiments, the manipulating is based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "Changes can be made to the manipulation of the second vehicle based on equipment options and features of the second vehicle.", "Routes can be selected based on tires, suspension, steering stability, etc.", "Various steps in the flow 100 may be changed in order, repeated, omitted, or the like without departing from the disclosed concepts.", "Various embodiments of the flow 100 can be included in a computer program product embodied in a non-transitory computer readable medium that includes code executable by one or more processors.", "FIG.", "2 is a flow diagram for aspects of vehicle manipulation.", "The vehicle manipulation uses occupant image analysis.", "A camera within a vehicle is used for collecting cognitive state data, including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant based on the cognitive state data.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile that was learned for the occupant.", "The second vehicle is manipulated based on the comparing.", "The flow 200 includes manipulating the second vehicle 210 based on the comparing of the further cognitive state data.", "The manipulating, as discussed below, can control various actions of a vehicle, where a vehicle can be a standard vehicle, a semi-autonomous vehicle, an autonomous vehicle, and so on.", "In embodiments, the manipulating can include manipulating a first vehicle.", "The flow 200 includes a locking out operation 220.The locking out operation can include selectively enabling use of a vehicle, where the selective enabling can include an identity of an occupant in the vehicle.", "A locking out operation can include enabling and disabling features of the vehicle, preventing the vehicle from being used, and so on.", "The flow 200 includes recommending a break 222 for the occupant.", "The recommending a break can be based on elapsed travel time, vehicle operation time, cognitive state such as boredom of the occupant of the vehicle, etc.", "The recommending a break can include a recommendation for a short break, a stop for a meal, and so on.", "The flow 200 includes recommending a different route 224.The different route can be recommended due to traffic conditions, weather conditions, an accident, a road closure, the cognitive state of the occupant of the vehicle, and so on.", "The flow 200 includes recommending how far to drive 226.The recommending how far to drive can include elapsed drive time, difficulty of a travel route, analyzed boredom and inattentiveness of the occupant, anxiety of the occupant, etc.", "The flow 200 can include responding to traffic 228.Manipulation of the vehicle can include directing the vehicle to a lower traffic route, delaying departure times such as scheduling travel time outside of rush hour times, rerouting the vehicle due to an accident, and so on.", "The flow 200 includes manipulating the vehicle for the convenience, needs, preferences, and so on, of the occupant of the vehicle.", "The flow 200 includes adjusting seats 230 of the vehicle.", "The adjusting seats can depend on the type of vehicle, the occupant of the vehicle, the preferences of the occupant of the vehicle, and so on.", "The adjusting the seats can include moving the seat up or down, forward or backward, adjusting seat tilt, adjusting seat temperature, etc.", "The flow 200 includes adjusting mirrors 232.The mirrors of the vehicle can be adjusted based on the occupant of the vehicle, daytime or nighttime, heavy traffic or light traffic, etc.", "The flow 200 includes climate control 234.The climate within the vehicle can be controlled based on the occupant of the vehicle, daytime or nighttime, season (e.g.", "heat or air conditioning), and so on.", "The climate control can include adjusting interior temperature 236 for a second vehicle.", "The interior temperature can be adjusted based on the preferences of the occupant of the vehicle, the type of vehicle, etc.", "The interior temperature manipulation can include manipulating zones within the vehicle.", "The flow 200 includes lighting 238.The manipulation of the lighting within the vehicle can include light level, color temperature, and so on.", "The flow 200 includes audio stimuli 240.The audio stimuli can include alerts, warnings, signals, tones, and so on.", "The audio stimuli can be manipulated based on the cognitive state profile of the occupant of the vehicle.", "The flow 200 includes manipulating music 242 within the vehicle.", "The manipulating music can be based on default settings, preferences of the occupant of the vehicle, the cognitive state, mood, and emotion of the occupant, etc.", "The flow 200 includes brake activation 250 for the vehicle.", "Brake activation can include speed control, slowing down, stopping, emergency stopping, and the like.", "In embodiments, vehicle manipulation can include throttle activation.", "Throttle activation can include speed control, compensating for hills, accelerating, decelerating, etc.", "The flow 200 includes steering control 252.Steering control can be used for vehicle manipulation for following a route, changing lanes, making turns, taking evasive action, and so on.", "In embodiments, the brake activation, throttle activation, or steering control can be manipulated for an autonomous vehicle or a semi-autonomous vehicle.", "The brake activation, throttle activation, or steering control, can be used for collision avoidance, emergency evasive maneuvers, emergency braking, and the like.", "Vehicle manipulation can be performed for a single vehicle, for two vehicles, for multiple vehicles, etc., where the vehicle manipulation can be based on a cognitive state profile of an occupant of the vehicle.", "In embodiments, the cognitive state profile can be used across a fleet of vehicles.", "The fleet of vehicles can include automobiles, trucks, sport utility vehicles (SUV), buses, specialty vehicles, and so on.", "In embodiments, the vehicle (or the first vehicle) and a second vehicle can be the same vehicle.", "The same vehicle can be operated by multiple operators.", "In other embodiments, the vehicle and the second vehicle are different vehicles.", "The vehicle and the second vehicle can be the same type of vehicle, the same particular vehicle, etc.", "The vehicle and the second vehicle can be part of a fleet of vehicles.", "The flow 200 includes a vehicle make 260.In embodiments, the vehicles across the fleet of vehicles may have been produced by the same manufacturer, where the manipulation of the vehicles may be handled through a common electrical interface, a common application programming interface (API), and so on.", "The flow 200 includes a class 262 of vehicle.", "The class of vehicle can include a compact vehicle, a midsized vehicle, a full-sized vehicle, and so on.", "The class of vehicle can include another class such as a van, a truck, a bus, a motorcycle, etc.", "The flow 200 includes the type of tires 264 for vehicle manipulation.", "The type of tire, such as an all-weather tire, a summer tire, a winter tire, an off-road tire, etc., can be included in determining vehicle speed, braking rate, acceleration rate, choice of route, and so on.", "The flow 200 includes consideration of a weather pattern 266.The weather pattern can be used to determine vehicle departure time, choice of route to avoid severe weather, choice of route based on elevation or latitude, etc.", "The flow 200 includes a traffic pattern 268.As for weather and other factors, traffic patterns can be considered for vehicle manipulation.", "Traffic patterns can be considered for determining departure time, selecting a route of travel, and so on.", "The manipulation of the second vehicle can be based on the cognitive state profile, as discussed above, and on one or more of the other aspects of vehicle manipulation discussed herein.", "In embodiments, the manipulating can be based on a make for the second vehicle, a vehicle class for the second vehicle, tires for the second vehicle, a weather pattern, and a traffic pattern.", "Various steps in the flow 200 may be changed in order, repeated, omitted, or the like without departing from the disclosed concepts.", "Various embodiments of the flow 200 can be included in a computer program product embodied in a non-transitory computer readable medium that includes code executable by one or more processors.", "FIG.", "3 is a flowchart for selecting cognitive state profiles.", "Cognitive state profiles can be learned from cognitive state data, can be selected from a plurality of cognitive state profiles, etc.", "Cognitive state data including facial data is collected on an occupant of a vehicle, and a cognitive state profile is learned.", "Further cognitive state data is captured and compared with the cognitive state profile.", "A vehicle is manipulated based on the comparing of the further cognitive state data.", "A vehicle, such as the second vehicle, can be manipulated using occupant image analysis.", "Further cognitive state can be collected from other occupants, where the other occupants can be in the same vehicle as the first occupant, in one or more vehicles different from the one in which the first occupant is present, etc.", "The flow 300 includes learning additional cognitive state profiles from additional occupants 310 of additional vehicles.", "The learning additional cognitive state profiles can be based on collecting cognitive state data such as facial data, voice data, audio data, and the like.", "The cognitive state data can include physiological data such as heart rate or heart rate variability, acceleration data, etc.", "The flow 300 includes selecting additional cognitive state profiles 320.The cognitive state profiles can be learned, retrieved from a library of cognitive state profiles, downloaded from a computer network, uploaded by a user, and so on.", "In embodiments, the additional cognitive state profiles can be selected based on demographics 322 of the occupant.", "Demographics of the occupant can include age, race, gender, ethnicity, geographic location, income level, and the like.", "The demographics can be derived, predicted, self-identified by the occupant, etc.", "The demographics of the occupant can be based on an occupant profile or identification (ID).", "In embodiments, the additional cognitive state profiles can be selected based on characteristics of the vehicle 324 of the occupant.", "The characteristics of the vehicle can include type of vehicle such as automobile, airplane, train, a private vehicle, a fleet vehicle, public transportation, hired transportation, etc.", "In further embodiments, the additional cognitive state profiles can be selected based on a route 326 being travelled.", "The route being travelled can include a commuting route, a highway, a secondary road, a sightseeing route, and so on.", "The route being travelled can present travel problems such as weather, traffic, accidents, and so on.", "The detection of the cognitive state profile can be based on cognitive state data, where the cognitive state data can be used in detection of one or more of drowsiness, fatigue, distraction, sadness, stress, happiness, anger, frustration, confusion, disappointment, hesitation, cognitive overload, focusing, engagement, attention, boredom, exploration, confidence, trust, delight, disgust, skepticism, doubt, satisfaction, excitement, laughter, calmness, curiosity, humor, depression, envy, sympathy, embarrassment, poignancy, or mirth.", "In some cases, the impacts on cognitive state can be varied including an interaction that the occupant is having with another occupant or that the occupant is having on a cell phone or other mobile device.", "The flow 300 further includes comparing the further cognitive state data with additional cognitive state profiles 330 learned from additional occupants of additional vehicles.", "The comparing the further cognitive state data can be used for manipulating the second vehicle or another vehicle, for choosing a travel route, for climate control within a vehicle, and so on.", "FIG.", "4 is a system diagram for vehicle manipulation.", "Vehicle manipulation can use occupant image analysis.", "A camera within a vehicle is used to collect cognitive state data including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile, and the second vehicle is manipulated based on the comparing of the further cognitive state data.", "A system 400 for vehicle manipulation is shown.", "The system can include cognitive state profile communication 410.The communicating of the cognitive state profile communication can include sending cognitive state profile information to a first vehicle 420, to a second vehicle 430, and so on.", "The cognitive state profile communication can include manipulating the first vehicle 420, the second vehicle 430, etc.", "The manipulating can include a locking out operation; recommending a break for the occupant; recommending a different route; recommending how far to drive; responding to traffic; adjusting seats, mirrors, climate control, lighting, music, audio stimuli, interior temperature for the second vehicle; brake activation; steering control; and other vehicle control and manipulation techniques.", "The cognitive state profile can be sent to a first vehicle 420 using a wireless link 412 or other data transfer technique.", "The cognitive state profile that can be sent can be based on cognitive state data including facial data from an occupant 422 of the first vehicle 420.The cognitive state data including facial data can be collected using a camera 424 or other image capture technique.", "The system 400 can include collecting voice data and augmenting the cognitive state data with the voice data.", "The voice data can be collected from the occupant 422 using a microphone 426 or other audio capture technique.", "The voice data can include audio data, where the audio data can include traffic sounds, road noise, music, news, eBooks, etc., that can be played by the occupant, and so on.", "The system 400 can include evaluating the voice data for timbre, prosody, vocal register, vocal resonance, pitch, loudness, speech rate, or language content.", "The evaluating the voice data can also be used in evaluating the cognitive state or states of the occupant 422 of the first vehicle 420.In embodiments, the augmenting can be based on lexical analysis of the voice data that looks at sentiment.", "As for the first vehicle, the cognitive state profile can be sent to a second vehicle 430 using a wireless link 414 or other data transfer technique.", "The cognitive state profile can be based on cognitive state data including facial data from an occupant 432 of the second vehicle 430, can be based on the cognitive state data including facial data from the occupant 422 of the first vehicle 420, and so on.", "The cognitive state data including facial data can be collected using a camera 434 or other image capture technique.", "The system 400 can include collecting voice data from the occupant 432 using a microphone 436 or other audio capture technique.", "FIG.", "5 is a system diagram for an interior of a vehicle 500.Vehicle manipulation can be based on using occupant image analysis.", "A camera within a vehicle is used for collecting cognitive state data, including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant based on the cognitive state data.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "An occupant 530 of a vehicle 510 can be observed using a camera 518, a microphone 520, and other image and audio capture techniques.", "The image data can include video data.", "The video data and the audio data can include cognitive state data where the cognitive state data can include facial data.", "The occupant can be a driver of the vehicle 510, a passenger within the vehicle, and so on.", "The interior of a vehicle 510 can be a standard vehicle, an autonomous vehicle, a semi-autonomous vehicle, and so on.", "The vehicle can be an automobile, a van, a sport utility vehicle (SUV), a truck, a bus, a special purpose vehicle, etc.", "The interior of the vehicle 510 can include standard controls such as a steering wheel 522, a throttle control (not shown), a brake 524, and so on.", "The interior of the vehicle can include other controls 514 such as controls for seats, mirrors, climate controls, etc.", "The controls 514 of the vehicle 510 can be controlled by a controller 512.The controller 512 can control the vehicle 510 in various manners such as autonomously, semi-autonomously, assertively to a vehicle occupant 530, etc.", "In embodiments, the controller provides no vehicle control techniques, assistance, etc.", "The controller 512 can receive instructions via an antenna 516 or using other wireless techniques.", "The controller 512 can be preprogrammed to cause the vehicle to follow a specific route.", "FIG.", "6 shows example image and audio collection including multiple mobile devices.", "Cognitive state data including image data and audio data can be collected using multiple mobile devices.", "The collected cognitive state data can be used for vehicle manipulation using occupant image analysis.", "A cognitive state profile can be learned, and further cognitive state data can be collected from an individual while in a second vehicle.", "The further cognitive state data can be compared to the cognitive state profile, and the second vehicle can be manipulated based on the comparing.", "While one person is shown, in practice the video data on any number of people can be collected.", "In the diagram 600, the multiple mobile devices can be used separately or in combination to collect video data, audio data, or both video data and audio data on a user 610.While one person is shown, the video data and audio data can be collected on multiple people.", "A user 610 can be observed as she or he is performing a task, experiencing an event, viewing a media presentation, and so on.", "The user 610 can be shown one or more media presentations, political presentations, social media, or another form of displayed media.", "The one or more media presentations can be shown to a plurality of people.", "The media presentations can be displayed on an electronic display 612 or another display.", "The data collected on the user 610 or on a plurality of users can be in the form of one or more videos, video frames, still images, one or more audio channels, etc.", "The plurality of video data and audio data can be of people who are experiencing different situations.", "Some example situations can include the user or plurality of users being exposed to TV programs, movies, video clips, social media, and other such media.", "The situations could also include exposure to media such as advertisements, political messages, news programs, and so on.", "As noted before, video data and audio data can be collected on one or more users in substantially identical or different situations and viewing either a single media presentation or a plurality of presentations.", "The data collected on the user 610 can be analyzed and viewed for a variety of purposes including expression analysis, cognitive state analysis, mental state analysis, emotional state analysis, and so on.", "The electronic display 612 can be on a laptop computer 620 as shown, a tablet computer 650, a cell phone 640, a television, a mobile monitor, or any other type of electronic device.", "In one embodiment, video data including expression data is collected on a mobile device such as a cell phone 640, a tablet computer 650, a laptop computer 620, or a watch 670.Similarly, the audio data including speech data and non-speech vocalizations can be collected on one or more of the mobile devices.", "Thus, the multiple sources can include at least one mobile device, such as a smartphone or cell phone 640 or a tablet 650, or a wearable device such as a watch 670 or glasses 660.A mobile device can include a forward-facing camera and/or a back-side camera that can be used to collect expression data.", "A mobile device can include a microphone, audio transducer, or other audio capture apparatus that can be used to capture the speech and non-speech vocalizations.", "Sources of expression data can include a webcam 622, a phone camera 642, a tablet camera 652, a wearable camera 662, and a mobile camera 630.A wearable camera can comprise various camera devices, such as a watch camera 672.Sources of audio data 682 can include a microphone 680.As the user 610 is monitored, the user 610 might move due to the nature of the task, boredom, discomfort, distractions, or for another reason.", "As the user moves, the camera with a view of the user's face can be changed.", "Thus, as an example, if the user 610 is looking in a first direction, the line of sight 624 from the webcam 622 is able to observe the user's face, but if the user is looking in a second direction, the line of sight 634 from the mobile camera 630 is able to observe the user's face.", "Furthermore, in other embodiments, if the user is looking in a third direction, the line of sight 644 from the phone camera 642 is able to observe the user's face, and if the user is looking in a fourth direction, the line of sight 654 from the tablet camera 652 is able to observe the user's face.", "If the user is looking in a fifth direction, the line of sight 664 from the wearable camera 662, which can be a device such as the glasses 660 shown and can be worn by another user or an observer, is able to observe the user's face.", "If the user is looking in a sixth direction, the line of sight 674 from the wearable watch-type device 670, with a camera 672 included on the device, is able to observe the user's face.", "In other embodiments, the wearable device is another device, such as an earpiece with a camera, a helmet or hat with a camera, a clip-on camera attached to clothing, or any other type of wearable device with a camera or other sensor for collecting expression data.", "The user 610 can also use a wearable device including a camera for gathering contextual information and/or collecting expression data on other users.", "Because the user 610 can move her or his head, the facial data can be collected intermittently when she or he is looking in a direction of a camera.", "In some cases, multiple people can be included in the view from one or more cameras, and some embodiments include filtering out faces of one or more other people to determine whether the user 610 is looking toward a camera.", "All or some of the expression data can be continuously or sporadically available from the various devices and other devices.", "The captured video data can include facial expressions, and can be analyzed on a computing device such as the video capture device or on another separate device.", "The analysis can take place on one of the mobile devices discussed above, on a local server, on a remote server, and so on.", "In embodiments, some of the analysis takes place on the mobile device, while other analysis takes place on a server device.", "The analysis of the video data can include the use of a classifier.", "The video data can be captured using one of the mobile devices discussed above and sent to a server or another computing device for analysis.", "However, the captured video data including expressions can also be analyzed on the device which performed the capturing.", "The analysis can be performed on a mobile device where the videos were obtained with the mobile device and wherein the mobile device includes one or more of a laptop computer, a tablet, a PDA, a smartphone, a wearable device, and so on.", "In another embodiment, the analyzing comprises using a classifier on a server or another computing device other than the capturing device.", "FIG.", "7 illustrates feature extraction for multiple faces.", "Image analysis, including facial analysis, can be based on feature extraction from multiple faces.", "Vehicle manipulation uses occupant image analysis.", "A vehicle camera is used for collecting cognitive state data including facial data on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant.", "Further cognitive state data is captured while the occupant is in a second vehicle, and the further cognitive state data is compared with the learned cognitive state profile.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The feature extraction for multiple faces can be performed for faces that can be detected in multiple images.", "In embodiments, the features of multiple faces are extracted for evaluating cognitive states.", "Features of a face or a plurality of faces can be extracted from collected video data.", "The feature extraction can be performed by analysis, using one or more processors, using one or more video collection devices, and by using a server.", "The analysis device can be used to perform face detection for a second face, as well as for facial tracking of the first face.", "One or more videos can be captured, where the videos contain one or more faces.", "The video or videos that contain the one or more faces can be partitioned into a plurality of frames, and the frames can be analyzed for the detection of the one or more faces.", "The analysis of the one or more video frames can be based on one or more classifiers.", "A classifier can be an algorithm, heuristic, function, or piece of code that can be used to identify into which of a set of categories a new or existing observation, sample, datum, etc.", "should be placed.", "The decision to place an observation into a category can be based on training the algorithm or piece of code by analyzing a known set of data, known as a training set.", "The training set can include data for which category memberships of the data can be known.", "The training set can be used as part of a supervised training technique.", "If a training set is not available, then a clustering technique can be used to group observations into categories.", "The latter approach, or unsupervised learning, can be based on a measure (i.e.", "distance) of one or more inherent similarities among the data that is being categorized.", "When a new observation is received, then the classifier can be used to categorize the new observation.", "Classifiers can be used for many analysis applications, including analysis of one or more faces.", "The use of classifiers can be the basis of analyzing the one or more faces for gender, ethnicity, and age; for detection of one or more faces in one or more videos; for detection of facial features, for detection of facial landmarks, and so on.", "The observations can be analyzed based on one or more of a set of quantifiable properties.", "The properties can be described as features and explanatory variables involving various data types that can include numerical (integer-valued, real-valued), ordinal, categorical, and so on.", "Some classifiers can be based on a comparison between an observation and prior observations, as well as based on functions such as a similarity function, a distance function, and so on.", "Classification can be based on various types of algorithms, heuristics, codes, procedures, statistics, and so on.", "Many techniques exist for performing classification.", "This classification of one or more observations into one or more groups can be based on distributions of the data values, probabilities, and so on.", "Classifiers can be binary, multiclass, linear, and so on.", "Algorithms for classification can be implemented using a variety of techniques, including neural networks, kernel estimation, support vector machines, use of quadratic surfaces, and so on.", "Classification can be used in many application areas such as computer vision, speech and handwriting recognition, and so on.", "Classification can be used for biometric identification of one or more people in a single frame or in multiple frames of one or more videos.", "Returning to FIG.", "7, the detection of the first face, the second face, and multiple faces can include identifying facial landmarks, generating a bounding box, and predicting a bounding box and landmarks for a next frame, where the next frame can be one of a plurality of frames of a video containing faces.", "A first video frame 700 includes a frame boundary 710, a first face 712, and a second face 714.The video frame 700 also includes a bounding box 720.Facial landmarks can be generated for the first face 712.Face detection can be performed to initialize a second set of locations for a second set of facial landmarks for a second face within the video.", "Facial landmarks in the video frame 700 can include the facial landmarks 722, 724, and 726.The facial landmarks can include corners of a mouth, corners of eyes, eyebrow corners, the tip of the nose, nostrils, chin, the tips of ears, and so on.", "The performing of face detection on the second face can include performing facial landmark detection with the first frame from the video for the second face, and can include estimating a second rough bounding box for the second face based on the facial landmark detection.", "The estimating of a second rough bounding box can include the bounding box 720.Bounding boxes can also be estimated for one or more other faces within the boundary 710.The bounding box can be refined, as can one or more facial landmarks.", "The refining of the second set of locations for the second set of facial landmarks can be based on localized information around the second set of facial landmarks.", "The bounding box 720 and the facial landmarks 722, 724, and 726 can be used to estimate future locations for the second set of locations for the second set of facial landmarks in a future video frame from the first video frame.", "A second video frame 702 is also shown.", "The second video frame 702 includes a frame boundary 730, a first face 732, and a second face 734.The second video frame 702 also includes a bounding box 740 and the facial landmarks, or points, 742, 744, and 746.In other embodiments, multiple facial landmarks are generated and used for facial tracking of the two or more faces of a video frame, such as the shown second video frame 702.Facial points from the first face can be distinguished from other facial points.", "In embodiments, the other facial points include facial points of one or more other faces.", "The facial points can correspond to the facial points of the second face.", "The distinguishing of the facial points of the first face and the facial points of the second face can be used to differentiate between the first face and the second face, to track either the first face, the second face, or both faces, and so on.", "Other facial points can correspond to the second face.", "As mentioned above, multiple facial points can be determined within a frame.", "One or more of the other facial points that are determined can correspond to a third face.", "The location of the bounding box 740 can be estimated, where the estimating can be based on the location of the generated bounding box 720 shown in the first video frame 700.The three facial points shown, facial points, or landmarks, 742, 744, and 746, might lie within the bounding box 740 or might not lie partially or completely within the bounding box 740.For instance, the second face 734 might have moved between the first video frame 700 and the second video frame 702.Based on the accuracy of the estimating of the bounding box 740, a new estimation can be determined for a third, future frame from the video, and so on.", "The evaluation can be performed, all or in part, using semiconductor-based logic.", "FIG.", "8 shows an example of live streaming of social video and audio.", "The streaming of social video and social audio can be applied to vehicle manipulation using occupant image analysis.", "The live streaming can include cognitive state data, facial data, speech data, audio data, etc.", "The cognitive state data can be obtained on an occupant of a vehicle and used for learning a cognitive state profile.", "Further cognitive state data can be collected on the individual while in a second vehicle, and the further data can be compared with the cognitive state profile.", "The second vehicle can be manipulated based on the comparing the further cognitive state data.", "The live streaming and image analysis can be facilitated by a video capture device, a local server, a remote server, a semiconductor-based logic, and so on.", "The streaming can be live streaming and can include cognitive state analysis, cognitive state event signature analysis, etc.", "Live streaming video is an example of one-to-many social media, where video can be sent over the Internet from one person to a plurality of people using a social media app and/or platform.", "Live streaming is one of numerous popular techniques used by people who want to disseminate ideas, send information, provide entertainment, share experiences, and so on.", "Some of the live streams can be scheduled, such as webcasts, online classes, sporting events, news, computer gaming, or video conferences, while others can be impromptu streams that are broadcast as needed or when desirable.", "Examples of impromptu live stream videos can range from individuals simply wanting to share experiences with their social media followers, to live coverage of breaking news, emergencies, or natural disasters.", "The latter coverage is known as mobile journalism, or “mo jo”, and is becoming increasingly common.", "With this type of coverage, news reporters can use networked, portable electronic devices to provide mobile journalism content to a plurality of social media followers.", "Such reporters can be quickly and inexpensively deployed as the need or desire arises.", "Several live streaming social media apps and platforms can be used for transmitting video.", "One such video social media app is Meerkat™ which can link with a user's Twitter™ account.", "Meerkat™ enables a user to stream video using a handheld, networked electronic device coupled to video capabilities.", "Viewers of the live stream can comment on the stream using tweets that can be seen and acknowledged by the broadcaster.", "Another popular app is Periscope™ which can transmit a live recording from one user to his or her Periscope™ account and to other followers.", "The Periscope™ app can be executed on a mobile device.", "The user's Periscope™ followers can receive an alert whenever that user begins a video transmission.", "Another live-stream video platform is Twitch™ which can be used for video streaming of video gaming and broadcasts of various competitions and events.", "The example 800 shows a user 810 broadcasting a video live stream and an audio live stream to one or more people as shown by a first person 850, a second person 860, and a third person 870.A portable, network-enabled, electronic device 820 can be coupled to a front-side camera 822.The portable electronic device 820 can be a smartphone, a PDA, a tablet, a laptop computer, and so on.", "The front-side camera 822 coupled to the device 820 can have a line-of-sight view 824 to the user 810 and can capture video of the user 810.The portable electronic device 820 can be coupled to a microphone (not shown).", "The microphone can capture voice data 828 such as speech and non-speech vocalizations.", "In embodiments, non-speech vocalizations can include grunts, yelps, squeals, snoring, sighs, laughter, filled pauses, unfilled pauses, yawns, or the like.", "The captured video and audio can be sent to an analysis or recommendation engine 840 using a network link 826 to the Internet 830.The network link can be a wireless link, a wired link, and so on.", "The recommendation engine 840 can recommend to the user 810 an app and/or platform that can be supported by the server and can be used to provide a video live stream, an audio live stream, or both a video live stream and an audio live stream to one or more followers of the user 810.In the example 800, the user 810 has three followers: a first person 850, a second person 860, and a third person 870.Each follower has a line-of-sight view to a video screen on a portable, networked electronic device.", "In other embodiments, one or more followers follow the user 810 using any other networked electronic device, including a computer.", "In the example 800, a first person 850 has a line-of-sight view 852 to the video screen of a device 854; a second person 860 has a line-of-sight view 862 to the video screen of a device 864, and a third person 870 has a line-of-sight view 872 to the video screen of a device 874.The device 874 can also capture audio data 878 from the third person 870.The portable electronic devices 854, 864, and 874 can each be a smartphone, a PDA, a tablet, and so on.", "Each portable device can receive the video stream and the audio stream being broadcast by the user 810 through the Internet 830 using the app and/or platform that can be recommended by the recommendation engine 840.The device 854 can receive a video stream and the audio stream using the network link 856, the device 864 can receive a video stream and the audio stream using the network link 866, the device 874 can receive a video stream and the audio stream using the network link 876, and so on.", "The network link can be a wireless link, a wired link, a hybrid link, and so on.", "Depending on the app and/or platform that can be recommended by the recommendation engine 840, one or more followers, such as the followers shown 850, 860, and 870, can reply to, comment on, or otherwise provide feedback to the user 810 using their respective devices 854, 864, and 874.The human face provides a powerful communications medium through its ability to exhibit numerous expressions that can be captured and analyzed for a variety of purposes.", "In some cases, media producers are acutely interested in evaluating the effectiveness of message delivery by video media.", "Such video media includes advertisements, political messages, educational materials, television programs, movies, government service announcements, etc.", "Automated facial analysis can be performed on one or more video frames containing a face in order to detect facial action.", "Based on the facial action detected, a variety of parameters can be determined, including affect valence, spontaneous reactions, facial action units, and so on.", "The parameters that are determined can be used to infer or predict emotional, mental, and cognitive states.", "For example, determined valence can be used to describe the emotional reaction of a viewer to a video media presentation or another type of presentation.", "Positive valence provides evidence that a viewer is experiencing a favorable emotional response to the video media presentation, while negative valence provides evidence that a viewer is experiencing an unfavorable emotional response to the video media presentation.", "Other facial data analysis can include the determination of discrete emotional states of the viewer or viewers.", "Facial data can be collected from a plurality of people using any of a variety of cameras.", "A camera can include a webcam, a video camera, a still camera, a thermal imager, a CCD device, a phone camera, a three-dimensional camera, a depth camera, a light field camera, multiple webcams used to show different views of a person, or any other type of image capture apparatus that can allow captured data to be used in an electronic system.", "In some embodiments, the person is permitted to “opt-in” to the facial data collection.", "For example, the person can agree to the capture of facial data using a personal device such as a mobile device or another electronic device by selecting an opt-in choice.", "Opting-in can then turn on the person's webcam-enabled device and can begin the capture of the person's facial data via a video feed from the webcam or other camera.", "The video data that is collected can include one or more persons experiencing an event.", "The one or more persons can be sharing a personal electronic device or can each be using one or more devices for video capture.", "The videos that are collected can be collected using a web-based framework.", "The web-based framework can be used to display the video media presentation or event as well as to collect videos from multiple viewers who are online.", "That is, the collection of videos can be crowdsourced from those viewers who elected to opt-in to the video data collection.", "The videos captured from the various viewers who chose to opt-in can be substantially different in terms of video quality, frame rate, etc.", "As a result, the facial video data can be scaled, rotated, and otherwise adjusted to improve consistency.", "Human factors further contribute to the capture of the facial video data.", "The facial data that is captured might or might not be relevant to the video media presentation being displayed.", "For example, the viewer might not be paying attention, might be fidgeting, might be distracted by an object or event near the viewer, or might be otherwise inattentive to the video media presentation.", "The behavior exhibited by the viewer can prove challenging to analyze due to viewer actions including eating, speaking to another person or persons, speaking on the phone, etc.", "The videos collected from the viewers might also include other artifacts that pose challenges during the analysis of the video data.", "The artifacts can include items such as eyeglasses (because of reflections), eye patches, jewelry, and clothing that occludes or obscures the viewer's face.", "Similarly, a viewer's hair or hair covering can present artifacts by obscuring the viewer's eyes and/or face.", "The captured facial data can be analyzed using the facial action coding system (FACS).", "The FACS seeks to define groups or taxonomies of facial movements of the human face.", "The FACS encodes movements of individual muscles of the face, where the muscle movements often include slight, instantaneous changes in facial appearance.", "The FACS encoding is commonly performed by trained observers, but can also be performed on automated, computer-based systems.", "Analysis of the FACS encoding can be used to determine emotions of the persons whose facial data is captured in the videos.", "The FACS is used to encode a wide range of facial expressions that are anatomically possible for the human face.", "The FACS encodings include action units (AUs) and related temporal segments that are based on the captured facial expression.", "The AUs are open to higher order interpretation and decision-making.", "These AUs can be used to recognize emotions experienced by the person who is being observed.", "Emotion-related facial actions can be identified using the emotional facial action coding system (EMFACS) and the facial action coding system affect interpretation dictionary (FACSAID).", "For a given emotion, specific action units can be related to the emotion.", "For example, the emotion of anger can be related to AUs 4, 5, 7, and 23, while happiness can be related to AUs 6 and 12.Other mappings of emotions to AUs have also been previously associated.", "The coding of the AUs can include an intensity scoring that ranges from A (trace) to E (maximum).", "The AUs can be used for analyzing images to identify patterns indicative of a particular cognitive and/or emotional state.", "The AUs range in number from 0 (neutral face) to 98 (fast up-down look).", "The AUs include so-called main codes (inner brow raiser, lid tightener, etc.", "), head movement codes (head turn left, head up, etc.", "), eye movement codes (eyes turned left, eyes up, etc.", "), visibility codes (eyes not visible, entire face not visible, etc.", "), and gross behavior codes (sniff, swallow, etc.).", "Emotion scoring can be included where intensity is evaluated, and specific emotions, moods, mental states, or cognitive states can be identified.", "The coding of faces identified in videos captured of people observing an event can be automated.", "The automated systems can detect facial AUs or discrete emotional states.", "The emotional states can include amusement, fear, anger, disgust, surprise, and sadness.", "The automated systems can be based on a probability estimate from one or more classifiers, where the probabilities can correlate with an intensity of an AU or an expression.", "The classifiers can be used to identify into which of a set of categories a given observation can be placed.", "In some cases, the classifiers can be used to determine a probability that a given AU or expression is present in a given frame of a video.", "The classifiers can be used as part of a supervised machine learning technique, where the machine learning technique can be trained using “known good” data.", "Once trained, the machine learning technique can proceed to classify new data that is captured.", "The supervised machine learning models can be based on support vector machines (SVMs).", "An SVM can have an associated learning model that is used for data analysis and pattern analysis.", "For example, an SVM can be used to classify data that can be obtained from collected videos of people experiencing a media presentation.", "An SVM can be trained using “known good” data that is labeled as belonging to one of two categories (e.g.", "smile and no-smile).", "The SVM can build a model that assigns new data into one of the two categories.", "The SVM can construct one or more hyperplanes that can be used for classification.", "The hyperplane that has the largest distance from the nearest training point can be determined to have the best separation.", "The largest separation can improve the classification technique by increasing the probability that a given data point can be properly classified.", "In another example, a histogram of oriented gradients (HoG) can be computed.", "The HoG can include feature descriptors and can be computed for one or more facial regions of interest.", "The regions of interest of the face can be located using facial landmark points, where the facial landmark points can include outer edges of nostrils, outer edges of the mouth, outer edges of eyes, etc.", "A HoG for a given region of interest can count occurrences of gradient orientation within a given section of a frame from a video, for example.", "The gradients can be intensity gradients and can be used to describe an appearance and a shape of a local object.", "The HoG descriptors can be determined by dividing an image into small, connected regions, also called cells.", "A histogram of gradient directions or edge orientations can be computed for pixels in the cell.", "Histograms can be contrast-normalized based on intensity across a portion of the image or the entire image, thus reducing any influence from differences in illumination or shadowing changes between and among video frames.", "The HoG can be computed on the image or on an adjusted version of the image, where the adjustment of the image can include scaling, rotation, etc.", "The image can be adjusted by flipping the image around a vertical line through the middle of a face in the image.", "The symmetry plane of the image can be determined from the tracker points and landmarks of the image.", "In embodiments, an automated facial analysis system identifies five facial actions or action combinations in order to detect spontaneous facial expressions for media research purposes.", "Based on the facial expressions that are detected, a determination can be made with regard to the effectiveness of a given video media presentation, for example.", "The system can detect the presence of the AUs or the combination of AUs in videos collected from a plurality of people.", "The facial analysis technique can be trained using a web-based framework to crowdsource videos of people as they watch online video content.", "The video can be streamed at a fixed frame rate to a server.", "Human labelers can code for the presence or absence of facial actions including a symmetric smile, unilateral smile, asymmetric smile, and so on.", "The trained system can then be used to automatically code the facial data collected from a plurality of viewers experiencing video presentations (e.g.", "television programs).", "Spontaneous asymmetric smiles can be detected in order to understand viewer experiences.", "Related literature indicates that as many asymmetric smiles occur on the right hemi face as do on the left hemi face, for spontaneous expressions.", "Detection can be treated as a binary classification problem, where images that contain a right asymmetric expression are used as positive (target class) samples and all other images as negative (non-target class) samples.", "Classifiers perform the classification, including classifiers such as support vector machines (SVM) and random forests.", "Random forests can include ensemble-learning methods that use multiple learning algorithms to obtain better predictive performance.", "Frame-by-frame detection can be performed to recognize the presence of an asymmetric expression in each frame of a video.", "Facial points can be detected, including the top of the mouth and the two outer eye corners.", "The face can be extracted, cropped, and warped into a pixel image of specific dimension (e.g.", "96×96 pixels).", "In embodiments, the inter-ocular distance and vertical scale in the pixel image are fixed.", "Feature extraction can be performed using computer vision software such as OpenCV™.", "Feature extraction can be based on the use of HoGs.", "HoGs can include feature descriptors and can be used to count occurrences of gradient orientation in localized portions or regions of the image.", "Other techniques can be used for counting occurrences of gradient orientation, including edge orientation histograms, scale-invariant feature transformation descriptors, etc.", "The AU recognition tasks can also be performed using Local Binary Patterns (LBP) and Local Gabor Binary Patterns (LGBP).", "The HoG descriptor represents the face as a distribution of intensity gradients and edge directions and is robust in its ability to translate and scale.", "Differing patterns, including groupings of cells of various sizes and arranged in variously sized cell blocks, can be used.", "For example, 4×4 cell blocks of 8×8-pixel cells with an overlap of half of the block can be used.", "Histograms of channels can be used, including nine channels or bins evenly spread over 0-180 degrees.", "In this example, the HoG descriptor on a 96×96 image is 25 blocks×16 cells×9 bins=3600, the latter quantity representing the dimension.", "AU occurrences can be rendered.", "The videos can be grouped into demographic datasets based on nationality and/or other demographic parameters for further detailed analysis.", "This grouping and other analyses can be facilitated via semiconductor-based logic.", "FIG.", "9 is a flow diagram for detecting facial expressions.", "Cognitive states can be determined by detecting and analyzing facial expressions in images.", "Vehicle manipulation can be based on using occupant image analysis.", "Cognitive state data including facial data is collected an occupant of a vehicle, and a cognitive state profile is learned for the occupant.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is captured in a second vehicle and compared with the cognitive state profile.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The flow 900, or portions thereof, can be implemented in semiconductor logic, can be accomplished using a mobile device, can be accomplished using a server device, and so on.", "The flow 900 can be used to automatically detect a wide range of facial expressions.", "A facial expression can produce strong emotional signals that can indicate valence and discrete emotional states.", "The discrete emotional states can include contempt, doubt, defiance, happiness, fear, anxiety, and so on.", "The detection of facial expressions can be based on the location of facial landmarks.", "The detection of facial expressions can be based on determination of action units (AU), where the action units are determined using FACS coding.", "The AUs can be used separately or in combination to identify facial expressions.", "Based on the facial landmarks, one or more AUs can be identified by number and intensity.", "For example, AU12 can be used to code a lip corner puller and can be used to infer a smirk.", "The flow 900 begins by obtaining training image samples 910.The image samples can include a plurality of images of one or more people.", "Human coders who are trained to correctly identify AU codes based on the FACS can code the images.", "The training, or “known good”, images can be used as a basis for training a machine learning technique.", "Once trained, the machine learning technique can be used to identify AUs in other images that can be collected using a camera, a sensor, and so on.", "The flow 900 continues with receiving an image 920.The image can be received from a camera, a sensor, and so on.", "As previously discussed, the camera or cameras can include a webcam, where a webcam can include a video camera, a still camera, a thermal imager, a CCD device, a phone camera, a three-dimensional camera, a depth camera, a light field camera, multiple webcams used to show different views of a person, or any other type of image capture apparatus that can allow captured data to be used in an electronic system.", "The image that is received can be manipulated in order to improve the processing of the image.", "For example, the image can be cropped, scaled, stretched, rotated, flipped, etc.", "in order to obtain a resulting image that can be analyzed more efficiently.", "Multiple versions of the same image can be analyzed.", "In some cases, the manipulated image and a flipped or mirrored version of the manipulated image can be analyzed alone and/or in combination to improve analysis.", "The flow 900 continues with generating histograms 930 for the training images and the one or more versions of the received image.", "The histograms can be based on a HoG or another histogram.", "As described in previous paragraphs, the HoG can include feature descriptors and can be computed for one or more regions of interest in the training images and the one or more received images.", "The regions of interest in the images can be located using facial landmark points, where the facial landmark points can include outer edges of nostrils, outer edges of the mouth, outer edges of eyes, etc.", "A HoG for a given region of interest can count occurrences of gradient orientation within a given section of a frame from a video.", "The flow 900 continues with applying classifiers 940 to the histograms.", "The classifiers can be used to estimate probabilities, where the probabilities can correlate with an intensity of an AU or an expression.", "In some embodiments, the choice of classifiers used is based on the training of a supervised learning technique to identify facial expressions.", "The classifiers can be used to identify into which of a set of categories a given observation can be placed.", "The classifiers can be used to determine a probability that a given AU or expression is present in a given image or frame of a video.", "In various embodiments, the one or more AUs that are present include AU01 inner brow raiser, AU12 lip corner puller, AU38 nostril dilator, and so on.", "In practice, the presence or absence of multiple AUs can be determined.", "The flow 900 continues with computing a frame score 950.The score computed for an image, where the image can be a frame from a video, can be used to determine the presence of a facial expression in the image or video frame.", "The score can be based on one or more versions of the image or a manipulated image.", "The score can be based on a comparison of the manipulated image to a flipped or mirrored version of the manipulated image.", "The score can be used to predict a likelihood that one or more facial expressions are present in the image.", "The likelihood can be based on computing a difference between the outputs of a classifier used on the manipulated image and on the flipped or mirrored image, for example.", "The classifier can be used to identify symmetrical facial expressions (e.g.", "smile), asymmetrical facial expressions (e.g.", "outer brow raiser), and so on.", "The flow 900 continues with plotting results 960.The plotted results can include one or more scores for one or more frames computed over a given time t. For example, the plotted results can include classifier probability results from analysis of HoGs for a sequence of images and video frames.", "The plotted results can be matched with a template 962.The template can be temporal and can be represented by a centered box function or another function.", "A best fit with one or more templates can be found by computing a minimum error.", "Other best-fit techniques can include polynomial curve fitting, geometric curve fitting, and so on.", "The flow 900 continues with applying a label 970.The label can be used to indicate that a particular facial expression has been detected in the one or more images or video frames which constitute the image that was received 920.The label can be used to indicate that any of a range of facial expressions has been detected, including a smile, an asymmetric smile, a frown, and so on.", "Various steps in the flow 900 may be changed in order, repeated, omitted, or the like without departing from the disclosed concepts.", "Various embodiments of the flow 900 can be included in a computer program product embodied in a non-transitory computer readable medium that includes code executable by one or more processors.", "Various embodiments of the flow 900, or portions thereof, can be included on a semiconductor chip and implemented in special purpose logic, programmable logic, and so on.", "FIG.", "10 is a flow diagram for the large-scale clustering of facial events.", "Vehicle manipulation can be based on using occupant image analysis and can use results from large-scale clustering.", "A camera is used for collecting cognitive state data on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant.", "Further cognitive state data is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile, and the second vehicle is manipulated based on the comparing.", "Cognitive state events can include facial events, speech events, etc.", "The large-scale clustering of facial events can be performed for data collected from a remote computing device.", "The facial events can be collected from people as they interact with a vehicle.", "The clustering and evaluation of facial events can be augmented using a mobile device, a server, semiconductor-based logic, and so on.", "As discussed above, collection of facial video data from one or more people can include a web-based framework.", "The web-based framework can be used to collect facial video data from large numbers of people located over a wide geographic area.", "The web-based framework can include an opt-in feature that allows people to agree to facial data collection.", "The web-based framework can be used to render and display data to one or more people and can collect data from the one or more people.", "For example, the facial data collection can be based on showing one or more viewers a video media presentation through a website.", "The web-based framework can be used to display the video media presentation or event and to collect videos from multiple viewers who are online.", "That is, the collection of videos can be crowdsourced from those viewers who elected to opt-in to the video data collection.", "The video event can be a commercial, a political ad, an educational segment, and so on.", "The flow 1000 includes obtaining videos containing faces 1010.The videos can be obtained using one or more cameras, where the cameras can include a webcam coupled to one or more devices employed by the one or more people using the web-based framework.", "The flow 1000 continues with extracting features from the individual responses 1020.The individual responses can include videos containing faces observed by the one or more webcams.", "The features that are extracted can include facial features such as an eyebrow, a nostril, an eye edge, a mouth edge, and so on.", "The feature extraction can be based on facial coding classifiers, where the facial coding classifiers output a probability that a specified facial action has been detected in a given video frame.", "The flow 1000 continues with performing unsupervised clustering of features 1030.The unsupervised clustering can be based on an event.", "The unsupervised clustering can be based on a K-Means, where the K of the K-Means can be computed using a Bayesian Information Criterion (BICk), for example, to determine the smallest value of K that meets system requirements.", "Any other criterion for K can be used.", "The K-Means clustering technique can be used to group one or more events into various respective categories.", "The flow 1000 includes characterizing cluster profiles 1040.The profiles can include a variety of facial expressions such as smiles, asymmetric smiles, eyebrow raisers, eyebrow lowerers, etc.", "The profiles can be related to a given event.", "For example, a humorous video can be displayed in the web-based framework and the video data of people who have opted-in can be collected.", "The characterization of the collected and analyzed video can depend in part on the number of smiles that occurred at various points throughout the humorous video.", "Similarly, the characterization can be performed on collected and analyzed videos of people viewing a news presentation.", "The characterized cluster profiles can be further analyzed based on demographic data.", "The number of smiles resulting from people viewing a humorous video can be compared across various demographic groups, where the groups can be formed based on geographic location, age, ethnicity, gender, and so on.", "The flow 1000 can include determining cognitive state event temporal signatures 1050.The cognitive state event temporal signatures can include information on rise time to facial expression intensity, fall time from facial expression intensity, duration of a facial expression, and so on.", "In some embodiments, the cognitive state event temporal signatures are associated with certain demographics, ethnicities, cultures, etc.", "The cognitive state event temporal signatures can be used to identify one or more of drowsiness, fatigue, distraction, sadness, stress, happiness, anger, frustration, confusion, disappointment, hesitation, cognitive overload, focusing, engagement, attention, boredom, exploration, confidence, trust, delight, disgust, skepticism, doubt, satisfaction, excitement, laughter, calmness, curiosity, humor, depression, envy, sympathy, embarrassment, poignancy, or mirth.", "Various steps in the flow 1000 may be changed in order, repeated, omitted, or the like without departing from the disclosed concepts.", "Various embodiments of the flow 1000 can be included in a computer program product embodied in a non-transitory computer readable medium that includes code executable by one or more processors.", "Various embodiments of the flow 1000, or portions thereof, can be included on a semiconductor chip and implemented in special purpose logic, programmable logic, and so on.", "FIG.", "11 shows unsupervised clustering of features and characterizations of cluster profiles.", "Vehicle manipulation can be initiated using occupant image analysis based on clustering.", "A camera within a vehicle is used for collecting cognitive state data on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant.", "Further cognitive state data on the occupant is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile, and the second vehicle is manipulated based on the comparing.", "The clustering of features and characterizations of cluster profiles can be performed for data collected from a remote computing device.", "The clustering of features and characterizations of cluster profiles can be performed for people as they interact with a vehicle.", "The sub-sectional components can be used with performing the evaluation of content of the face.", "The sub-sectional components can be used to provide a context.", "Features including samples of facial data can be clustered using unsupervised clustering.", "Various clusters can be formed which include similar groupings of facial data observations.", "The example 1100 shows three clusters, clusters 1110, 1112, and 1114.The clusters can be based on video collected from people who have opted-in to video collection.", "When the data collected is captured using a web-based framework, the data collection can be performed on a grand scale, including hundreds, thousands, or even more participants who can be situated locally and/or across a wide geographic area.", "Unsupervised clustering is a technique that can be used to process the large amounts of captured facial data and to identify groupings of similar observations.", "The unsupervised clustering can also be used to characterize the groups of similar observations.", "The characterizations can include identifying behaviors of the participants.", "The characterizations can be based on identifying facial expressions and facial action units of the participants.", "Some behaviors and facial expressions can include faster or slower onsets, faster or slower offsets, longer or shorter durations, etc.", "The onsets, offsets, and durations can all correlate to time.", "The data clustering that results from the unsupervised clustering can support data labeling.", "The labeling can include FACS coding.", "The clusters can be partially or totally based on a facial expression resulting from participants viewing a video presentation, where the video presentation can be an advertisement, a political message, educational material, a public service announcement, and so on.", "The clusters can be correlated with demographic information, where the demographic information can include educational level, geographic location, age, gender, income level, and so on.", "The cluster profiles 1102 can be generated based on the clusters that can be formed from unsupervised clustering, with time shown on the x-axis and intensity or frequency shown on the y-axis.", "The cluster profiles can be based on captured facial data, including facial expressions.", "The cluster profile 1120 can be based on the cluster 1110, the cluster profile 1122 can be based on the cluster 1112, and the cluster profile 1124 can be based on the cluster 1114.The cluster profiles 1120, 1122, and 1124 can be based on smiles, smirks, frowns, or any other facial expression.", "The emotional states of the people who have opted-in to video collection can be inferred by analyzing the clustered facial expression data.", "The cluster profiles can be plotted with respect to time and can show a rate of onset, a duration, and an offset (rate of decay).", "Other time-related factors can be included in the cluster profiles.", "The cluster profiles can be correlated with demographic information, as described above.", "FIG.", "12A shows example tags embedded in a webpage.", "Vehicle manipulation can be based on using occupant image analysis.", "In some embodiments, screens within a vehicle can use embedded tags.", "A camera within a vehicle is used for collecting cognitive state data including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned for the occupant.", "Further cognitive state data is captured while the occupant is in a second vehicle.", "The further cognitive state data is compared with the cognitive state profile, and the second vehicle is manipulated based on the comparing.", "The tags embedded in the webpage can be used for image analysis for data collected from a remote computing device.", "The tags embedded in the webpage can be used by people as they interact with a vehicle.", "Once a tag is detected, a mobile device, a server, semiconductor-based logic, etc.", "can be used to evaluate associated facial expressions.", "A webpage 1200 can include a page body 1210, a page banner 1212, and so on.", "The page body can include one or more objects, where the objects can include text, images, videos, audio, and so on.", "The example page body 1210 shown includes a first image, image 1 1220; a second image, image 2 1222; a first content field, content field 1 1240; and a second content field, content field 2 1242.In practice, the page body 1210 can contain multiple images and content fields and can include one or more videos, one or more audio presentations, and so on.", "The page body can include embedded tags, such as tag 1 1230 and tag 2 1232.In the example shown, tag 1 1230 is embedded in image 1 1220, and tag 2 1232 is embedded in image 2 1222.In embodiments, multiple tags are imbedded.", "Tags can also be embedded in content fields, in videos, in audio presentations, etc.", "When a user mouses over a tag or clicks on an object associated with a tag, the tag can be invoked.", "For example, when the user mouses over tag 1 1230, tag 1 1230 can then be invoked.", "Invoking tag 1 1230 can include enabling a camera coupled to a user's device and capturing one or more images of the user as the user views a media presentation (or digital experience).", "In a similar manner, when the user mouses over tag 2 1232, tag 2 1232 can be invoked.", "Invoking tag 2 1232 can also include enabling the camera which can capture images of the user.", "In other embodiments, other actions are taken based on invocation of the one or more tags.", "Invoking an embedded tag can initiate an analysis technique, post to social media, award the user a coupon or another prize, initiate cognitive state analysis, perform emotion analysis, and so on.", "FIG.", "12B shows invoking tags to collect images.", "Vehicle manipulation can be based on using occupant image analysis.", "The occupant image analysis is based on collecting cognitive state data from the occupant and learning a cognitive state profile.", "Further cognitive state data is collected and compared to the cognitive state profile.", "A second vehicle is manipulated based on the comparing.", "The invoking tags to collect images can be used for image analysis for data collected from a remote computing device.", "The invoking tags to collect images can be used for people as they interact with a vehicle.", "As previously stated, a media presentation can be a video, a webpage, and so on.", "A video 1202 can include one or more embedded tags, such as a tag 1260, another tag 1262, a third tag 1264, a fourth tag 1266, and so on.", "In practice, multiple tags can be included in the media presentation.", "The one or more tags can be invoked during the media presentation.", "The collection of the invoked tags can occur over time, as represented by a timeline 1250.When a tag is encountered in the media presentation, the tag can be invoked.", "When the tag 1260 is encountered, invoking the tag can enable a camera coupled to a user device which can capture one or more images of the user viewing the media presentation.", "Invoking a tag can depend on opt-in by the user.", "For example, if a user has agreed to participate in a study by indicating an opt-in, then the camera coupled to the user's device can be enabled and one or more images of the user can be captured.", "If the user has not agreed to participate in the study and has indicated an opt-out, then invoking the tag 1260 does not enable the camera to capture images of the user during the media presentation.", "The user can indicate an opt-in for certain types of participation, where opting-in can be dependent on specific content in the media presentation.", "For example, the user could opt-in to participation in a study of political campaign messages and not opt-in for a particular advertisement study.", "In this case, tags that are related to political campaign messages, advertising messages, social media sharing, etc., and that enable the camera and image capture when invoked would be embedded in the media presentation social media sharing, and so on.", "However, tags embedded in the media presentation that are related to advertisements would not enable the camera when invoked.", "Various other situations of tag invocation are also possible.", "FIG.", "13 is an example showing a convolutional neural network (CNN).", "The convolutional neural network can be used for deep learning, where the deep learning can be applied to vehicle manipulation using occupant image analysis.", "Cognitive state data including facial data is collected on an occupant of a vehicle.", "A cognitive state profile is learned.", "Further cognitive state data is captured, and the further data is compared to the cognitive state profile.", "A second vehicle is manipulated based on the comparison of the further cognitive state data.", "The convolutional neural network can be applied to such tasks as cognitive state analysis, mental state analysis, mood analysis, emotional state analysis, and so on.", "Cognitive state data can include mental processes, where the mental processes can include attention, creativity, memory, perception, problem solving, thinking, use of language, or the like.", "Cognitive analysis is a very complex task.", "Understanding and evaluating moods, emotions, mental states, or cognitive states, require a nuanced evaluation of facial expressions or other cues generated by people.", "Cognitive state analysis is important in many areas such as research, psychology, business, intelligence, law enforcement, and so on.", "The understanding of cognitive states can be useful for a variety of business purposes, such as improving marketing analysis, assessing the effectiveness of customer service interactions and retail experiences, and evaluating the consumption of content such as movies and videos.", "Identifying points of frustration in a customer transaction can allow a company to take action to address the causes of the frustration.", "By streamlining processes, key performance areas such as customer satisfaction and customer transaction throughput can be improved, resulting in increased sales and revenues.", "In a content scenario, producing compelling content that achieves the desired effect (e.g.", "fear, shock, laughter, etc.)", "can result in increased ticket sales and/or increased advertising revenue.", "If a movie studio is producing a horror movie, it is desirable to know if the scary scenes in the movie are achieving the desired effect.", "By conducting tests in sample audiences, and analyzing faces in the audience, a computer-implemented method and system can process thousands of faces to assess the cognitive state at the time of the scary scenes.", "In many ways, such an analysis can be more effective than surveys that ask audience members questions, since audience members may consciously or subconsciously change answers based on peer pressure or other factors.", "However, spontaneous facial expressions can be more difficult to conceal.", "Thus, by analyzing facial expressions en masse in real time, important information regarding the general cognitive state of the audience can be obtained.", "Analysis of facial expressions is also a complex task.", "Image data, where the image data can include facial data, can be analyzed to identify a range of facial expressions.", "The facial expressions can include a smile, frown, smirk, and so on.", "The image data and facial data can be processed to identify the facial expressions.", "The processing can include analysis of expression data, action units, gestures, mental states, cognitive states, physiological data, and so on.", "Facial data as contained in the raw video data can include information on one or more of action units, head gestures, smiles, brow furrows, squints, lowered eyebrows, raised eyebrows, attention, and the like.", "The action units can be used to identify smiles, frowns, and other facial indicators of expressions.", "Gestures can also be identified, and can include a head tilt to the side, a forward lean, a smile, a frown, as well as many other gestures.", "Other types of data including the physiological data can be collected, where the physiological data can be obtained using a camera or other image capture device, without contacting the person or persons.", "Respiration, heart rate, heart rate variability, perspiration, temperature, and other physiological indicators of cognitive state can be determined by analyzing the images and video data.", "Deep learning is a branch of machine learning which seeks to imitate in software the activity which takes place in layers of neurons in the neocortex of the human brain.", "This imitative activity can enable software to “learn” to recognize and identify patterns in data, where the data can include digital forms of images, sounds, and so on.", "The deep learning software is used to simulate the large array of neurons of the neocortex.", "This simulated neocortex, or artificial neural network, can be implemented using mathematical formulas that are evaluated on processors.", "With the ever-increasing capabilities of the processors, increasing numbers of layers of the artificial neural network can be processed.", "Deep learning applications include processing of image data, audio data, and so on.", "Image data applications include image recognition, facial recognition, etc.", "Image data applications can include differentiating dogs from cats, identifying different human faces, and the like.", "The image data applications can include identifying cognitive states, moods, mental states, emotional states, and so on, from the facial expressions of the faces that are identified.", "Audio data applications can include analyzing audio such as ambient room sounds, physiological sounds such as breathing or coughing, noises made by an individual such as tapping and drumming, voices, and so on.", "The voice data applications can include analyzing a voice for timbre, prosody, vocal register, vocal resonance, pitch, loudness, speech rate, or language content.", "The voice data analysis can be used to determine one or more cognitive states, moods, mental states, emotional states, etc.", "The artificial neural network, such as a convolutional neural network, which forms the basis for deep learning is based on layers.", "The layers can include an input layer, a convolution layer, a fully connected layer, a classification layer, and so on.", "The input layer can receive input data such as image data, where the image data can include a variety of formats including pixel formats.", "The input layer can then perform processing such as identifying boundaries of the face, identifying landmarks of the face, extracting features of the face, and/or rotating a face within the plurality of images.", "The convolution layer can represent an artificial neural network such as a convolutional neural network.", "A convolutional neural network can contain a plurality of hidden layers within it.", "A convolutional layer can reduce the amount of data feeding into a fully connected layer.", "The fully connected layer processes each pixel/data point from the convolutional layer.", "A last layer within the multiple layers can provide output indicative of cognitive state.", "The last layer of the convolutional neural network can be the final classification layer.", "The output of the final classification layer can be indicative of the cognitive states of faces within the images that are provided to the input layer.", "Deep networks including deep convolutional neural networks can be used for facial expression parsing.", "A first layer of the deep network includes multiple nodes, where each node represents a neuron within a neural network.", "The first layer can receive data from an input layer.", "The output of the first layer can feed to a second layer, where the latter layer also includes multiple nodes.", "A weight can be used to adjust the output of the first layer which is being input to the second layer.", "Some layers in the convolutional neural network can be hidden layers.", "The output of the second layer can feed to a third layer.", "The third layer can also include multiple nodes.", "A weight can adjust the output of the second layer which is being input to the third layer.", "The third layer may be a hidden layer.", "Outputs of a given layer can be fed to next layer.", "Weights adjust the output of one layer as it is fed to the next layer.", "When the final layer is reached, the output of the final layer can be a facial expression, a cognitive state, a mental state, a characteristic of a voice, and so on.", "The facial expression can be identified using a hidden layer from the one or more hidden layers.", "The weights can be provided on inputs to the multiple layers to emphasize certain facial features within the face.", "The convolutional neural network can be trained to identify facial expressions, voice characteristics, etc.", "The training can include assigning weights to inputs on one or more layers within the multilayered analysis engine.", "One or more of the weights can be adjusted or updated during training.", "The assigning weights can be accomplished during a feed-forward pass through the multilayered neural network.", "In a feed-forward arrangement, the information moves forward from the input nodes, through the hidden nodes, and on to the output nodes.", "Additionally, the weights can be updated during a backpropagation process through the multilayered analysis engine.", "Returning to the figure, FIG.", "13 is an example showing a convolutional neural network 1300.The convolutional neural network can be used for deep learning, where the deep learning can be applied to avatar image animation using translation vectors.", "The deep learning system can be accomplished using a convolution neural network or other techniques.", "The deep learning can accomplish facial recognition and analysis tasks.", "The network includes an input layer 1310.The input layer 1310 receives image data.", "The image data can be input in a variety of formats, such as JPEG, TIFF, BMP, and GIF.", "Compressed image formats can be decompressed into arrays of pixels, wherein each pixel can include an RGB tuple.", "The input layer 1310 can then perform processing such as identifying boundaries of the face, identifying landmarks of the face, extracting features of the face, and/or rotating a face within the plurality of images.", "The network includes a collection of intermediate layers 1320.The multilayered analysis engine can include a convolutional neural network.", "Thus, the intermediate layers can include a convolution layer 1322.The convolution layer 1322 can include multiple sublayers, including hidden layers within it.", "The output of the convolution layer 1322 feeds into a pooling layer 1324.The pooling layer 1324 performs a data reduction, which makes the overall computation more efficient.", "Thus, the pooling layer reduces the spatial size of the image representation to reduce the number of parameters and computations in the network.", "In some embodiments, the pooling layer is implemented using filters of size 2×2, applied with a stride of two samples for every depth slice along both width and height, resulting in a reduction of 75-percent of the downstream node activations.", "The multilayered analysis engine can further include a max pooling layer.", "Thus, in embodiments, the pooling layer 1324 is a max pooling layer, in which the output of the filters is based on a maximum of the inputs.", "For example, with a 2×2 filter, the output is based on a maximum value from the four input values.", "In other embodiments, the pooling layer is an average pooling layer or L2-norm pooling layer.", "Various other pooling schemes are possible.", "The intermediate layers can include a Rectified Linear Units (RELU) layer 1326.The output of the pooling layer 1324 can be input to the RELU layer 1326.In embodiments, the RELU layer implements an activation function such as f(x)−max(0,x), thus providing an activation with a threshold at zero.", "In some embodiments, the RELU layer 1326 is a leaky RELU layer.", "In this case, instead of the activation function providing zero when x<0, a small negative slope is used, resulting in an activation function such as f(x)=1(x<0)(αx)+1(x>=0)(x).", "This can reduce the risk of “dying RELU” syndrome, where portions of the network can be “dead” with nodes/neurons that do not activate across the training dataset.", "The image analysis can comprise training a multilayered analysis engine using the plurality of images, wherein the multilayered analysis engine can include multiple layers that include one or more convolutional layers 1322 and one or more hidden layers, and wherein the multilayered analysis engine can be used for emotional analysis.", "The example 1300 includes a fully connected layer 1330.The fully connected layer 1330 processes each pixel/data point from the output of the collection of intermediate layers 1320.The fully connected layer 1330 takes all neurons in the previous layer and connects them to every single neuron it has.", "The output of the fully connected layer 1330 provides input to a classification layer 1340.The output of the classification layer 1340 provides a facial expression and/or cognitive state as its output.", "Thus, a multilayered analysis engine such as the one depicted in FIG.", "13 processes image data using weights, models the way the human visual cortex performs object recognition and learning, and is effective for analysis of image data to infer facial expressions and cognitive states.", "Machine learning for generating parameters, analyzing data such as facial data and audio data, and so on, can be based on a variety of computational techniques.", "Generally, machine learning can be used for constructing algorithms and models.", "The constructed algorithms, when executed, can be used to make a range of predictions relating to data.", "The predictions can include whether an object in an image is a face, a box, or a puppy, whether a voice is female, male, or robotic, whether a message is legitimate email or a “spam” message, and so on.", "The data can include unstructured data and can be of large quantity.", "The algorithms that can be generated by machine learning techniques are particularly useful to data analysis because the instructions that comprise the data analysis technique do not need to be static.", "Instead, the machine learning algorithm or model, generated by the machine learning technique, can adapt.", "Adaptation of the learning algorithm can be based on a range of criteria such as success rate, failure rate, and so on.", "A successful algorithm is one that can adapt—or learn—as more data is presented to the algorithm.", "Initially, an algorithm can be “trained” by presenting it with a set of known data (supervised learning).", "Another approach, called unsupervised learning, can be used to identify trends and patterns within data.", "Unsupervised learning is not trained using known data prior to data analysis.", "Reinforced learning is an approach to machine learning that is inspired by behaviorist psychology.", "The underlying premise of reinforced learning (also called reinforcement learning) is that software agents can take actions in an environment.", "The actions that are taken by the agents should maximize a goal such as a “cumulative reward”.", "A software agent is a computer program that acts on behalf of a user or other program.", "The software agent is implied to have the authority to act on behalf of the user or program.", "The actions taken are decided by action selection to determine what to do next.", "In machine learning, the environment in which the agents act can be formulated as a Markov decision process (MDP).", "The MDPs provide a mathematical framework for modeling of decision making in environments where the outcomes can be partly random (stochastic) and partly under the control of the decision maker.", "Dynamic programming techniques can be used for reinforced learning algorithms.", "Reinforced learning is different from supervised learning in that correct input/output pairs are not presented, and sub-optimal actions are not explicitly corrected.", "Rather, on-line or computational performance is the focus.", "On-line performance includes finding a balance between exploration of new (uncharted) territory or spaces, and exploitation of current knowledge.", "That is, there is a tradeoff between exploration and exploitation.", "Machine learning based on reinforced learning adjusts or learns based on learning an action, a combination of actions, and so on.", "An outcome results from taking an action.", "Thus, the learning model, algorithm, etc., learns from the outcomes that result from taking the action or combination of actions.", "The reinforced learning can include identifying positive outcomes, where the positive outcomes are used to adjust the learning models, algorithms, and so on.", "A positive outcome can be dependent on a context.", "When the outcome is based on a mood, emotional state, mental state, cognitive state, etc., of an individual, then a positive mood, emotion, mental state, or cognitive state can be used to adjust the model and algorithm.", "Positive outcomes can include a person being more engaged, where engagement is based on affect, the person spending more time playing an online game or navigating a webpage, the person converting by buying a product or service, and so on.", "The reinforced learning can be based on exploring a solution space and adapting the model, algorithm, etc., based on outcomes of the exploration.", "When positive outcomes are encountered, the positive outcomes can be reinforced by changing the weighting values within the model, algorithm, etc.", "Positive outcomes may result in increasing the weighting values.", "Negative outcomes can also be considered, where weighting values may be reduced or otherwise adjusted.", "FIG.", "14 illustrates a bottleneck layer within a deep learning environment.", "A bottleneck layer can be one of a plurality of layers in a deep neural network.", "The bottleneck layer can be used for vehicle manipulation using occupant image analysis.", "A deep neural network can apply classifiers such as image classifiers, audio classifiers, and so on.", "The classifiers can be learned by analyzing cognitive state data.", "Cognitive state data on an occupant of a vehicle is collected using a camera, and a cognitive state profile is learned.", "Further cognitive state data is captured, and the further cognitive state data is compared with the cognitive state profile.", "A second vehicle is manipulated based on the comparing of the further cognitive state data.", "Layers of a deep neural network can include a bottleneck layer 1400.A bottleneck layer can be used for a variety of applications such as facial recognition, voice recognition, emotional state recognition, and so on.", "The deep neural network in which the bottleneck layer is located can include a plurality of layers.", "The plurality of layers can include an original feature layer 1410.A feature such as an image feature can include points, edges, objects, boundaries between and among regions, properties, and so on.", "The deep neural network can include one or more hidden layers 1420.The one or more hidden layers can include nodes, where the nodes can include nonlinear activation functions and other techniques.", "The bottleneck layer can be a layer that learns translation vectors to transform a neutral face to an emotional or expressive face.", "In some embodiments, the translation vectors can transform a neutral sounding voice to an emotional or expressive voice.", "Specifically, activations of the bottleneck layer determine how the transformation occurs.", "A single bottleneck layer can be trained to transform a neutral face or voice to a different emotional face or voice.", "In some cases, an individual bottleneck layer can be trained for a transformation pair.", "At runtime, once the user's emotion has been identified and an appropriate response to it can be determined (mirrored or complementary), the trained bottleneck layer can be used to perform the needed transformation.", "The deep neural network can include a bottleneck layer 1430.The bottleneck layer can include a fewer number of nodes than the one or more preceding hidden layers.", "The bottleneck layer can create a constriction in the deep neural network or other network.", "The bottleneck layer can force information that is pertinent to a classification, for example, into a low dimensional representation.", "The bottleneck features can be extracted using an unsupervised technique.", "In other embodiments, the bottleneck features can be extracted using a supervised manner.", "The supervised technique can include training the deep neural network with a known dataset.", "The features can be extracted from an autoencoder such as a variational autoencoder, a generative autoencoder, and so on.", "The deep neural network can include hidden layers 1440.The number of the hidden layers can include zero hidden layers, one hidden layer, a plurality of hidden layers, and so on.", "The hidden layers following the bottleneck layer can include more nodes than the bottleneck layer.", "The deep neural network can include a classification layer 1450.The classification layer can be used to identify the points, edges, objects, boundaries, and so on, described above.", "The classification layer can be used to identify cognitive states, mental states, emotional states, moods, and the like.", "The output of the final classification layer can be indicative of the emotional states of faces within the images, where the images can be processed using the deep neural network.", "FIG.", "15 shows data collection including devices and locations 1500.Data, including video data and audio data, can be collected for vehicle manipulation using occupant image analysis.", "Video data including facial data is collected on a vehicle occupant, and a cognitive state profile is learned.", "Further cognitive state data is captured and compared with the cognitive state profile.", "A second vehicle is manipulated based on the comparison of the further cognitive state data.", "The multiple mobile devices, vehicles, and locations, can be used separately or in combination to collect video data on a user 1510.While one person is shown, the video data can be collected on multiple people.", "A user 1510 can be observed as she or he is performing a task, experiencing an event, viewing a media presentation, and so on.", "The user 1510 can be shown one or more media presentations, political presentations, social media, or another form of displayed media.", "The one or more media presentations can be shown to a plurality of people.", "The media presentations can be displayed on an electronic display coupled to a client device.", "The data collected on the user 1510 or on a plurality of users can be in the form of one or more videos, video frames, still images, etc.", "The plurality of videos can be of people who are experiencing different situations.", "Some example situations can include the user or plurality of users being exposed to TV programs, movies, video clips, social media, social sharing, and other such media.", "The situations could also include exposure to media such as advertisements, political messages, news programs, and so on.", "As noted before, video data can be collected on one or more users in substantially identical or different situations and viewing either a single media presentation or a plurality of presentations.", "The data collected on the user 1510 can be analyzed and viewed for a variety of purposes including expression analysis, mental state analysis, cognitive state analysis, and so on.", "The electronic display can be on a smartphone 1520 as shown, a tablet computer 1530, a personal digital assistant, a television, a mobile monitor, or any other type of electronic device.", "In one embodiment, expression data is collected on a mobile device such as a cell phone or smartphone 1520, a tablet computer 1530, a laptop computer, or a watch.", "Thus, the multiple sources can include at least one mobile device, such as a smartphone 1520 or a tablet computer 1530, or a wearable device such as a watch or glasses (not shown).", "A mobile device can include a front-side camera and/or a back-side camera that can be used to collect expression data.", "Sources of expression data can include a webcam, a phone camera, a tablet camera, a wearable camera, and a mobile camera.", "A wearable camera can comprise various camera devices, such as a watch camera.", "In addition to using client devices for data collection from the user 1510, data can be collected in a house 1540 using a web camera or the like; in a vehicle 1550 using a web camera, client device, etc.", "; by a social robot 1560; and so on.", "As the user 1510 is monitored, the user 1510 might move due to the nature of the task, boredom, discomfort, distractions, or for another reason.", "As the user moves, the camera with a view of the user's face can be changed.", "Thus, as an example, if the user 1510 is looking in a first direction, the line of sight 1522 from the smartphone 1520 is able to observe the user's face, but if the user is looking in a second direction, the line of sight 1532 from the tablet computer 1530 is able to observe the user's face.", "Furthermore, in other embodiments, if the user is looking in a third direction, the line of sight 1542 from a camera in the house 1540 is able to observe the user's face, and if the user is looking in a fourth direction, the line of sight 1552 from the camera in the vehicle 1550 is able to observe the user's face.", "If the user is looking in a fifth direction, the line of sight 1562 from the social robot 1560 is able to observe the user's face.", "If the user is looking in a sixth direction, a line of sight from a wearable watch-type device, with a camera included on the device, is able to observe the user's face.", "In other embodiments, the wearable device is another device, such as an earpiece with a camera, a helmet or hat with a camera, a clip-on camera attached to clothing, or any other type of wearable device with a camera or other sensor for collecting expression data.", "The user 1510 can also use a wearable device including a camera for gathering contextual information and/or collecting expression data on other users.", "Because the user 1510 can move her or his head, the facial data can be collected intermittently when she or he is looking in a direction of a camera.", "In some cases, multiple people can be included in the view from one or more cameras, and some embodiments include filtering out faces of one or more other people to determine whether the user 1510 is looking toward a camera.", "All or some of the expression data can be continuously or sporadically available from the various devices and other devices.", "The captured video data can include cognitive content, such as facial expressions, etc., and can be transferred over a network 1570.The network can include the Internet or other computer network.", "The smartphone 1520 can share video using a link 1524, the tablet computer 1530 using a link 1534, the house 1540 using a link 1544, the vehicle 1550 using a link 1554, and the social robot 1560 using a link 1564.The links 1524, 1534, 1544, 1554, and 1564 can be wired, wireless, and hybrid links.", "The captured video data, including facial expressions, can be analyzed on a cognitive state analysis engine 1580, on a computing device such as the video capture device, or on another separate device.", "The analysis could take place on one of the mobile devices discussed above, on a local server, on a remote server, and so on.", "In embodiments, some of the analysis takes place on the mobile device, while other analysis takes place on a server device.", "The analysis of the video data can include the use of a classifier.", "The video data can be captured using one of the mobile devices discussed above and sent to a server or another computing device for analysis.", "However, the captured video data including expressions can also be analyzed on the device which performed the capturing.", "The analysis can be performed on a mobile device where the videos were obtained with the mobile device and wherein the mobile device includes one or more of a laptop computer, a tablet, a PDA, a smartphone, a wearable device, and so on.", "In another embodiment, the analyzing comprises using a classifier on a server or another computing device other than the capture device.", "The analysis data from the cognitive state analysis engine can be processed by a cognitive state indicator 1590.The cognitive state indicator 1590 can indicate cognitive states, mental states, moods, emotions, etc.", "In embodiments, the cognitive content can include detection of one or more of drowsiness, fatigue, distraction, sadness, stress, happiness, anger, frustration, confusion, disappointment, hesitation, cognitive overload, focusing, engagement, attention, boredom, exploration, confidence, trust, delight, disgust, skepticism, doubt, satisfaction, excitement, laughter, calmness, curiosity, humor, depression, envy, sympathy, embarrassment, poignancy, or mirth.", "FIG.", "16 is a system diagram 1600 for vehicle manipulation.", "The vehicle manipulation can be based on using occupant image analysis.", "A camera within a vehicle is used for collecting cognitive state data, including facial data, on an occupant of a vehicle.", "A cognitive state profile is learned, on a first computing device, for the occupant based on the cognitive state data.", "Further cognitive state data on the occupant is captured, on a second computing device, while the occupant is in a second vehicle.", "The further cognitive state data is compared, on a third computing device, with the cognitive state profile that was learned for the occupant.", "The second vehicle is manipulated based on the comparing of the further cognitive state data.", "The network 1610, Internet, intranet, or another computer network, can be used for communication between and among various machines.", "An image and audio collection machine 1620 has a memory 1626 which stores instructions and one or more processors 1624 attached to the memory 1626, wherein the one or more processors 1624 can execute instructions.", "In some embodiments, the image and audio collection machine 1620 includes a camera 1628 and a microphone 1630, although in some embodiments, camera images and microphone audio can be obtained through external means (not shown).", "The image and audio collection machine 1620 can also have a network connection to carry cognitive state data 1660 and a display 1622 that can present cognitive state data, cognitive state profiles, mental state data, mental state profiles, emotional states, emotional state profiles, and so on.", "The image and audio collection machine 1620 can collect cognitive state data including facial data, voice data, audio data, etc., from an occupant of a vehicle.", "In some embodiments, there are multiple image and audio collection machines 1620 that each collect cognitive state data including facial data.", "Further embodiments include collecting voice data and augmenting the cognitive state data with the voice data.", "Once the cognitive state data 1660 has been collected, the image and audio collection machine 1620 can upload information to an analysis machine 1640 based on the cognitive state data from the occupant of the vehicle.", "The image and audio collection machine 1620 can communicate with the analysis machine 1640 over the network 1610, the Internet, some other computer network, or by another method suitable for communication between two machines.", "In some embodiments, the analysis machine 1640 functionality is embodied in the image and audio collection machine 1620.The analysis machine 1640 can have a network connection for cognitive states or cognitive state information 1662, a display 1642, a memory 1646 which stores instructions, and one or more processors 1644 attached to the memory 1646, wherein the one or more processors 1644 can execute instructions.", "The analysis machine 1640 can receive cognitive state information, collected from an occupant of the vehicle, from the image and audio collection machine 1620, and can learn a cognitive state profile for the occupant.", "The analysis machine 1640 can also compare further cognitive state data with the cognitive state profile while the occupant is in a second vehicle.", "In some embodiments, the analysis machine 1640 also allows a user to view and evaluate the cognitive state data and cognitive state profiles for the occupant of the vehicle on a display 1642.The analysis machine 1640 can then provide the cognitive state profile information 1664 to the manipulation machine 1650.In some embodiments, the image and audio collection machine 1620 can also function as the manipulation machine 1650.The manipulation machine 1650 can have a memory 1656 which stores instructions, and one or more processors 1654 attached to the memory 1656, wherein the one or more processors 1654 can execute instructions.", "The manipulation machine can use a computer network, the Internet, or another computer communication method, to request the cognitive state information 1662 from the analysis machine.", "The manipulation machine 1650 can receive cognitive state profile information 1664, based on the cognitive state data 1660, from the occupant of the vehicle.", "The cognitive state information and cognitive state profile information for the occupant can be presented on a display 1652.In some embodiments, the manipulation machine is set up to receive cognitive state data collected from an occupant of the vehicle, in a real-time or near real-time embodiment.", "In at least one embodiment, a single computer incorporates the image and audio collection machine, the analysis machine, and manipulation machine functionalities.", "In embodiments, a computer program product is embodied in a non-transitory computer readable medium for vehicle manipulation, the computer program product comprising code which causes one or more processors to perform operations of: collecting, using a camera within a vehicle, cognitive state data including facial data, on an occupant of a vehicle; learning a cognitive state profile for the occupant based on the cognitive state data; capturing further cognitive state data on the occupant while the occupant is in a second vehicle; comparing the further cognitive state data with the cognitive state profile that was learned for the occupant; and manipulating the second vehicle based on the comparing of the further cognitive state data.", "Each of the above methods may be executed on one or more processors on one or more computer systems.", "Embodiments may include various forms of distributed computing, client/server computing, and cloud-based computing.", "Further, it will be understood that for each flow chart in this disclosure, the depicted steps or boxes are provided for purposes of illustration and explanation only.", "The steps may be modified, omitted, or re-ordered and other steps may be added without departing from the scope of this disclosure.", "Further, each step may contain one or more sub-steps.", "While the foregoing drawings and description set forth functional aspects of the disclosed systems, no particular arrangement of software and/or hardware for implementing these functional aspects should be inferred from these descriptions unless explicitly stated or otherwise clear from the context.", "All such arrangements of software and/or hardware are intended to fall within the scope of this disclosure.", "The block diagrams and flowchart illustrations depict methods, apparatus, systems, and computer program products.", "Each element of the block diagrams and flowchart illustrations, as well as each respective combination of elements in the block diagrams and flowchart illustrations, illustrates a function, step or group of steps of the methods, apparatus, systems, computer program products, and/or computer-implemented methods.", "Any and all such functions may be implemented by computer program instructions, by special-purpose hardware-based computer systems, by combinations of special purpose hardware and computer instructions, by combinations of general purpose hardware and computer instructions, and so on.", "Any and all of which may be generally referred to herein as a “circuit,” “module,” or “system.” A programmable apparatus which executes any of the above-mentioned computer program products or computer implemented methods may include one or more microprocessors, microcontrollers, embedded microcontrollers, programmable digital signal processors, programmable devices, programmable gate arrays, programmable array logic, memory devices, application specific integrated circuits, or the like.", "Each may be suitably employed or configured to process computer program instructions, execute computer logic, store computer data, and so on.", "It will be understood that a computer may include a computer program product from a computer-readable storage medium and that this medium may be internal or external, removable and replaceable, or fixed.", "In addition, a computer may include a Basic Input/Output System (BIOS), firmware, an operating system, a database, or the like that may include, interface with, or support the software and hardware described herein.", "Embodiments of the present invention are not limited to applications involving conventional computer programs or programmable apparatus that run them.", "It is contemplated, for example, that embodiments of the presently claimed invention could include an optical computer, quantum computer, analog computer, or the like.", "A computer program may be loaded onto a computer to produce a particular machine that may perform any and all of the depicted functions.", "This particular machine provides a means for carrying out any and all of the depicted functions.", "Any combination of one or more computer readable media may be utilized.", "The computer readable medium may be a non-transitory computer readable medium for storage.", "A computer readable storage medium may be electronic, magnetic, optical, electromagnetic, infrared, semiconductor, or any suitable combination of the foregoing.", "Further computer readable storage medium examples may include an electrical connection having one or more wires, a portable computer diskette, a hard disk, a random-access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM, Flash, MRAM, FeRAM, or phase change memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical storage device, a magnetic storage device, or any suitable combination of the foregoing.", "In the context of this document, a computer readable storage medium may be any tangible medium that can contain, or store a program for use by or in connection with an instruction execution system, apparatus, or device.", "It will be appreciated that computer program instructions may include computer executable code.", "A variety of languages for expressing computer program instructions may include without limitation C, C++, Java, JavaScript™, ActionScript™, assembly language, Lisp, Perl, Tcl, Python, Ruby, hardware description languages, database programming languages, functional programming languages, imperative programming languages, and so on.", "In embodiments, computer program instructions may be stored, compiled, or interpreted to run on a computer, a programmable data processing apparatus, a heterogeneous combination of processors or processor architectures, and so on.", "Without limitation, embodiments of the present invention may take the form of web-based computer software, which includes client/server software, software-as-a-service, peer-to-peer software, or the like.", "In embodiments, a computer may enable execution of computer program instructions including multiple programs or threads.", "The multiple programs or threads may be processed more or less simultaneously to enhance utilization of the processor and to facilitate substantially simultaneous functions.", "By way of implementation, any and all methods, program codes, program instructions, and the like described herein may be implemented in one or more thread.", "Each thread may spawn other threads, which may themselves have priorities associated with them.", "In some embodiments, a computer may process these threads based on priority or other order.", "Unless explicitly stated or otherwise clear from the context, the verbs “execute” and “process” may be used interchangeably to indicate execute, process, interpret, compile, assemble, link, load, or a combination of the foregoing.", "Therefore, embodiments that execute or process computer program instructions, computer-executable code, or the like may act upon the instructions or code in any and all of the ways described.", "Further, the method steps shown are intended to include any suitable method of causing one or more parties or entities to perform the steps.", "The parties performing a step, or portion of a step, need not be located within a particular geographic location or country boundary.", "For instance, if an entity located within the United States causes a method step, or portion thereof, to be performed outside of the United States then the method is considered to be performed in the United States by virtue of the entity causing the step to be performed.", "While the invention has been disclosed in connection with preferred embodiments shown and described in detail, various modifications and improvements thereon will become apparent to those skilled in the art.", "Accordingly, the spirit and scope of the present invention is not to be limited by the foregoing examples, but is to be understood in the broadest sense allowable by law." ] ]
Patent_15875644
[ [ "REAL-TIME OR JUST-IN-TIME ONLINE ASSISTANCE FOR INDIVIDUALS TO HELP THEM IN ACHIEVING PERSONALIZED HEALTH GOALS", "A method and a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone with an integrated software application by uploading the photographs to a secured database of the software application of the mobile phone provided with specific text comments or requests placed in appropriately classified input queues for assigning to a qualified nutritionist or an Artificial Intelligence (AI) Program for analyzing the uploaded photographs and generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules, displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen.", "The system and method also comprises a container, a partition plate, a closable lid for storing the food items that are rejected by the nutritionist or the AI and a placemat printed with colored squares patterns." ], [ "1.A method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user to submit specific information for analyzing the uploaded photographs of the food items by Artificial Intelligence (AI) for providing suggested modifications/notifications comprising steps of: selecting a particular image and recognizing the individual food items in a meal to estimate their respective nutritional attributes and their corresponding values; analyzing the image algorithmically, applying the ranked weight-loss rules for the associated users, generating and composing suitable modifications to food items; setting up an output queue for saving the photographs with modifications and/or comments and automatically sending notifications to the respective registered users who uploaded the photographs; applying known exception rules to analyze and mark a particular image as an exception; analyzing and assigning a mark to a particular image as an exception if unrecognizable or not clear to be properly analyzed or if the program is unable to generate modifications; setting up an exception queue for queuing of photographs marked with exceptions and automatically send notifications to an expert panel or agent for further processing; displaying a timeline of past photographs, modifications and comments to the registered user for examination; and sending automated reminders/notifications including tips related to health, weight-loss, meal-time reminders or the like.", "2.The method according to claim 1, wherein the AI suggests modifications to reduce one item/to increase another item to compensate nutritional values based on specific user goals.", "3.The method according to claim 1, wherein each image in the output queue is consolidated with its respective identifiers, time-stamps, modifications, comments and other associated information to save the entire data set in the secured server database.", "4.The method according to claim 3, wherein the consolidated image is delivered to the registered users through push notifications as an entire data set or as a sub-data set.", "5.The method according to claim 4, wherein the sub-data set consisting of modifications, comments and associated information, but not the image uploaded by the registered user.", "6.The method according to claim 5, wherein the sub-data are overlaid on the existing copy of the uploaded image saved in the mobile phone and displayed as a consolidated view.", "7.The method according to claim 1, wherein after identifying the respective food items, the AI directs it to the nutritionist to estimate the nutritional attributes of the food items.", "8.The method according to claim 1, wherein after identifying the respective food items, the nutritionist directs it to the AI to estimate the nutritional attributes of the food items.", "9.The method according to claim 7, wherein the AI overlays color shading within the food item borders to indicate each item's respective dominant nutritional attribute thereby assisting the nutritionist to recognize the items need to be modified.", "10.The method according to claim 1, wherein the AI automatically edits the uploaded image by inserting text icons and graphics to convey the suggested modifications.", "11.The method according to claim 1, wherein the AI intimates a particular user the amount of nutritional attributes consumed on a particular day and recommends the items to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "12.The method according to claim 1, wherein the AI determines the current physical location of a user from the mobile phone to provide information relating to restaurants, menu items, grocery stores, and other places where meals or food items are available in the vicinity of the user's current location.", "13.The method according to claim 1, wherein the AI intimates a particular user the amount of nutritional attributes consumed on a particular day and recommend the items that are available in restaurants, grocery stores, etc., in the vicinity of the user's current location to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "14.The method according to claim 1, wherein the AI examines the uploaded pictures of the meal or the container or the device and estimate the nutritional attributes of the food items and generate reports relating to the amount of nutritional values consumed on a meal by meal, daily, weekly or other time period basis.", "15.The method according to claim 1, wherein automatically generates and displays personalized/opening messages along with a calorie or other nutritional attribute value to be consumed for that day or to be consumed for lunch or to be consumed for dinner.", "16.The method according to claim 1, wherein the AI automatically generates and displays personalized/opening messages with recommendations for the next meal as specific food items or recipe modifications or restaurant menu choices or products available in store shelves with modifications.", "17.The method according to claim 1, wherein the AI monitors eating patterns and flags serious issues that may prevent achievement of user objectives and initiates or recommends a counseling session with an adviser or to provide personalized or general advice." ], [ "<SOH> BACKGROUND <EOH>The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing." ], [ "FIELD OF INVENTION The present invention relates to providing an individual a real-time or an online assistance in achieving personalized health and wellness goals such as weight loss, adherence to various types of diets, prevention of heart disease or cancer, proper medication-taking, etc.", "Further, the present invention provides a smart-phone application with facilities for individuals to upload a picture of a meal they are about to consume and receive a real-time or just-in-time modification to the meal generated by a qualified nutritionist or an artificial intelligence (AI) program.", "Specifically, the present invention eliminates the need for individuals to remember dietary plans or guidelines or analyze any detailed information about the meal, and makes it very easy for individuals to maintain health.", "BACKGROUND The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration.", "SUMMARY OF THE INVENTION The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1a: illustrates the process flow for downloading and registering with the mobile phone application according to the preferred embodiment.", "FIG.", "1b: illustrates the process flow for generation of user-specific ranked weight-loss rules according to the preferred embodiment.", "FIG.", "2: illustrates the process flow for sending a meal-time reminder to the registered user according to the preferred embodiment.", "FIG.", "3: illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs according to the preferred embodiment.", "FIG.", "4a: illustrates the process flow for nutritionists to access the system for providing modifications according to the preferred embodiment.", "FIG.", "4b: illustrates the process flow for the AI Program to provide modifications according to the preferred embodiment.", "FIG.", "5: illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data according to the preferred embodiment.", "FIG.", "6: illustrates the process flow for escalating exceptions for further handling by experts according to the preferred embodiment.", "FIG.", "7: illustrates the process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications according to the preferred embodiment.", "FIG.", "8: illustrates the process flow for setting up ‘Help Groups’ for assistance according to the preferred embodiment.", "FIG.", "9: illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time according to the preferred embodiment.", "FIG.", "10: illustrates the process flow for requesting assistance from a nutritionist, dietician or other professional at any time according to the preferred embodiment.", "FIG.", "11: illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it according to the preferred embodiment.", "FIG.", "12a-b: illustrates a container into which rejected food items as part of the nutritionist's modification are placed according to the preferred embodiment.", "FIG.", "12c: illustrates a device for creating a partition on a plate into which rejected food items are placed according to the preferred embodiment.", "FIG.", "12d: illustrates a pouch-like device into which rejected food items are placed according to the preferred embodiment.", "FIG.", "13a: illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value according to the preferred embodiment.", "FIG.", "13b: illustrates a processed image of a plate with food items that are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item according to the preferred embodiment.", "FIG.", "14a: illustrates a placemat printed with grey and white squares of one-inch size to provide a sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "14b: illustrates a placemat printed with colored squares of one-inch size to provide color and sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "15: illustrates a plate partition as shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating the food items on the plate according to the preferred embodiment.", "FIG.", "16: illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside as the modification and report generation according to the preferred embodiment.", "FIG.", "17: illustrates the process flow for predicting a user's craving times and responding to it according to the preferred embodiment.", "FIG.", "18: illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user according to the preferred embodiment.", "FIG.", "19: illustrates the process flow for providing coaching to a user through two-way rich media according to the preferred embodiment.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A preferred embodiment of the present invention addresses the needs of individuals desiring to lose weight by modifying their food intake by analyzing the content of foods and assisting the individuals to adjust their eating to comply with their respective diet plans.", "The preferred embodiment provides a smart mobile phone application provided with facilities for individuals to upload a picture of a plate of food items or meals they are about to consume and receive a real-time or just-in-time modification to the meal generated by a nutritionist or an artificial intelligence (AI) program.", "The modification is generated based on the individual's personalized profile parameters, personalized weight-loss goals and the meal to be consumed as well as the history of meals previously consumed.", "Since the modification is generated at the point of consumption, the individual does not need to remember any dietary plans or guidelines or analyze any detailed information about the meal in order to make practical decisions about what to eat.", "The individual uploads a picture of the meal, receives a real time modification and eats the meal according to the modification.", "In the mobile application, individuals register as users and enter certain required profile parameters, and use the smart-phone camera to take photographs of meals and upload them to a secured database.", "Authorized nutritionists, dieticians or professionals examine the uploaded photographs and related information and compose specific modifications to the meals based on the individuals' respective profile parameters and their personalized weight-loss goals.", "The user receives a customized modification to the uploaded photograph by a qualified nutritionist.", "This greatly simplifies the weight-loss regimen.", "Nutritionists or dieticians edit or add pre-configured clarifying text, graphics, audio or video to the uploaded photographs in order to indicate the specific modifications.", "This embodiment also envisions the use of artificial intelligence techniques to algorithmically select uploaded photographs, apply user-specific weight-loss rules, generate and compose suitable modifications for the associated users.", "In cases where the photographs are not readable, the nutritionist or the artificial intelligence program marks them as exceptions and sets up a queue for further handling by more skilled human experts.", "Once the modifications are composed, push notifications are automatically sent to the respective users to view the modifications.", "The time of elapse of tracking for each photograph is monitored, and if it is elapsed beyond certain threshold limits delay notifications, tips and other information are automatically sent.", "The mobile application also displays a timeline of past photographs, modifications and comments, so the user may scroll back and forth to examine them at any time, zoom in to a specific past photograph, indicate actual adherence to the modifications and rate their quality, timeliness and effectiveness.", "The preferred embodiment also enables users to get answers to weight-loss or other health-related questions at any time by initiating a help request and directing it to a nutritionist or a dietician or other health professional, or an artificial intelligence program.", "Also, the users to get assistance in dealing with food cravings at any time by initiating a craving help request to assigned helper or friend groups, who may respond and attempt to distract the requesting user.", "A further provision aggregates, for a particular user, the nutritional values of the food ingested on a particular day, and suggest what items may be eaten at the end of the day to ensure that the user's specific daily dietary limits are not exceeded.", "This also provides information regarding nearby restaurant menus or grocery store items in the vicinity of the user's current location and suggests consuming the food items available in the restaurant menus or grocery stores.", "The present invention also provides a separate container or plate separator device for placing food items that are flagged as ‘do not eat at this meal’ or rejected as part of the nutritionist's modification, for ingestion by the user, a different person or animal, or for disposal, at a later time.", "In addition to this, the present invention also provides a placemat printed with grey and white or color patterned squares of standard size to provide a sizing and color reference, as assistance for estimating the nature, size and/or quantity of food items on a plate that is placed on top of the placemat.", "Referring now to FIG.", "1a, illustrates a process flow for downloading and registering with the mobile phone application by an individual user.", "An individual can locate and download the mobile application from play store or from a website and to activate the application by installing in the mobile phone [2].", "The application prompts the individual to enter the mobile phone number and receives a verification code and sends an authentication code as one-time-password to the mobile phone number for verification.", "The application then allows the user to enter the one-time-password [6].", "The system verifies the entered code and permits the user for further data entry [8] that includes personalized profile parameters, personalized health goal, other health goals, personalized weight-loss goals, etc.", "[10].", "The user's personalized profile parameters include age, gender, body shape, current weight & height, target weight, blood pressure, cholesterol, blood sugar, etc.", "The personalized health goals include target amount of weight to reduce, target amount of blood pressure or blood sugar to be attained, etc.", "Further, the user then accepts the terms and conditions of the system to attain the assistance of the application and completes the registration [12].", "The system stores the selected user data in the mobile phone application and in the secured server [14] with respective databases as secured server user database [16] and secured mobile app user database [18].", "Where, the user can be a person or a parent or a caregiver or a pet-owner seeking real-time assistance.", "FIG.", "1b, illustrates the process flow for generation of user-specific ranked weight-loss rules based on user-profile parameters and other user data from the secured server database.", "The system reads the user data and parameters [14] from the secured server user database [16].", "These user personalized profile parameters and other parameters such as recent meal history serve as inputs to the algorithms for generating the user specific ranked weight-loss rules.", "The ranked weight-loss rules also include meal modification rules that are generated by various algorithms for different health goals that are assigned based on the specific user's profile data and/or health goals.", "The system generates the user specific ranked rules for weight-loss [20] and stores the generated rules in a database of user specific ranked rules for weight loss [22].", "FIG.", "2, illustrates the process flow for sending a meal-time reminder to the registered user by prompting the user to activate the mobile application.", "The application runs a typical ‘Meal-Time Monitor’ routine [24] in the background that detects the occurrence of a ‘typical meal-time’, based on past history of meals and other relevant data entered by a registered user that is stored in the secured mobile application user database [18].", "When an impending meal-time is detected, the application displays a Meal-Time Reminder as a notification [26] that is displayed on the mobile phone screen.", "Simultaneously, the application also activates the camera and displays the camera icon for the user to capture a photograph of the next meal [28].", "The users can also use 2D or a 3D camera affixed to their eyeglass or other means to capture photographs of the food item/meals to be consumed.", "Further, the users are allowed to capture photographs of the food items at a grocery store to attain personalized instructions on their suitability, based on personalized health profile and other health goals.", "The registered user can also capture and upload additional or sequential photographs representing additional servings at a particular meal.", "The user captures multiple photographs of the meal [30], views them, and selects the best photograph with respect to clarity and uploads it [32] by clicking on the ‘upload’ button.", "Before uploading, the user is allowed to add specific graphical modifications or text comments or audio comments or requests and/or other related information to the captured photographs.", "These comments also include specific queries with respect to their weight-loss or health goals.", "Further, means are provided for the registered users to add annotations by clicking or touching on the mobile phone screen on certain food items in the modified image to indicate respective food item names and to provide specific requests to the nutritionist.", "Additionally, means are provided for the registered user to seek advice from the nutritionist or AI on what to eat for dessert at the end of the meal, given the meal that has just been consumed and receive a response; on what to eat for dinner at the end of the day, given all the meals that have been consumed thus far and receive a response and to seek advice on food item or recipe swaps to improve the quality of their nutritional intake.", "FIG.", "3, illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs to initiate further action.", "After uploading the best photographs by the registered users, the uploaded photographs are received, identified, time-stamped &associated with other user data [34], and stored in a secured database of uploaded photographs.", "All the data received from multiple users is stored in a secured database of meal photographs, time stamps and other user data [36].", "The system then sorts the data using pre-defined criteria and generates an input queue of photographs and associated data for further action by nutritionists, dieticians and other professionals [38].", "The System also generates an input queue of photographs for input to an Artificial Intelligence (AI) Program [40] and the further process is depicted in FIG.", "4b.", "The input queues are classified based on the specific text comments or requests attached to the uploaded photographs for assigning to a particular nutritionist or an AI.", "This classification is attained by providing specific filters that include by user, by associated nutritionist, by artificial intelligence program or the like for assigning the uploaded photographs.", "Additional filters are also provided based on meal modification rules or other criteria to further classify the input queues, thereby presenting an input queue of uploaded photographs to which same rules or criteria are to be applied.", "FIG.", "4a illustrates the process flow for nutritionists to access the system for providing modifications on the uploaded photographs of the food items.", "Nutritionists log in to the system to view the input queues of uploaded photographs, compose and save meal modifications.", "In the customary manner, nutritionists, dieticians or other authorized professionals log in to the system [44] after their authentication.", "Further, the system displays sorted input queues of photographs and associated information based on their respective authorizations [46].", "As new photographs are continuously uploaded by users, the system continuously refreshes the sorted input queues [48].", "A particular nutritionist, dietician or professional with proper authorizations, who has accessed his or her respective sorted queue, views thumbnails of the uploaded photographs and associated data and selects a particular photograph [50].", "The System then displays a larger version of the selected photograph along with the applicable Ranked Weight-loss Rules for the Particular User [60].", "The rules are generated using the Database of User-Specific Diet Rules [62] and the Database of User-Specific Ranked Rules for Weight Loss [22] according to the present embodiment.", "In other embodiments, the user-specific ranked rules may reflect other health objectives such as waist-reduction, heart-healthy, cancer-prevention, diabetes management, etc., or rules that enforce adherence to certain diets, e.g.", "DASH (Dietary Approaches to Stop Hypertension) Diet, etc.", "The Nutritionist analyzes the selected photograph and categorizes the food items in the meal [52].", "The nutritionist then applies the user's respective weight-loss rules to compose specific Meal Modifications and Comments by using web-based applications or mobile phone applications or tablet-based applications, and saves those [54] to the Secured Output Queue [66].", "The composed meal modifications and comments by the nutritionist include text based or graphic based comments or modifications on the photographs and also estimates of the nutritional attributes and their corresponding values of the food items or meals to be consumed or rejected by a user.", "The text based comments include generic eating instructions, personalized eating instructions, pre-configured textual comments and textual indicators on the modifications to indicate the increase or decrease in the quantity of the food to be consumed.", "The graphic based comments include free-form line drawings, visual effects for increasing/decreasing the appearance of the food items, pre-configured clarifying graphics, pre-configured graphical indicators to indicate the increase or decrease in the quantity of the food to be consumed, magnification/de-magnification of specific food items indicating consumption levels.", "Means are provided for vocal comments and video comments to be attached.", "These comments are stored in the secured database as a data set associated with a specific image or from a specific registered user.", "All these Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "If the nutritionist is unable to recognize or categorize the food items in any photograph, then the nutritionist generates an exception for that particular photograph [58].", "The nutritionist makes suggestions to reduce one item or to increase other items to compensate for nutritional values based on the specific user goals.", "Also, the nutritionist manually composes specific modifications to photographs based on the user's past meal history or user's specific dietary restrictions, profile parameters, personalized weight-loss goals or personalized diet plans.", "In one embodiment, there exists a means on the mobile phone to view a suggested eating sequence for eating food items in the photograph which is automatically projected by the registered user by clicking on the said means include an icon or the like.", "This suggested eating sequence can be an unconventional sequence projected as a numbered list.", "The exception marked photographs [58] are reverted back to the respective registered user, thereby enabling the user to modify or add clarifying comments to that particular food item and re-upload the photograph.", "In one embodiment, the nutritionist provides appropriate observations, or asks questions to a particular user depending on their diet; determines the consumable calories by a particular user based on the target amount of calories to be reduced, and determine the quantity of food items to be reduced/increased; uses pre-configured or free graphical/alphanumeric editing tools to indicate the food items to be modified and also sends encouraging or congratulatory comments when the plate of food needs no suggestions.", "In all embodiments, the nutritionist may be a dietician or a veterinarian or other professional, providing assistance to individual adults, parents on behalf of children, adults on behalf of their parents, pet-owners and so on.", "FIG.", "4b illustrates the process flow for the AI Program to provide modifications on the uploaded photographs of the food items.", "The automated programs using artificial intelligence (AI) techniques access input queues of uploaded photographs and associated data, select a photograph, access the associated data and user-specific weight-loss rules to generate and compose modifications and comments.", "The AI program selects a photograph in the input queue [72] to apply known exception rules from the database Known Exception Rules Database [76] on the selected photograph [74].", "If exception rules apply, the AI Program generates an exception immediately [82] and assigning an exception mark to that particular image if unrecognizable or not clear to be properly analyzed or if the program is unable to generate modifications.", "The AI program sets up an exception queue for queuing of photographs marked with exceptions and automatically send notifications to an expert panel or agent for further processing and selects the next photograph from the input queue.", "The AI program analyzes the selected photograph, categorizes the food Items and applies user rules [78] to compose meal modifications [80] and saves them to a Secured Output Queue [66].", "All Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "The user-specific diet and weight-loss rules [78] are generated using the database of User-Specific Diet Rules [62] and the database of user-specific weight-loss rules based on their respective profile data [64] stored in the database of User-Specific Ranked Weight Loss Rules [22].", "The AI program also displays a timeline of past photographs, modifications and comments to the registered user for examination and sends automated reminders or notifications including tips related to health, weight-loss, meal-time reminders or the like.", "In one embodiment, the AI program suggests modifications to reduce one item or to increase another item to compensate nutritional values based on specific user goals and overlays color shading within the food item borders to indicate each item's respective dominant nutritional attribute thereby assisting the nutritionist to recognize the items need to be modified.", "The AI program automatically edits the uploaded image by inserting text icons and graphics to convey the suggested modifications for approval by the nutritionist.", "In one embodiment, the AI directs the nutritionist to estimate the nutritional attributes of the food items after identifying the respective food items, and by intimating the particular user's amount of nutritional attributes consumed on a particular day, enables the nutritionist to recommend the items to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In another embodiment, the AI determines the current physical location of a user from the mobile phone to provide information relating to restaurants, menu items, grocery stores, and other places where meals or food items are available in the vicinity of the user's current location and intimates a particular user the amount of nutritional attributes consumed on a particular day and recommends the items that are available in restaurants, grocery stores, etc., in the vicinity of the user's current location to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In yet another embodiment, the AI intimates the user on the amount of nutritional values to be consumed on a meal by meal, daily, weekly or other time period basis.", "In one embodiment, the AI automatically generates and displays personalized/opening messages along with a calorie or other nutritional attribute value to be consumed for that day or to be consumed for lunch or to be consumed for dinner; automatically generates and displays personalized/opening messages with recommendations for the next meal as specific food items or recipe modifications or restaurant menu choices or products available in store shelves with modifications.", "In another embodiment, the AI monitors and analyzes the user's eating patterns and flags serious issues that prevent achievement of user objectives, and initiates or recommends a counseling session with an adviser or different AI program to provide personalized or general advice.", "FIG.", "5 illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data to them.", "The system notifies the users that a modification is ready to be viewed and enables the user to view the modification and comments.", "The System continuously scans the Secured Output Queue [66], Selects the next available item, reads the user bandwidth setting from the user profile parameters [84] stored in the Secured Server User Database [16].", "Each image in the output queue is consolidated with the its respective identifiers, time-stamps, modifications, comments and other associated information to save the entire data set in the secured server database.", "Based on the user bandwidth setting, the system either composes the full dataset [86], including the uploaded photograph, modifications, comments, and other associated information that is to be sent to the respective mobile phone, or the system composes a subset that excludes the uploaded photograph [88].The system then identifies the user's mobile number and sends a push notification with the full data set [90], or the data subset [96].", "The sub-data set consists of modifications, comments and associated information, but not the image uploaded by the registered user.", "The user upon seeing the push notification opens it [92], and the mobile application automatically displays the full dataset, including the photograph, modifications and comments [94] or overlays the data subset on the photograph in the mobile application database, and then displays the photograph, modifications and comments [98].", "FIG.", "6 illustrates the process flow for escalating exceptions for further handling by experts.", "An exception is manually generated by a nutritionist if he or she is unable to recognize or categorize items in an uploaded photograph [58].", "Similarly, the AI Program generates an immediate exception if known exception rules applied to a particular uploaded photograph [82].", "In both cases, the subject photographs and associated data are flagged as exceptions and transferred to an exception queue [100].", "An expert nutritionist selects a particular photograph from the exception queue [102], analyzes the selected photograph and categorizes the food items [104] to compose the modification [110] by applying the weight-loss rules for the particular user [60] and save these modifications to the Secured Output Queue[66].", "If the expert nutritionist is unable to analyze the Photograph, he or she appends an ‘Apology’ customer service type message [106] and saves it to the Secured Output Queue [66].", "FIG.", "7 illustrates the user process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications by providing rating on the quality of the modifications.", "The registered user activates the mobile application [112], opens the Timeline view and scrolls through the photographs [114].", "The user then selects a particular photograph and views it, the modifications and comments in more detail [116].", "In one embodiment, means are provided in the Timeline view for the user to enter health information such as weight, waist circumference, blood pressure, blood sugar, etc.", "manually/automatically by importing from various devices.", "While viewing the photograph, modifications and comments, user may evaluate and rate the quality and effectiveness of the modifications [118], by selecting the appropriate graphical or other indicators provided in the display.", "The evaluation is also attained by providing comments, star-rating on a scale representing the quality of the modifications or by dragging on the timeline by holding a slider.", "The user rating is stored in the Modification Rating Database [120].", "The user may also enter his or her adherence to the modifications by selecting the provided indicators [122], for example, whether he or she implemented the modifications fully or partially by uploading a second image depicting the actual post-consumption left-over' s or unconsumed food items set aside.", "Adherence indications are stored in the User Adherence Database [124].", "FIG.", "8 illustrates the process flow for setting up ‘help groups’ for assistance by selecting individuals from their known contacts as well as from other users of the mobile application.", "The user may call upon any of the groups for assistance in dealing with a food craving, at any time of day.", "Any individual or individuals from the called-upon group may respond via any means available and try to distract or dissuade the user from succumbing to the craving.", "It is not necessary for ‘Help Friend’ Group members to be registered users of the application or services.", "The user activates the app in the usual manner [112], and uses the app function to set up at least one named ‘Help Friend’ groups [130].", "Multiple such groups can be set up.", "The user then selects certain personal contacts in order to invite them to join that particular group [132].", "The system immediately sends Pre-Configured Text Message (SMS) invitation to the invitees [134], and resends the invitation one more time if any invitee does not respond after a set period [136].", "If an invitee responds in the affirmative [138], then the system registers that invitee as a member of the user's named ‘Help Friend’ group [140].", "If an invitee responds in the negative [142], the system does not register that invitee as a member of the user's named ‘Help Friend’ group and notifies the user that the invitation has been declined [144].", "FIG.", "9 illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time of the day.", "The user activates the app in the usual manner [112].", "Assuming at least one named ‘Help Friend’ group with at least one contact has been set-up.", "The user can request assistance in dealing with a food craving at any time by selecting a particular named ‘Help Friend’ group [146].", "The system immediately dispatches ‘Help’ push notifications to the members of the selected named group [148].", "Any member of the named group, regardless of whether or not they are registered users, responds by any available means to help the user [150], including SMS (text messaging), chats, phone call, sending information (e.g.", "jokes, cartoons, videos, links, etc.)", "and attempts to distract the requesting registered user from the craving.", "Craving help requests are maintained open for a specified duration and are automatically closed after receiving at least one response, or at the end of the duration, whichever occurs first.", "Alternatively, the AI automatically responds to the craving request to distract the registered user from the craving at typical snack-craving times or at anytime or if there is no response from any helper or group after a set duration.", "This AI automatically engages different friends when having different kinds of cravings by mapping specific cravings to a given friend's profile, sends a reminder or a notification to a specially-designated friend to proactively distract a specific registered user from a craving and analyzes patterns of craving to predict the next time of day when a registered user might get a craving and proactively suggests or engages a friend to respond to the craving.", "FIG.", "10 illustrates the process flow for requesting assistance from a helper, nutritionist, dietician or other professional (‘Expert’) at any time.", "The user may have a diet related question, may have taken a photograph of a meal and want a modification, or may want a modification to a recipe before cooking, or may be at a restaurant and want a menu item modification, etc.", "The user activates the app in the usual manner [112].", "Assuming at least one ‘Expert’ with his or her contact information has been set up, the user can request help with any relevant subject matter as described above.", "The user composes a help request [152] by adding textual data and attaching photographs or other documents to the request.", "The user then selects at least one expert contact to send the request [154].", "The system immediately sends a push notification to the selected expert [156].", "The expert views the help request [158] and responds [160] by any available means to help the user, including SMS (text messaging), chats, phone call, or sending information (e.g.", "jokes, cartoons, videos, links, etc.", "), or suggest modifications using textual and graphical tools.", "FIG.", "11 illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it and stored in their respective databases.", "The system reads the modification quality ratings in the modification rating database [120], sorts the data by nutritionist and calculates an overall quality rating that is, for example, an average of user ratings for a particular nutritionist over a set time period [162].", "It then stores the nutritionist-respective ratings in the database of modification quality ratings by nutritionist [164].", "Similarly, the system reads the user adherence database [124] sorts the data by user and calculates the user adherence indicator for each user [166].", "It then stores the user-respective indicators in the database of user adherence to modifications by user [168].", "FIGS.", "12a-b illustrates a container into which rejected food items as part of the nutritionist's modification are placed.", "The container [170] accommodates food items that are flagged as ‘not for eating at this meal’ representing rejected food items as part of the nutritionist modification, for disposal or ingestion at a later time.", "In one embodiment, the container [172] is inbuilt into a plate [174] equipped with a separate lid [176] for accommodating food items that are flagged as ‘not for eating at this meal’ representing rejected as part of the nutritionist modification as shown in FIG.", "12b.", "FIG.", "12c illustrates a device for creating a partition on the plate into which rejected food items are placed.", "The device [178] that creates a partition on the plate [174] into which food items flagged as ‘not for eating at this meal’, representing rejected as part of the nutritionist's modification for consumption or disposal at later stage.", "FIG.", "12d illustrates a pouch-like device into which rejected food items are placed.", "The pouch-like device into which food items flagged as ‘not for eating at this meal’ as part of the nutritionist's modification for consumption or disposal at a later stage.", "FIG.", "13a illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value depicting white for food items that are mostly carbohydrate, like rice, red for protein-dominant items or green for vegetable/fiber-dominant items.", "FIG.", "13b illustrates a processed image of a plate with food items on which are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item such as, for example, a pie chart on a rice-based item showing a majority of carbohydrate ‘C’, followed by fiber ‘F’, protein ‘P’ and sodium ‘S’.", "FIG.", "14a a placemat printed with grey and white squares of one-inch or standard size to provide a sizing reference as an aid for estimating the size and/or quantity of the food items on a plate that is placed on top of the placemat.", "FIG.", "14b illustrates a placemat printed with colored squares of one-inch or standard size to provide color and sizing reference as an aid for identifying the food items and estimating their size and/or quantity on a plate that is placed on top of the placemat.", "FIG.", "15 shows a plate partition device shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating food items on the plate.", "FIG.", "16 illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside or rejected as the modification, and report generation.", "The photograph of a plate of food or modification is analyzed [251] for individual food items, identified and labeled [252], and their respective number or quantities are estimated [253].The total nutritional attribute values such as carbohydrate, protein, fiber, etc.", "of each food item in the photograph are calculated [255], by taking the product of the quantity or number of a specific food item and its respective nutritional attribute values from a database [254] of such values for a large number of food items.", "The calculated values are displayed [259] in tabular form and each item is labeled by its predominant nutritional attribute [260].", "These calculations are stored in a database [258], and personalized reports are generated [261] for individual users.", "These reports also depict past trends, current status and future predictions and display them at the time of analyzing.", "FIG.", "17 illustrates the process flow for predicting a user's craving times and generating proactive distractions to it.", "Snacking adds unnecessary calories, carbs, sodium, fats etc., to the daily intake and can thwart weight-loss.", "A user may get a craving at some time of day for a snack; these cravings typically last several minutes and by distracting the person, attention is diverted and the craving passes.", "The ‘Buzz’ function is a means to request ‘distractions’ from friends or others.", "When a user has a craving and uses the ‘Buzz’ function [271], the use is time-stamped [272], and the buzz requests are stored in a database [273].", "These requests are analyzed and typical craving times of day are computed [274], for that particular user and stored in a database [275].", "At a typical craving time [276], the system checks if the user has already used the Buzz function [277].", "If the user has not used the Buzz function, the system automatically sends a proactive Buzz request to the user's friends [279].", "If the user has already used the Buzz function, no action is taken and any automated buzz is suspended [278].", "After a predetermined delay, the system automatically sends a buzz response to the user [281], drawing from a database of automatic buzz responses [280].", "All buzzes and responses are recorded [282] and stored in a database [283].", "FIG.", "18 illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user and providing personalized advice.", "At any preset time, e.g.", "end of day [293], the AI, nutritionists or other staff analyze the user-uploaded images [294], from the database of user-uploaded images [295], applying issue-analysis criteria [292].", "The criteria may include ‘eating the same food items 3 days in a row’, ‘eating more than 5 servings of carbohydrates’, etc.", "The findings from the analysis are stored in a database [296].", "A nutritionist or other staff professional views the findings for a particular user and manually composes personalized tips [297] that are stored in a database of personalized tips [300].", "At some convenient time of day, the system automatically sends the personalized tips to the respective user [301].", "Alternatively, an artificial intelligence (AI) program [298] may compose such personalized tips.", "An artificial intelligence program [299] also flags issues that may be detrimental to achievement of user objectives (such as eating too many calories, which would not help achieve a weight-loss objective) and store such issues in a database [302].", "This database may be used by a counselor to provide advice to the user on flagged issues [303].", "The artificial intelligence program [304] would use the database [302] to compose and send personalized messages to advise the user about their respective flagged issues.", "FIG.", "19 illustrates the process flow for providing coaching to a user through two-way rich media.", "The registered user's questions are received [311] by the system and stored in a database [312].", "An authorized or user-assigned nutritionist [313] selects a question and views associated user information [314], which may be in the forms of text, images, graphics, voice, etc.", "stored in a database [315].", "Based on analysis of the questions and associated information, the nutritionist or other professional manually composes a multi-media response [317], selecting and attaching appropriate content from the database [316] and storing the response in a database [319].", "Alternatively, an artificial intelligence program [318] may compose and store the response.", "The system sends the response to the user [320].", "The user may view the response and enter further clarifying questions or comments [321].", "Such two-way interactions continue until the user concludes the interaction.", "Various modifications and adaptations on the described preferred embodiments can be configured without departing from the scope and spirit of the invention.", "Therefore, it is to be understood that within the scope of the appended claims, the invention may be practiced other than as specifically described herein." ] ]
Patent_15875653
[ [ "METHOD FOR SETTING A GEAR RATIO OF A FAN DRIVE GEAR SYSTEM OF A GAS TURBINE ENGINE", "A gas turbine engine includes a fan with a plurality of fan blades rotatable about an engine centerline longitudinal axis.", "The fan has a low corrected fan tip speed less than 1400 ft/sec.", "A bypass ratio is greater than 13 and less than 20.", "A fan pressure ratio less than 1.48.", "A speed reduction device comprises a gear system with a gear ratio of at least 2.6 and less than or equal to 4.1.", "A low and high pressure turbine is in communication with a first and second shaft, respectively.", "The low pressure turbine includes at least three stages and no more than four stages.", "The high pressure turbine includes two stages.", "The gear ratio is configured such that in operation the fan blade does not exceed a fan tip speed boundary condition or a second stress level.", "A low pressure turbine rotor does not exceed a first stress level." ], [ "1.A gas turbine engine comprising: an engine centerline longitudinal axis; a fan section including a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis, wherein the fan has a low corrected fan tip speed less than 1400 ft/sec, wherein the low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5, where T represents the ambient temperature in degrees Rankine; a bypass ratio greater than 13 and less than 20; a fan pressure ratio less than 1.48, wherein the fan pressure ratio is measured across a fan blade alone; a speed reduction device comprising a gear system with a gear ratio of at least 2.6 and less than or equal to 4.1; a plurality of bearing systems; a low pressure turbine in communication with a first shaft; and a high pressure turbine in communication a second shaft; wherein the first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis, and the first shaft is in communication with the fan through the speed reduction device; wherein the low pressure turbine includes at least three stages and no more than four stages; wherein the high pressure turbine includes two stages; and wherein the low pressure turbine includes at least one rotor constrained by a first stress level, at least one of the plurality of fan blades of the fan constrained by a second stress level and having a fan tip speed boundary condition, and the gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level, and the low pressure turbine rotor does not exceed the first stress level.", "2.The gas turbine engine of claim 1, wherein the low pressure turbine includes four stages.", "3.The gas turbine engine of claim 2, wherein the gear system is a star gear system with a ring gear, and a sun gear, wherein the gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "4.The gas turbine engine of claim 2, wherein the fan pressure ratio is less than 1.38.5.The gas turbine engine of claim 3, wherein the fan pressure ratio is less than 1.38.6.A gas turbine engine comprising: an engine centerline longitudinal axis; a fan section including a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis, wherein the fan has a low corrected fan tip speed less than 1400 ft/sec, wherein the low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5, where T represents the ambient temperature in degrees Rankine; a bypass ratio greater than 11.0 and less than 22.0; a fan pressure ratio less than 1.38, wherein the fan pressure ratio is measured across a fan blade alone; a speed reduction device comprising a gear system; a plurality of bearing systems; a low pressure turbine in communication with a first shaft; and a high pressure turbine in communication with a second shaft; wherein the first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis, and the first shaft is in communication with the fan through the speed reduction device; wherein the high pressure turbine includes two stages; and wherein the low pressure turbine includes at least one rotor constrained by a first stress level, at least one of the plurality of fan blades of the fan constrained by a second stress level and having a fan tip speed boundary condition, and the gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level, and the low pressure turbine rotor does not exceed the first stress level.", "7.The gas turbine engine of claim 6, wherein the low pressure turbine includes five stages.", "8.The gas turbine engine of claim 6, further comprising a low pressure compressor including three stages, wherein the low pressure turbine drives the low pressure compressor.", "9.The gas turbine engine of claim 6, wherein the low pressure turbine includes at least three stages and no more than four stages.", "10.The gas turbine engine of claim 6, wherein the speed reduction device includes a gear ratio less than or equal to 4.1.11.The gas turbine engine of claim 10, wherein the gear ratio is greater than or equal to 2.6.12.The gas turbine engine of claim 9, wherein the low pressure turbine includes four stages.", "13.The gas turbine engine of claim 12, further comprising a mid-turbine frame arranged between the high pressure turbine and the low pressure turbine, wherein the mid-turbine frame supports at least one bearing system.", "14.The gas turbine engine of claim 13, wherein the mid-turbine frame includes one or more airfoils that extend in a flow path.", "15.The gas turbine engine of claim 12, further comprising a low pressure compressor including three stages, wherein the low pressure turbine drives the low pressure compressor.", "16.The gas turbine engine of claim 15, further comprising a high pressure compressor including eight stages, wherein the high pressure turbine drives the high pressure compressor.", "17.The gas turbine engine of claim 12, the star gear system further comprising five intermediate gears.", "18.The gas turbine engine of claim 17, wherein the gear system is a star gear system with a ring gear, a sun gear, and a star gear ratio, and the star gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "19.The gas turbine engine of claim 17, further comprising a mid-turbine frame arranged between the high pressure turbine and the low pressure turbine, wherein the mid-turbine frame supports at least one bearing system and includes one or more airfoils.", "20.A gas turbine engine comprising: an engine centerline longitudinal axis; a fan section including a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis; a low corrected fan tip speed less than 1400 ft/sec, wherein the low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5, where T represents the ambient temperature in degrees Rankine; a bypass ratio of greater than 11.0; a speed reduction device comprising a gear system; a plurality of bearing systems; a low pressure turbine in communication with a first shaft and includes a pressure ratio greater than about 5:1, the low pressure turbine includes an inlet having an inlet pressure, and an outlet having an outlet pressure, and the pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure; and a high pressure turbine in communication with a second shaft; wherein the first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis, and the first shaft is in communication with the fan through the speed reduction device; wherein the low pressure turbine includes at least three stages and no more than four stages; and wherein the low pressure turbine includes at least one rotor constrained by a first stress level, at least one of the plurality of fan blades of the fan constrained by a second stress level and having a fan tip speed boundary condition, and the gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level, and the low pressure turbine rotor does not exceed the first stress level.", "21.The gas turbine engine of claim 20, wherein the high pressure turbine includes two stages.", "22.The gas turbine engine of claim 21, wherein the low pressure turbine includes three stages.", "23.The gas turbine engine of claim 21, wherein the gear system has a gear ratio of less than or equal to 4.1.24.The gas turbine engine of claim 23, wherein the gear reduction ratio is at least 2.6.25.The gas turbine engine of claim 24, wherein the gear system is a star gear system with a ring gear, and a sun gear, wherein the gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "26.The gas turbine engine of claim 24, wherein the low pressure turbine includes four stages.", "27.A gas turbine engine comprising: an engine centerline longitudinal axis; a fan section including a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis; a low corrected fan tip speed less than 1400 ft/sec, wherein the low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5, where T represents the ambient temperature in degrees Rankine; a bypass ratio greater than 11 and less than 22; a speed reduction device comprising a gear system with a gear ratio; a plurality of bearing systems; a low pressure turbine in communication with a first shaft; and a high pressure turbine in communication with a second shaft; wherein the first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis, and the first shaft is in communication with the fan through the speed reduction device; wherein the low pressure turbine includes four stages; and wherein the low pressure turbine includes at least one rotor constrained by a first stress level, at least one of the plurality of fan blades of the fan constrained by a second stress level and having a fan tip speed boundary condition, and the gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level, and the low pressure turbine rotor does not exceed the first stress level.", "28.The gas turbine engine of claim 27, wherein the high pressure turbine includes two stages.", "29.The gas turbine engine of claim 27, wherein the gear reduction ratio is at least 2.6 and less than or equal to 4.1.30.The gas turbine engine of claim 29, wherein the low pressure turbine has a pressure ratio greater than 5:1, the low pressure turbine includes an inlet having an inlet pressure, and an outlet having an outlet pressure, and the pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure." ], [ "<SOH> BACKGROUND <EOH>This disclosure relates to a gas turbine engine, and more particularly to a method for setting a gear ratio of a fan drive gear system of a gas turbine engine.", "A gas turbine engine may include a fan section, a compressor section, a combustor section, and a turbine section.", "Air entering the compressor section is compressed and delivered into the combustor section where it is mixed with fuel and ignited to generate a high-speed exhaust gas flow.", "The high-speed exhaust gas flow expands through the turbine section to drive the compressor and the fan section.", "Among other variations, the compressor section can include low and high pressure compressors, and the turbine section can include low and high pressure turbines.", "Typically, a high pressure turbine drives a high pressure compressor through an outer shaft to form a high spool, and a low pressure turbine drives a low pressure compressor through an inner shaft to form a low spool.", "The fan section may also be driven by the inner shaft.", "A direct drive gas turbine engine may include a fan section driven by the low spool such that a low pressure compressor, low pressure turbine, and fan section rotate at a common speed in a common direction.", "A speed reduction device, which may be a fan drive gear system or other mechanism, may be utilized to drive the fan section such that the fan section may rotate at a speed different than the turbine section.", "This allows for an overall increase in propulsive efficiency of the engine.", "In such engine architectures, a shaft driven by one of the turbine sections provides an input to the speed reduction device that drives the fan section at a reduced speed such that both the turbine section and the fan section can rotate at closer to optimal speeds.", "Although gas turbine engines utilizing speed change mechanisms are generally known to be capable of improved propulsive efficiency relative to conventional engines, gas turbine engine manufacturers continue to seek further improvements to engine performance including improvements to thermal, transfer and propulsive efficiencies." ], [ "<SOH> SUMMARY <EOH>In one exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis.", "The fan has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)] 0.5.T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 13 and less than 20.A fan pressure ratio less than 1.48.The fan pressure ratio is measured across a fan blade alone.", "A speed reduction device comprises a gear system with a gear ratio of at least 2.6 and less than or equal to 4.1.There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft.", "A high pressure turbine is in communication a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes at least three stages and no more than four stages.", "The high pressure turbine includes two stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In a further embodiment of any of the above, the gear system is a star gear system with a ring gear and a sun gear.", "The gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, the fan pressure ratio is less than 1.38.In a further embodiment of any of the above, the fan pressure ratio is less than 1.38.In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis.", "The fan has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)] 0.5 .", "T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 11.0 and less than 22.0.A fan pressure ratio is less than 1.38.The fan pressure ratio is measured across a fan blade alone.", "A speed reduction device comprises a gear system.", "There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft.", "A high pressure turbine is in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The high pressure turbine includes two stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the low pressure turbine includes five stages.", "In a further embodiment of any of the above, a low pressure compressor including three stages.", "The low pressure turbine drives the low pressure compressor.", "In a further embodiment of any of the above, the low pressure turbine includes at least three stages and no more than four stages.", "In a further embodiment of any of the above, the speed reduction device includes a gear ratio less than or equal to 4.1.In a further embodiment of any of the above, the gear ratio is greater than or equal to 2.6.In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In a further embodiment of any of the above, a mid-turbine frame is arranged between the high pressure turbine and the low pressure turbine.", "The mid-turbine frame supports at least one bearing system.", "In a further embodiment of any of the above, the mid-turbine frame includes one or more airfoils that extend in a flow path.", "In a further embodiment of any of the above, a low pressure compressor including three stages.", "The low pressure turbine drives the low pressure compressor.", "In a further embodiment of any of the above, a high pressure compressor includes eight stages.", "The high pressure turbine drives the high pressure compressor.", "In a further embodiment of any of the above, the star gear system further comprising five intermediate gears.", "In a further embodiment of any of the above, the gear system is a star gear system with a ring gear, a sun gear, and a star gear ratio.", "The star gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, a mid-turbine frame is arranged between the high pressure turbine and the low pressure turbine.", "The mid-turbine frame supports at least one bearing system and includes one or more airfoils.", "In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis and has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)] 0.5 .", "T represents the ambient temperature in degrees Rankine.", "A bypass ratio of greater than 11.0.A speed reduction device comprising a gear system.", "There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft and includes a pressure ratio greater than about 5:1.The low pressure turbine includes an inlet that has an inlet pressure and an outlet having an outlet pressure.", "The pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure.", "A high pressure turbine in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes at least three stages and no more than four stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the high pressure turbine includes two stages.", "In a further embodiment of any of the above, the low pressure turbine includes three stages.", "In a further embodiment of any of the above, the gear system has a gear ratio of less than or equal to 4.1.In a further embodiment of any of the above, the gear reduction ratio is at least 2.6.In a further embodiment of any of the above, the gear system is a star gear system with a ring gear and a sun gear.", "The gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis and has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)] 0.5 .", "T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 11 and less than 22.A speed reduction device comprises a gear system with a gear ratio.", "A plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft and a high pressure turbine in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes four stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the high pressure turbine includes two stages.", "In a further embodiment of any of the above, the gear reduction ratio is at least 2.6 and less than or equal to 4.1.In a further embodiment of any of the above, the low pressure turbine has a pressure ratio greater than 5:1.The low pressure turbine includes an inlet that has an inlet pressure and an outlet that has an outlet pressure.", "The pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This disclosure is a continuation of U.S. application Ser.", "No.", "14/705,577 filed May 6, 2015, which is a continuation in part of PCT/US2013/061115 filed on Sep. 23, 2013, which is a continuation of U.S. application Ser.", "No.", "13/758,075 filed Feb. 4, 2013, which is now U.S. Pat.", "No.", "8,753,065 issued on Jun.", "17, 2014.BACKGROUND This disclosure relates to a gas turbine engine, and more particularly to a method for setting a gear ratio of a fan drive gear system of a gas turbine engine.", "A gas turbine engine may include a fan section, a compressor section, a combustor section, and a turbine section.", "Air entering the compressor section is compressed and delivered into the combustor section where it is mixed with fuel and ignited to generate a high-speed exhaust gas flow.", "The high-speed exhaust gas flow expands through the turbine section to drive the compressor and the fan section.", "Among other variations, the compressor section can include low and high pressure compressors, and the turbine section can include low and high pressure turbines.", "Typically, a high pressure turbine drives a high pressure compressor through an outer shaft to form a high spool, and a low pressure turbine drives a low pressure compressor through an inner shaft to form a low spool.", "The fan section may also be driven by the inner shaft.", "A direct drive gas turbine engine may include a fan section driven by the low spool such that a low pressure compressor, low pressure turbine, and fan section rotate at a common speed in a common direction.", "A speed reduction device, which may be a fan drive gear system or other mechanism, may be utilized to drive the fan section such that the fan section may rotate at a speed different than the turbine section.", "This allows for an overall increase in propulsive efficiency of the engine.", "In such engine architectures, a shaft driven by one of the turbine sections provides an input to the speed reduction device that drives the fan section at a reduced speed such that both the turbine section and the fan section can rotate at closer to optimal speeds.", "Although gas turbine engines utilizing speed change mechanisms are generally known to be capable of improved propulsive efficiency relative to conventional engines, gas turbine engine manufacturers continue to seek further improvements to engine performance including improvements to thermal, transfer and propulsive efficiencies.", "SUMMARY In one exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis.", "The fan has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)] 0.5.T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 13 and less than 20.A fan pressure ratio less than 1.48.The fan pressure ratio is measured across a fan blade alone.", "A speed reduction device comprises a gear system with a gear ratio of at least 2.6 and less than or equal to 4.1.There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft.", "A high pressure turbine is in communication a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes at least three stages and no more than four stages.", "The high pressure turbine includes two stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In a further embodiment of any of the above, the gear system is a star gear system with a ring gear and a sun gear.", "The gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, the fan pressure ratio is less than 1.38.In a further embodiment of any of the above, the fan pressure ratio is less than 1.38.In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis.", "The fan has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5.T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 11.0 and less than 22.0.A fan pressure ratio is less than 1.38.The fan pressure ratio is measured across a fan blade alone.", "A speed reduction device comprises a gear system.", "There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft.", "A high pressure turbine is in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The high pressure turbine includes two stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the low pressure turbine includes five stages.", "In a further embodiment of any of the above, a low pressure compressor including three stages.", "The low pressure turbine drives the low pressure compressor.", "In a further embodiment of any of the above, the low pressure turbine includes at least three stages and no more than four stages.", "In a further embodiment of any of the above, the speed reduction device includes a gear ratio less than or equal to 4.1.In a further embodiment of any of the above, the gear ratio is greater than or equal to 2.6.In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In a further embodiment of any of the above, a mid-turbine frame is arranged between the high pressure turbine and the low pressure turbine.", "The mid-turbine frame supports at least one bearing system.", "In a further embodiment of any of the above, the mid-turbine frame includes one or more airfoils that extend in a flow path.", "In a further embodiment of any of the above, a low pressure compressor including three stages.", "The low pressure turbine drives the low pressure compressor.", "In a further embodiment of any of the above, a high pressure compressor includes eight stages.", "The high pressure turbine drives the high pressure compressor.", "In a further embodiment of any of the above, the star gear system further comprising five intermediate gears.", "In a further embodiment of any of the above, the gear system is a star gear system with a ring gear, a sun gear, and a star gear ratio.", "The star gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, a mid-turbine frame is arranged between the high pressure turbine and the low pressure turbine.", "The mid-turbine frame supports at least one bearing system and includes one or more airfoils.", "In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis and has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5.T represents the ambient temperature in degrees Rankine.", "A bypass ratio of greater than 11.0.A speed reduction device comprising a gear system.", "There is a plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft and includes a pressure ratio greater than about 5:1.The low pressure turbine includes an inlet that has an inlet pressure and an outlet having an outlet pressure.", "The pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure.", "A high pressure turbine in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes at least three stages and no more than four stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear system is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the high pressure turbine includes two stages.", "In a further embodiment of any of the above, the low pressure turbine includes three stages.", "In a further embodiment of any of the above, the gear system has a gear ratio of less than or equal to 4.1.In a further embodiment of any of the above, the gear reduction ratio is at least 2.6.In a further embodiment of any of the above, the gear system is a star gear system with a ring gear and a sun gear.", "The gear ratio is determined by measuring a diameter of the ring gear and dividing that diameter by the diameter of the sun gear.", "In a further embodiment of any of the above, the low pressure turbine includes four stages.", "In another exemplary embodiment, a gas turbine engine includes an engine centerline longitudinal axis.", "A fan section includes a fan with a plurality of fan blades and rotatable about the engine centerline longitudinal axis and has a low corrected fan tip speed less than 1400 ft/sec.", "The low corrected fan tip speed is an actual fan tip speed at an ambient temperature divided by [(Tram ° R)/(518.7° R)]0.5.T represents the ambient temperature in degrees Rankine.", "A bypass ratio is greater than 11 and less than 22.A speed reduction device comprises a gear system with a gear ratio.", "A plurality of bearing systems.", "A low pressure turbine is in communication with a first shaft and a high pressure turbine in communication with a second shaft.", "The first shaft and second shaft are concentric and mounted via at least one of the plurality of bearing systems for rotation about the engine centerline longitudinal axis.", "The first shaft is in communication with the fan through the speed reduction device.", "The low pressure turbine includes four stages.", "The low pressure turbine includes at least one rotor constrained by a first stress level.", "At least one of the plurality of fan blades of the fan is constrained by a second stress level and has a fan tip speed boundary condition.", "The gear ratio is configured such that in operation the fan blade does not exceed the fan tip speed boundary condition or the second stress level.", "The low pressure turbine rotor does not exceed the first stress level.", "In a further embodiment of any of the above, the high pressure turbine includes two stages.", "In a further embodiment of any of the above, the gear reduction ratio is at least 2.6 and less than or equal to 4.1.In a further embodiment of any of the above, the low pressure turbine has a pressure ratio greater than 5:1.The low pressure turbine includes an inlet that has an inlet pressure and an outlet that has an outlet pressure.", "The pressure ratio of the low pressure turbine is a ratio of the inlet pressure to the outlet pressure.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates a schematic, cross-sectional view of an example gas turbine engine.", "FIG.", "2 illustrates a schematic view of one configuration of a low speed spool that can be incorporated into a gas turbine engine.", "FIG.", "3 illustrates a fan drive gear system that can be incorporated into a gas turbine engine.", "FIG.", "4 shows another embodiment.", "FIG.", "5 shows yet another embodiment.", "DETAILED DESCRIPTION FIG.", "1 schematically illustrates a gas turbine engine 20.The exemplary gas turbine engine 20 is a two-spool turbofan engine that generally incorporates a fan section 22, a compressor section 24, a combustor section 26 and a turbine section 28.Alternative engines might include an augmentor section (not shown) among other systems or features.", "The fan section 22 drives air along a bypass flow path B, while the compressor section 24 drives air along a core flow path C for compression and communication into the combustor section 26.The hot combustion gases generated in the combustor section 26 are expanded through the turbine section 28.Although depicted as a two-spool turbofan gas turbine engine in the disclosed non-limiting embodiment, it should be understood that the concepts described herein are not limited to two-spool turbofan engines and these teachings could extend to other types of engines, including but not limited to, three-spool engine architectures.", "The exemplary gas turbine engine 20 generally includes a low speed spool 30 and a high speed spool 32 mounted for rotation about an engine centerline longitudinal axis A.", "The low speed spool 30 and the high speed spool 32 may be mounted relative to an engine static structure 33 via several bearing systems 31.It should be understood that other bearing systems 31 may alternatively or additionally be provided, and the location of bearing systems 31 may be varied as appropriate to the application.", "The low speed spool 30 generally includes an inner shaft 34 that interconnects a fan 36, a low pressure compressor 38 and a low pressure turbine 39.The inner shaft 34 can be connected to the fan 36 through a speed change mechanism, which in exemplary gas turbine engine 20 is illustrated as a geared architecture 45, such as a fan drive gear system 50 (see FIGS.", "2 and 3).", "The speed change mechanism drives the fan 36 at a lower speed than the low speed spool 30.The high speed spool 32 includes an outer shaft 35 that interconnects a high pressure compressor 37 and a high pressure turbine 40.In this embodiment, the inner shaft 34 and the outer shaft 35 are supported at various axial locations by bearing systems 31 positioned within the engine static structure 33.A combustor 42 is arranged in exemplary gas turbine 20 between the high pressure compressor 37 and the high pressure turbine 40.A mid-turbine frame 44 may be arranged generally between the high pressure turbine 40 and the low pressure turbine 39.The mid-turbine frame 44 can support one or more bearing systems 31 of the turbine section 28.The mid-turbine frame 44 may include one or more airfoils 46 that extend within the core flow path C. It will be appreciated that each of the positions of the fan section 22, compressor section 24, combustor section 26, turbine section 28, and fan drive gear system 50 may be varied.", "For example, gear system 50 may be located aft of combustor section 26 or even aft of turbine section 28, and fan section 22 may be positioned forward or aft of the location of gear system 50.The inner shaft 34 and the outer shaft 35 are concentric and rotate via the bearing systems 31 about the engine centerline longitudinal axis A, which is co-linear with their longitudinal axes.", "The core airflow is compressed by the low pressure compressor 38 and the high pressure compressor 37, is mixed with fuel and burned in the combustor 42, and is then expanded over the high pressure turbine 40 and the low pressure turbine 39.The high pressure turbine 40 and the low pressure turbine 39 rotationally drive the respective high speed spool 32 and the low speed spool 30 in response to the expansion.", "In a non-limiting embodiment, the gas turbine engine 20 is a high-bypass geared aircraft engine.", "In a further example, the gas turbine engine 20 bypass ratio is greater than about six (6:1).", "The geared architecture 45 can include an epicyclic gear train, such as a planetary gear system, a star gear system, or other gear system.", "The geared architecture 45 enables operation of the low speed spool 30 at higher speeds, which can enable an increase in the operational efficiency of the low pressure compressor 38 and low pressure turbine 39, and render increased pressure in a fewer number of stages.", "The pressure ratio of the low pressure turbine 39 can be pressure measured prior to the inlet of the low pressure turbine 39 as related to the pressure at the outlet of the low pressure turbine 39 and prior to an exhaust nozzle of the gas turbine engine 20.In one non-limiting embodiment, the bypass ratio of the gas turbine engine 20 is greater than about ten (10:1), the fan diameter is significantly larger than that of the low pressure compressor 38, and the low pressure turbine 39 has a pressure ratio that is greater than about five (5:1).", "In another non-limiting embodiment, the bypass ratio is greater than 11 and less than 22, or greater than 13 and less than 20.It should be understood, however, that the above parameters are only exemplary of a geared architecture engine or other engine using a speed change mechanism, and that the present disclosure is applicable to other gas turbine engines, including direct drive turbofans.", "In one non-limiting embodiment, the low pressure turbine 39 includes at least one stage and no more than eight stages, or at least three stages and no more than six stages.", "In another non-limiting embodiment, the low pressure turbine 39 includes at least three stages and no more than four stages.", "In this embodiment of the exemplary gas turbine engine 20, a significant amount of thrust is provided by the bypass flow path B due to the high bypass ratio.", "The fan section 22 of the gas turbine engine 20 is designed for a particular flight condition—typically cruise at about 0.8 Mach and about 35,000 feet.", "This flight condition, with the gas turbine engine 20 at its best fuel consumption, is also known as bucket cruise Thrust Specific Fuel Consumption (TSFC).", "TSFC is an industry standard parameter of fuel consumption per unit of thrust.", "Fan Pressure Ratio is the pressure ratio across a blade of the fan section 22 without the use of a Fan Exit Guide Vane system.", "The low Fan Pressure Ratio according to one non-limiting embodiment of the example gas turbine engine 20 is less than 1.45.In another non-limiting embodiment of the example gas turbine engine 20, the Fan Pressure Ratio is less than 1.38 and greater than 1.25.In another non-limiting embodiment, the fan pressure ratio is less than 1.48.In another non-limiting embodiment, the fan pressure ratio is less than 1.52.In another non-limiting embodiment, the fan pressure ratio is less than 1.7.Low Corrected Fan Tip Speed is the actual fan tip speed divided by an industry standard temperature correction of [(Tram ° R)/(518.7° R)]0.5, where T represents the ambient temperature in degrees Rankine.", "The Low Corrected Fan Tip Speed according to one non-limiting embodiment of the example gas turbine engine 20 is less than about 1150 fps (351 m/s).", "The Low Corrected Fan Tip Speed according to another non-limiting embodiment of the example gas turbine engine 20 is less than about 1400 fps (427 m/s).", "The Low Corrected Fan Tip Speed according to another non-limiting embodiment of the example gas turbine engine 20 is greater than about 1000 fps (305 m/s).", "FIG.", "2 schematically illustrates the low speed spool 30 of the gas turbine engine 20.The low speed spool 30 includes the fan 36, the low pressure compressor 38, and the low pressure turbine 39.The inner shaft 34 interconnects the fan 36, the low pressure compressor 38, and the low pressure turbine 39.The inner shaft 34 is connected to the fan 36 through the fan drive gear system 50.In this embodiment, the fan drive gear system 50 provides for counter-rotation of the low pressure turbine 39 and the fan 36.For example, the fan 36 rotates in a first direction D1, whereas the low pressure turbine 39 rotates in a second direction D2 that is opposite of the first direction D1.FIG.", "3 illustrates one example embodiment of the fan drive gear system 50 incorporated into the gas turbine engine 20 to provide for counter-rotation of the fan 36 and the low pressure turbine 39.In this embodiment, the fan drive gear system 50 includes a star gear system with a sun gear 52, a ring gear 54 disposed about the sun gear 52, and a plurality of star gears 56 having journal bearings 57 positioned between the sun gear 52 and the ring gear 54.A fixed carrier 58 carries and is attached to each of the star gears 56.In this embodiment, the fixed carrier 58 does not rotate and is connected to a grounded structure 55 of the gas turbine engine 20.The sun gear 52 receives an input from the low pressure turbine 39 (see FIG.", "2) and rotates in the first direction D1 thereby turning the plurality of star gears 56 in a second direction D2 that is opposite of the first direction D1.Movement of the plurality of star gears 56 is transmitted to the ring gear 54 which rotates in the second direction D2 opposite from the first direction D1 of the sun gear 52.The ring gear 54 is connected to the fan 36 for rotating the fan 36 (see FIG.", "2) in the second direction D2.A star system gear ratio of the fan drive gear system 50 is determined by measuring a diameter of the ring gear 54 and dividing that diameter by a diameter of the sun gear 52.In one embodiment, the star system gear ratio of the geared architecture 45 is between 1.5 and 4.1.In another embodiment, the system gear ratio of the fan drive gear system 50 is between 2.6 and 4.1.When the star system gear ratio is below 1.5, the sun gear 52 is relatively much larger than the star gears 56.This size differential reduces the load the star gears 56 are capable of carrying because of the reduction in size of the star gear journal bearings 57.When the star system gear ratio is above 4.1, the sun gear 52 may be much smaller than the star gears 56.This size differential increases the size of the star gear 56 journal bearings 57 but reduces the load the sun gear 52 is capable of carrying because of its reduced size and number of teeth.", "Alternatively, roller bearings could be used in place of journal bearings 57.Improving performance of the gas turbine engine 20 begins by determining fan tip speed boundary conditions for at least one fan blade of the fan 36 to define the speed of the tip of the fan blade.", "The maximum fan diameter is determined based on the projected fuel burn derived from balancing engine efficiency, mass of air through the bypass flow path B, and engine weight increase due to the size of the fan blades.", "Boundary conditions are then determined for the rotor of each stage of the low pressure turbine 39 to define the speed of the rotor tip and to define the size of the rotor and the number of stages in the low pressure turbine 39 based on the efficiency of low pressure turbine 39 and the low pressure compressor 38.Constraints regarding stress levels in the rotor and the fan blade are utilized to determine if the rotary speed of the fan 36 and the low pressure turbine 39 will meet a desired number of operating life cycles.", "If the stress levels in the rotor or the fan blade are too high, the gear ratio of the fan drive gear system 50 can be lowered and the number of stages of the low pressure turbine 39 or annular area of the low pressure turbine 39 can be increased.", "FIG.", "4 shows an embodiment 100, wherein there is a fan drive turbine 108 driving a shaft 106 to in turn drive a fan rotor 102.A gear reduction 104 may be positioned between the fan drive turbine 108 and the fan rotor 102.This gear reduction 104 may be structured and operate like the geared architecture 45 disclosed above.", "A compressor rotor 110 is driven by an intermediate pressure turbine 112, and a second stage compressor rotor 114 is driven by a turbine rotor 116.A combustion section 118 is positioned intermediate the compressor rotor 114 and the turbine section 116.FIG.", "5 shows yet another embodiment 200 wherein a fan rotor 202 and a first stage compressor 204 rotate at a common speed.", "The gear reduction 206 (which may be structured as the geared architecture 45 disclosed above) is intermediate the compressor rotor 204 and a shaft 208 which is driven by a low pressure turbine section.", "Although the different non-limiting embodiments are illustrated as having specific components, the embodiments of this disclosure are not limited to those particular combinations.", "It is possible to use some of the components or features from any of the non-limiting embodiments in combination with features or components from any of the other non-limiting embodiments.", "It should be understood that like reference numerals identify corresponding or similar elements throughout the several drawings.", "It should also be understood that although a particular component arrangement is disclosed and illustrated in these exemplary embodiments, other arrangements could also benefit from the teachings of this disclosure.", "The foregoing description shall be interpreted as illustrative and not in any limiting sense.", "A worker of ordinary skill in the art would understand that certain modifications could come within the scope of this disclosure.", "For these reasons, the following claim should be studied to determine the true scope and content of this disclosure." ] ]
Patent_15875656
[ [ "MULTI-PROCESS INTERACTIVE SYSTEMS AND METHODS", "A multi-process interactive system is described.", "The system includes numerous processes running on a processing device.", "The processes include separable program execution contexts of application programs, such that each application program comprises at least one process.", "The system translates events of each process into data capsules.", "A data capsule includes an application-independent representation of event data of an event and state information of the process originating the content of the data capsule.", "The system transfers the data messages into pools or repositories.", "Each process operates as a recognizing process, where the recognizing process recognizes in the pools data capsules comprising content that corresponds to an interactive function of the recognizing process and/or an identification of the recognizing process.", "The recognizing process retrieves recognized data capsules from the pools and executes processing appropriate to contents of the recognized data capsules." ], [ "1.A method comprising: executing a plurality of processes on at least one processing device; translating events of each process of the plurality of processes into data capsules; transferring the data capsules into a plurality of pools; each process operating as a recognizing process, the recognizing process recognizing in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process; and the recognizing process retrieving recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules." ], [ "<SOH> BACKGROUND <EOH>Conventional programming environments do not fully support multi-computer processing unit (CPU) and cross-network execution, or flexible sharing of data between large numbers of computing processes.", "User-facing computer programs have traditionally been constructed so that the majority of processing and all graphical output is produced by a single computational process.", "This mechanism, though standard and well-supported by tool-chains, development environments and operating systems, scales poorly and is a significant contributor to the bloat and brittleness of widely-used contemporary applications." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1A is a block diagram of a multi-process interactive system, under an embodiment.", "FIG.", "1B is a block diagram of a multi-process interactive system, under an alternative embodiment.", "FIG.", "1C is a block diagram of a multi-process interactive system, under another alternative embodiment.", "FIG.", "2 is a flow diagram for operations of the multi-process interactive system, under an embodiment.", "FIG.", "3 is a block diagram of a processing environment including data representations using slawx, proteins, and pools, under an embodiment.", "FIG.", "4 is a block diagram of a protein, under an embodiment.", "FIG.", "5 is a block diagram of a descrip, under an embodiment.", "FIG.", "6 is a block diagram of an ingest, under an embodiment.", "FIG.", "7 is a block diagram of a slaw, under an embodiment.", "FIG.", "8A is a block diagram of a protein in a pool, under an embodiment.", "FIGS.", "8 B 1 and 8 B 2 shows a slaw header format, under an embodiment.", "FIG.", "8C is a flow diagram for using proteins, under an embodiment.", "FIG.", "8D is a flow diagram for constructing or generating proteins, under an embodiment.", "FIG.", "9 is a block diagram of a processing environment including data exchange using slawx, proteins, and pools, under an embodiment.", "FIG.", "10 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an embodiment.", "FIG.", "11 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an alternative embodiment.", "FIG.", "12 is a block diagram of a processing environment including multiple input devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the input devices, under another alternative embodiment.", "FIG.", "13 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the graphics events generated by the devices, under yet another alternative embodiment.", "FIG.", "14 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow stateful inspection, visualization, and debugging of the running programs, under still another alternative embodiment.", "FIG.", "15 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow influence or control the characteristics of state information produced and placed in that process pool, under an additional alternative embodiment.", "FIG.", "16 is a block diagram of a gestural control system, under an embodiment.", "FIG.", "17 is a diagram of marking tags, under an embodiment.", "FIG.", "18 is a diagram of poses in a gesture vocabulary, under an embodiment.", "FIG.", "19 is a diagram of orientation in a gesture vocabulary, under an embodiment.", "FIG.", "20 is a diagram of two hand combinations in a gesture vocabulary, under an embodiment.", "FIG.", "21 is a diagram of orientation blends in a gesture vocabulary, under an embodiment.", "FIG.", "22 is a flow diagram of gestural control, under an embodiment.", "FIGS.", "23A and 23B is an example of commands, under an embodiment.", "FIG.", "24 is a block diagram of a Spatial Operating Environment (SOE) implemented with a multi-process interactive system, under an embodiment.", "FIG.", "25 is a flow diagram for operations of the multi-process interactive system using inputs from a gestural control system, under an embodiment.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "14/733,125, filed 8 Jun.", "2015, which is a continuation of U.S. patent application Ser.", "No.", "12/579,340, filed 14 Oct. 2009, now issued as U.S. Pat.", "No.", "9,063,801, both of which are incorporated in their entirety by this reference.", "TECHNICAL FIELD Embodiments are described relating to the representation, manipulation, and exchange of data within and between computing processes.", "BACKGROUND Conventional programming environments do not fully support multi-computer processing unit (CPU) and cross-network execution, or flexible sharing of data between large numbers of computing processes.", "User-facing computer programs have traditionally been constructed so that the majority of processing and all graphical output is produced by a single computational process.", "This mechanism, though standard and well-supported by tool-chains, development environments and operating systems, scales poorly and is a significant contributor to the bloat and brittleness of widely-used contemporary applications.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1A is a block diagram of a multi-process interactive system, under an embodiment.", "FIG.", "1B is a block diagram of a multi-process interactive system, under an alternative embodiment.", "FIG.", "1C is a block diagram of a multi-process interactive system, under another alternative embodiment.", "FIG.", "2 is a flow diagram for operations of the multi-process interactive system, under an embodiment.", "FIG.", "3 is a block diagram of a processing environment including data representations using slawx, proteins, and pools, under an embodiment.", "FIG.", "4 is a block diagram of a protein, under an embodiment.", "FIG.", "5 is a block diagram of a descrip, under an embodiment.", "FIG.", "6 is a block diagram of an ingest, under an embodiment.", "FIG.", "7 is a block diagram of a slaw, under an embodiment.", "FIG.", "8A is a block diagram of a protein in a pool, under an embodiment.", "FIGS.", "8B1 and 8B2 shows a slaw header format, under an embodiment.", "FIG.", "8C is a flow diagram for using proteins, under an embodiment.", "FIG.", "8D is a flow diagram for constructing or generating proteins, under an embodiment.", "FIG.", "9 is a block diagram of a processing environment including data exchange using slawx, proteins, and pools, under an embodiment.", "FIG.", "10 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an embodiment.", "FIG.", "11 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an alternative embodiment.", "FIG.", "12 is a block diagram of a processing environment including multiple input devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the input devices, under another alternative embodiment.", "FIG.", "13 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the graphics events generated by the devices, under yet another alternative embodiment.", "FIG.", "14 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow stateful inspection, visualization, and debugging of the running programs, under still another alternative embodiment.", "FIG.", "15 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow influence or control the characteristics of state information produced and placed in that process pool, under an additional alternative embodiment.", "FIG.", "16 is a block diagram of a gestural control system, under an embodiment.", "FIG.", "17 is a diagram of marking tags, under an embodiment.", "FIG.", "18 is a diagram of poses in a gesture vocabulary, under an embodiment.", "FIG.", "19 is a diagram of orientation in a gesture vocabulary, under an embodiment.", "FIG.", "20 is a diagram of two hand combinations in a gesture vocabulary, under an embodiment.", "FIG.", "21 is a diagram of orientation blends in a gesture vocabulary, under an embodiment.", "FIG.", "22 is a flow diagram of gestural control, under an embodiment.", "FIGS.", "23A and 23B is an example of commands, under an embodiment.", "FIG.", "24 is a block diagram of a Spatial Operating Environment (SOE) implemented with a multi-process interactive system, under an embodiment.", "FIG.", "25 is a flow diagram for operations of the multi-process interactive system using inputs from a gestural control system, under an embodiment.", "DETAILED DESCRIPTION Embodiments are described herein that include systems and methods for coordinating the behaviors and outputs of multiple computer processes so as to give rise to an interactive application.", "The embodiments described herein, generally referred to as a multi-process interactive system, program or application, include an application program divided into a number of distinct computer processes capable of executing in parallel.", "A set of these processes is able to produce portions of the overall system output with which the user interacts.", "A set of these processes has access to a structured and well-defined data exchange mechanism that is used to coordinate activity.", "A set of these processes is operable to make use of user input (e.g., raw user input, heavily transformed user input, raw and heavily transformed user input, etc.)", "via the structured data exchange mechanism.", "The embodiments described herein provide modularity for application components across the boundary of computational processes.", "As a result of the modularity provided, the embodiments described herein provide component reuse, greater opportunities for interoperability, easier testing and verification, increased robustness, and fault tolerance during execution.", "Furthermore, contemporary computers often contain multiple processor elements (CPU cores, for example).", "The embodiments herein scale much better on multiple processor architectures than traditional application construction techniques.", "This “multi-core” scaling is becoming more and more important as trends in computer design and manufacturing increasingly favor increased core counts rather than increased clock speeds.", "The embodiments described herein enable dynamic construction, destruction and recombination of process components.", "The embodiments described herein enable extension of the structured data exchange mechanism across multiple computers using networking (or other interconnection) protocols.", "The embodiments described herein enable dynamic transfer of process components between computers.", "The embodiments described herein enable dynamic optimization of the structured data exchange mechanism according to the number, composition and execution contexts of the participating processes.", "The embodiments described herein enable graphical output created on multiple computers to be combined together on a single display.", "The embodiments described herein enable shared, coordinated graphical contexts encompassing multiple displays.", "The embodiments described herein enable shared, coordinated, multi-display graphical contexts encompassing multiple displays driven by multiple computers.", "The embodiments described herein introduce automatic history buffer built into the structured data exchange mechanism, so that some amount of past data is always available to application components.", "The following terms are intended to have the following general meaning as they are used herein.", "The term “processes” as used herein means separable program execution contexts.", "Computer architectures and operating systems differ in the technical details of process implementation.", "The mechanism described here is configured to operate across a broad range of process implementations and to facilitate hybrid application designs or configurations that take advantage of as many available computing resources as possible.", "The term “device” as used herein means any processor-based device running one or more programs or algorithms, any processor-based device running under one or more programs or algorithms and/or any device coupled or connected to a processor-based device running one or more programs or algorithms and/or running under one or more programs or algorithms.", "The term “event” as used herein means any event associated with a running or executing program or algorithm, a processor-based device and/or a device coupled or connected to a processor-based device (e.g., an event can include, but is not limited to, an input, an output, a control, a state, a state change, an action, data (regardless of format of the data or stage in the processing from with which the data is associated), etc.).", "In the following description, numerous specific details are introduced to provide a thorough understanding of, and enabling description for, embodiments described herein.", "One skilled in the relevant art, however, will recognize that these embodiments can be practiced without one or more of the specific details, or with other components, systems, etc.", "In other instances, well-known structures or operations are not shown, or are not described in detail, to avoid obscuring aspects of the disclosed embodiments.", "The embodiments herein include systems and methods that execute numerous processes on at least one processing device.", "The systems and methods of an embodiment translate events of each process into data capsules.", "The systems and methods of an embodiment transfer the data capsules into a number of pools or repositories.", "Each process operates as a recognizing process, where the recognizing process recognizes in the pools data capsules comprising content that corresponds to an interactive function of the recognizing process and/or an identification of the recognizing process.", "The recognizing process retrieves recognized data capsules from the pools and executes processing appropriate to contents of the recognized data capsules.", "For example, FIG.", "1A is a block diagram of a multi-process interactive system 10, under an embodiment.", "This system 10 includes a processing device 11 hosting or executing any number of processes P1-P7.The multi-process interactive system 10 of this example includes or runs across one computer 11 but is not limited to one computer and could run across any number and/or combination of processing devices or systems.", "The processes P1-P7 of an embodiment include separable program execution contexts of one or more application programs, wherein each application program comprises at least one process, but the embodiment is not so limited.", "The events generated or produced during execution of each process are translated into some number of data capsules DC1-DC9, and the data capsules are transferred into a number of pools 15-17 or repositories.", "The oval elements of system 10 represent the pools 15-17, where the pools or repositories are a mechanism for structured data exchange as described in detail below and in the Related Applications.", "The data capsules DC1-DC9, also referred to as data messages, that pass through the pools 15-17 are generically described as “proteins,” as described below.", "Each process P1-P7 operates as a recognizing process, where the recognizing process recognizes in the pools 15-17 data capsules comprising content that corresponds to an interactive function of the recognizing process P1-P7 and/or an identification of the recognizing process P1-P7.The recognizing process P1-P7 retrieves recognized data capsules DC1-DC9 from the pools and executes processing appropriate to contents of the recognized data capsules.", "The multi-process interactive system o10 in described in more detail below with reference to FIGS.", "3-15.FIG.", "1B is a block diagram of a multi-process interactive system 20, under an alternative embodiment.", "This system 20 includes a processing device 21 hosting or executing any number of processes P1-PX, where X represents any number as appropriate to a configuration of processing device 21 and/or system 20.The system 20 also includes a processing device 22 hosting or executing any number of processes P1-PY, where Y represents any number as appropriate to a configuration of processing device 22 and/or system 20.The multi-process interactive system 20 of this example includes or runs across two processing devices 21/22 but is not limited to two devices and could run across any number and/or combination of processing devices or systems.", "The processes P1-PX and P1-PY of an embodiment include separable program execution contexts of one or more application programs, wherein each application program comprises at least one process, but the embodiment is not so limited.", "The events generated or produced during execution of each process are translated into data capsules (not shown), and the data capsules are transferred into one or more pools.", "The oval elements of system 20 represent the pools, where the pools or repositories are a mechanism for structured data exchange as described in detail below and in the Related Applications.", "In this example, a pool PL1 is hosted on processing device 21, but any number of pools can be hosted on processing device 21.Pools PL1-PLY are hosted on processing device 22, where Y represents any number as appropriate to a configuration of processing device 22 and/or system 20; any number of pools can be hosted on processing device 22.The system 20 also includes pools PL11-PLX, where X represents any number as appropriate to a configuration of processing device 22 and/or system 20; any number of pools can be hosted in system 20.Any process and/or device generating data capsules can transfer the data capsules into any pool in the system.", "Each process P1-PX/P1-PY operates as a recognizing process, where the recognizing process recognizes in the pools data capsules comprising content that corresponds to an interactive function of the recognizing process P1-PX/P1-PY and/or an identification of the recognizing process P1-PX/P1-PY.", "The recognizing process P1-PX/P1-PY retrieves recognized data capsules from the pools and executes processing appropriate to contents of the recognized data capsules.", "The multi-process interactive system 20 in described in more detail below with reference to FIGS.", "3-15.The embodiments herein include systems and methods that execute numerous processes on at least one processing device.", "The processes of an embodiment include separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process.", "The systems and methods of an embodiment translate events of each process of the plurality of processes into data capsules.", "A data capsule includes an application-independent representation of event data of an event and state information of the process originating the data capsule.", "The systems and methods of an embodiment transfer the data capsules into a number of pools or repositories.", "Each process of an embodiment operates as a recognizing process.", "The recognizing process recognizes in the pools data capsules comprising content that corresponds to an interactive function of the recognizing process and/or an identification of the recognizing process.", "The recognizing process retrieves recognized data capsules from the pools and executes processing appropriate to contents of the recognized data capsules.", "Examples of embodiments described herein include systems and methods for coordinating the behaviors and graphical outputs of multiple computer processes to enable an interactive application.", "While this example is directed to graphical processing and graphical outputs, embodiments of the multi-process interactive system are not limited to graphical processes and can apply to any processes running under any number of processing devices.", "The multi-process interactive system includes an application program divided into a number of distinct computer processes capable of executing in parallel, and a set of these processes is able to produce portions of the overall graphical output with which a user interacts.", "A set of these processes has access to a structured and well-defined data exchange mechanism that is used to coordinate activity such that the set of these processes is operable to make use of user input via the structured data exchange mechanism.", "As a more specific example, the description that follows teaches a multi-process graphical program, referred to herein as Squares, as an example instantiation of embodiments that coordinate the behaviors and graphical outputs of multiple computer processes so as to give rise to an interactive application.", "The description of this example instantiation is intended show how the mechanism disclosed herein operates at a level of detail sufficient to implement it for any interactive program.", "The mechanism (and indeed the component parts of it) is fully general and may, in practice, be implemented in a variety of different ways.", "As is typically the case in such programs, the mechanism disclosed herein provides major services including, but not limited to, access to user input, fine-grained coordination of program state across processes, and coordination of graphical output.", "The Squares program presented herein serves to demonstrate several kinds of basic coordination that are useful in real-world programs.", "The Squares program provides for a flexible number of colored, translucent squares to be rendered on one or more computer displays.", "Each of these squares is embodied in a single computational process.", "The states and graphical particulars of each square depend on a variety of factors, including user input actions, the states of other squares, and globally delivered external messages.", "The squares may be moved around on the display using an input device (e.g., mouse, touch-screen, etc.).", "A gestural/spatial input system as described in the Related Applications may also be used to move the squares, in which case the squares can be positioned on any of the displays available to computers that are participating in the gestural/spatial network.", "FIG.", "1C is a block diagram of a multi-process interactive system 100, under another alternative embodiment.", "This system o100 includes the processes and interconnections that combine to form an example run of the program.", "The solid rectangle elements (e.g., elements M, P, S, G, generally) represent processes in the system 100.The oval elements (e.g., elements Ui, Coo, frames) represent pools, a mechanism for structured data exchange as described in detail below and in the Related Applications.", "The data messages that pass through the pools are generically described as “proteins,” as described below.", "The multi-process interactive system 100 of this example includes or runs across two computers 101 and 102 but is not limited to two computers and could run across any number and/or combination of processing systems.", "In this example, a first computer 101 hosts processes embodying two squares S (e.g., S21, S22), and a second computer 102 hosts processes embodying four squares S (e.g., S11, S12, S13, S14).", "Alternative embodiments can include any number of squares processes S running on any number of computers.", "The first computer 101 is coupled to a single display 110, and the second computer 102 is coupled to three displays 121, 122, 123.Alternative embodiments can include any number of displays coupled to any number of computers.", "Each of the two computers 101 and 102 hosts at least one ‘mouse’ process M (e.g., M1, M2).", "The mouse process M includes a high-level driver that transforms computer mouse input events into a suitable stream of user input proteins and delivers those proteins into at least one ‘user input’ pool Ui.", "A gestural/spatial system (as described in detail below), encapsulated as a gestural/spatial process G, also delivers user input proteins into the user input pool Ui.", "Each of the two computers 101 and 102 also hosts at least one ‘pointers’ process P (e.g., P1, P2).", "The pointers process P takes or receives data from the Ui pool and is responsible for determining where the user is directing pointer “attention”, and for drawing or rendering appropriate pointer graphics.", "The pointers process P places data relating to or representing pointer locations and modes into a ‘coordination’ pool Coo.", "The pointers process P delivers graphical output into the ‘frames’ pool, which is a specialized abstraction described in detail below.", "Further, each of the two computers 101 and 102 hosts several ‘square’ processes S, as described above.", "Each square process S consults the coordination pool Coo for pointer data and for the states of peer square processes S. Each square process S also places data back into the coordination pool Coo describing their own spatial and modal states.", "The square process S delivers graphical output into the ‘frames’ pool.", "The frames pool is a specialized abstraction, described in detail below.", "The gestural/spatial process G along with the user input pool Ui and coordination pool Coo can be hosted on either of the two computers 101 and 102.Alternatively, hosting of the gestural/spatial process G along with the user input pool Ui and coordination pool Coo can be shared between the two computers 101 and 102.As yet another alternative configuration, the gestural/spatial process G along with the user input pool Ui and coordination pool Coo can be hosted on other computers (not shown).", "For proteins deposited into the local frames pool, the system 100 includes a dedicated compositing process com that combines frame layers into a single frame of output for each display, many times each second.", "The overall display frame-rate is generally set by a system-level configuration choice, but each of the individual processes that make up the squares application is enabled to use a different frame-rate.", "The compositing process com takes care of matching up the frame layers appropriately.", "FIG.", "2 is a flow diagram 200 for operations of the multi-process interactive system, under an embodiment.", "The operations include executing a plurality of processes on at least one processing device 202.The plurality of processes include separable program execution contexts of a plurality of application programs, such that each application program comprises at least one process.", "The operations include translating events of each process of the plurality of processes into data capsules 204.A data message includes an application-independent representation of event data of an event and state information of the process originating the data message.", "The operations include transferring the data messages into at least one pool of a plurality of pools 206.Each process operates as a recognizing process such that the recognizing process recognizes in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process 208.The recognizing process retrieves recognized data capsules from the plurality of pools and executes processing appropriate to contents of the recognized data capsules 210.The operations of the multi-process interactive system enable coordination among the processes, where the coordinating includes each process of the plurality of processes coordinating with peer processes of the plurality of processes by retrieving from the plurality of pools state information of the peer processes.", "The operations also enable generation of an output of the plurality of processes by interactively combining content of a set of data capsules of at least one pool of the plurality of pools.", "In handling mouse and gestural/spatial inputs, the mouse process M monitors the low-level mouse hardware and translates traditional mouse driver events into proteins that are screen independent.", "A mouse process M protein of an embodiment delivered to the user input pool Ui, in accordance with the description below, is as follows: descrips: - input driver - mango.local - mouse ingests: - id: 0x012345 - pos: [1280, 800] - buttons: [1] A gestural/spatial process G protein would look similar, as follows: descrips: - input driver - mango.local - hand ingests: - id: 0x017845 - gripe: ..||| - pos: [127.4, 10.5, 12.2] - point: [[−0.099833, 0.000000, −0.995004], [0.099335 0.995004 −0.009967]] The pointers processes P interpret these messages as implying the position in three-dimensional space of the various pointers that they are responsible for drawing.", "A static set of pointers may have been defined in the application code, or earlier proteins may have defined and initialized the pointers.", "For the instantiation of the Squares program running within a spatial operating environment, each of the pointers processes P knows the exact real-world positions of the display screens attached to the computers they are hosted by.", "Again, these display screens may have been initialized at start-up or dynamically by data messages.", "As proteins arrive in the user input pool Ui, the pointers processes P react by constructing new proteins and delivering them to the coordination pool Coo, as follows: descrips: - pointer - click - mouse ingests: - mid: 0x2345 - origin: [0.0, 0.0, 0.0] - passes-through: [0.0, 1625.6, −2349.3] descrips: - pointer - click - hand - one-finger ingests: - mid: 0x2372 - origin: [127.4, 10.5, 12.2] - passes-through: [0.0, 1625.6, −2349.3] These proteins or messages define the position of pointer objects with respect to the available displays.", "Each pointer process P is configured so as to manage the mathematical transformations for the displays attached only to the computer on which it is hosted.", "Periodically, each pointer process P also draws a frame of graphical output.", "This graphical data is delivered to the frames pool.", "Each frame produced by the pointer process P renders all of the pointer graphics that will appear on the displays attached to the computer hosting that process.", "Turning to the application model and graphics of an embodiment, the square processes S are responsible for tracking and drawing the translucent squares that are the focal point of the Squares application.", "Each square has a position, orientation, size and color.", "The square processes S put proteins into the coordination pool Coo any time the state of a square changes: descrips: - tsquare - position ingests: - tid: 0x45878912 - pos: [0.0, 0.0, 0.0] - up: [0.0, 1.0, 0.0] - over: [1.0, 0.0, 0.0] - size: 25.0 - color: [1.0, 1.0, 1.0, 1.0] The square processes S also deliver graphical output to the frames pool, much as the pointer processes do.", "Each square process S, however, renders its own square whether or not that square will appear on a display attached to the computer hosting the process.", "Frame handling is described in detail below.", "The placing or transfer of proteins describing the state of the pointers and squares into a multi-subscriber pool allows the separate processes that make up this application to coordinate, thus providing coordination between dissimilar processes.", "The square processes S of an embodiment monitor for proteins that indicate a pointer passing into the area of the square's boundary.", "When this happens, the square process S puts a protein into the pool indicating the overlap and references to the square and the pointer involved, as follows: descrips: - tsquare - pointer-overlap - entrance ingests: - tid: 0x45878912 - mid: 0x2372 The pointers processes P monitor for proteins of this form.", "When a pointer process P identifies or sees an overlap protein referencing it's own mid, it changes the graphical representation it uses when drawing the pointer frame.", "The overlap-indicative graphic will be used until the process sees an appropriate overlap-exit protein, for example: descrips: - tsquare - pointer-overlap - exit ingests: - tid: 0x45878912 - mid: 0x2372 Many variations to the above coordination strategy are possible.", "The pointers processes P could handle the duty of checking for geometric overlap (rather than the squares processes S doing so as described above).", "The processes could all be frame-synchronized and an overlap protein could be generated for every frame, which would eliminate the need for separate entrance and exit proteins.", "There is nearly always a diversity of solutions available for any given coordination problem faced when working within the mechanism described herein.", "This flexibility is, in fact, one of the strengths of the embodiments herein.", "The description herein documents at least one of the solutions implemented for several of the interlocking problems faced in attempting to construct a typical multi-process graphical application.", "A number of references collect useful messaging patterns, many of which are applicable, for example, “Enterprise Integration Patterns: Designing, Building and Deploying Messaging Solutions” by G. Hohpe and B. Woolf, ISBN 0321146530.In connecting user input to manipulatory actions under an embodiment, interactively moving a square includes the squares processes S making use of the data that the pointers processes P put into the coordination pools Coo.", "A squares process S initializes a move in response to the combination of a recognized pointer overlap condition and a protein with “pointer” and “click” descrips.", "The graphical representation of the square changes while a move is in progress, and the position of the square in space follows the pointer.", "The move continues until a corresponding “pointer”/“unclick” protein occurs.", "The squares of an embodiment also change their colors when they overlap one another.", "Whenever an S process sees a “tsquare”/“position” protein, it calculates whether there is any overlap between itself and the depositor of that protein.", "If so, it uses an overlap-indicative color when it renders its next frame, otherwise, it uses its normal color.", "Note that the flexibility of the loosely-coupled architecture of an embodiment provides for other or alternative ways of implementing this behavior.", "The squares processes S could avoid doing the overlap calculations and instead offload this work to another process, for example, which would continually do the math for some or all squares and drop proteins describing an overlap condition into the coordination pool Coo.", "The squares processes S would simply wait for these proteins: descrips: - tsquare - square-overlap ingests: - tids: [0x45878912, 0x45878916].", "This flexibility to slice and dice the application workloads is very useful.", "Compute-intensive jobs can move to processors or machines that have spare power.", "Producers of data can instantiate helper processes as needed (and terminate them when they are no longer needed).", "Greater computational and rendering resources can be applied to application areas that a user is interacting directly with, or where a user is immediately able to perceive greater granularity, detail or refresh rates.", "All of this is possible because the multi-process interactive system described herein externalizes application state and allows multi-process access to that state.", "In contrast, with contemporary programming models runtime state is almost entirely “locked up” inside an individual process.", "The multi-process interactive system encourages programmers to expose all interactive functionality as protein-drivable state.", "The Application Programming Interface (API) is defined by the proteins that each process recognizes, rather than by traditional function calls.", "For example, a protein is defined that changes the color of any (or all) of the squares: descrips: - tsquare - color-change-command ingests: - tids: [0x0] - normal-color: [1.0, 0.0, 0.0, 0.65] - overlap-color: [1.0, 0.0, 0.0, 1.0] When any squares process S sees this protein in the coordination pool Coo, it checks the tids list to see whether either its own unique object id or the general address oxo is present.", "If so, the process begins to render its square using the two new colors specified (one for the normal case and one for the overlap case).", "Using this mechanism and this “expose all interactive functions as proteins” approach, a new utility to control the color of squares can be written after all the other code for the Squares application is already finished, deployed and running.", "No recompilation or relinking is necessary to add new functionality into the running application.", "Interactive debuggers for graphical applications are another program type that benefit from this approach.", "Traditional debuggers generally need to pause a program before they can display very much about a programs internal state.", "If all of the manipulable state of a program is exposed via a pool as described herein, however, a debugger can both monitor and manipulate program state while the program is running.", "Both the pointer processes P and square processes S push graphics data to the frames pools in order for any display output to become visible to users.", "The embodiments described herein include multiple ways for outputting graphics, some of which are described here in detail.", "Other embodiments can operate under different combinations of the processes described below for pushing graphics data to the frames pools and outputting graphics.", "In an embodiment, processes may use a direct rendering framework, such as OpenGL, to draw directly to a system graphics layer.", "Under this approach, a pool is used for coordination, but not for graphics commands or pixel data.", "Another embodiment outputs graphics data via processes that deliver rendering commands to a pool.", "Another process (or processes) is then responsible for interpreting the rendering commands and driving the system graphics layer.", "These commands can be very low-level, such as bare OpenGL calls, for example.", "Conversely, these rendering commands can be very high-level, for example, like the tsquare proteins described above that comprise sufficient information that a dedicated rendering process could draw the squares frame by frame.", "Yet another embodiment outputs graphics data via processes that render to in-memory pixel buffers, then transfer or place the resulting raw frame data into a pool.", "Another process (or processes) combines the raw frame data.", "The volume of data that the pool handles is generally much larger with this approach than for the graphics output approaches described above.", "Local rendering and network frame transport provides a great deal of flexibility, however, so if a high-bandwidth network and a fast pools implementation is available, this is often used.", "The example system 100 described above with reference to FIG.", "1C generally outputs graphics data via processes that render to in-memory pixel buffers, then transfers the resulting raw frame data into a pool.", "Another process (or processes) combines the raw frame data.", "The volume of data that the pool handles is generally much larger with this approach than for the graphics output approaches described above.", "Local rendering and network frame transport provides a great deal of flexibility, however, so if a high-bandwidth network and a fast pools implementation is available, this is often used.", "Therefore, the pointer processes P and square processes S each render their own individual graphical elements.", "Each process chooses a number of color components and a number of pixels to render.", "A process can render a full display's worth of pixels (2560×1600, for example) using components of the RGBA (red, green, blue, alpha) color space or the RGB color model with alpha blending and alpha compositing.", "To save compute cycles, rendering overhead and pools bandwidth, though, a process may produce only as many pixels as are necessary to capture the projected bounding box of a particular graphical object, and may use only two components if a luminance (with transparency) rendering is sufficient.", "Rendered pixel data is transferred or delivered into the frames pool along with a variety of metadata (e.g., geometric extent, layering information, frame-rate indications, extra color information, etc).", "As the Squares application is running in the context of a spatial operating environment, each process has access to real-world geometry data and is able to deliver appropriate output to each of the frames pools.", "This may involve rendering more than one frame for each output cycle.", "Protein deposit into a local frames pool occurs at a rate that generally makes unnecessary compression of pixel data.", "To achieve relatively low latency for interactive applications, however, network deposits can reduce the amount of data sent for each frame.", "An embodiment uses hardware compression to reduce the number of bytes required to represent each array of pixels, but the embodiment is not so limited.", "With reference to FIG.", "1C, an embodiment of the system 100 uses a dedicated compositing process COM that combines these frame layers into a single frame of output for each display, many times each second.", "The overall display frame-rate is generally set by a system-level configuration choice, but each of the individual processes that make up the squares application is enabled to use a different frame-rate.", "The compositing process com takes care of matching up the frame layers appropriately.", "As described above with reference to FIGS.", "1A-1C, the multi-process interactive system of an embodiment includes processes, pools, and proteins.", "The solid rectangles in the system represent processes, while the ovals represent pools, a mechanism for structured data exchange.", "The data messages that pass through the pools are generically described as “proteins.” Each of the processes generates proteins and deposits the proteins into one or more pools, and retrieves proteins from the one or more pools.", "The pools and proteins are components of methods and systems described herein for encapsulating data that is to be shared between or across processes.", "These mechanisms also include slawx (plural of “slaw”) in addition to the proteins and pools.", "Generally, slawx provide the lowest-level of data definition for inter-process exchange, proteins provide mid-level structure and hooks for querying and filtering, and pools provide for high-level organization and access semantics.", "Slawx include a mechanism for efficient, platform-independent data representation and access.", "Proteins provide a data encapsulation and transport scheme using slawx as the payload.", "Pools provide structured and flexible aggregation, ordering, filtering, and distribution of proteins within a process, among local processes, across a network between remote or distributed processes, and via longer term (e.g.", "on-disk, etc.)", "storage.", "The configuration and implementation of embodiments of the multi-process interactive system include several constructs that together enable numerous capabilities.", "For example, the embodiments described herein provide efficient exchange of data between large numbers of processes as described above.", "The embodiments described herein also provide flexible data “typing” and structure, so that widely varying kinds and uses of data are supported.", "Furthermore, embodiments described herein include flexible mechanisms for data exchange (e.g., local memory, disk, network, etc.", "), all driven by substantially similar application programming interfaces (APIs).", "Moreover, embodiments described enable data exchange between processes written in different programming languages.", "Additionally, embodiments described herein enable automatic maintenance of data caching and aggregate state.", "FIG.", "3 is a block diagram of a processing environment including data representations using slawx, proteins, and pools, under an embodiment.", "The principal constructs of the embodiments presented herein include slawx (plural of “slaw”), proteins, and pools.", "Slawx as described herein includes a mechanism for efficient, platform-independent data representation and access.", "Proteins, as described in detail herein, provide a data encapsulation and transport scheme, and the payload of a protein of an embodiment includes slawx.", "Pools, as described herein, provide structured yet flexible aggregation, ordering, filtering, and distribution of proteins.", "The pools provide access to data, by virtue of proteins, within a process, among local processes, across a network between remote or distributed processes, and via ‘longer term’ (e.g.", "on-disk) storage.", "FIG.", "4 is a block diagram of a protein, under an embodiment.", "The protein includes a length header, a descrip, and an ingest.", "Each of the descrip and ingest includes slaw or slawx, as described in detail below.", "FIG.", "5 is a block diagram of a descrip, under an embodiment.", "The descrip includes an offset, a length, and slawx, as described in detail below.", "FIG.", "6 is a block diagram of an ingest, under an embodiment.", "The ingest includes an offset, a length, and slawx, as described in detail below.", "FIG.", "7 is a block diagram of a slaw, under an embodiment.", "The slaw includes a type header and type-specific data, as described in detail below.", "FIG.", "8A is a block diagram of a protein in a pool, under an embodiment.", "The protein includes a length header (“protein length”), a descrips offset, an ingests offset, a descrip, and an ingest.", "The descrips includes an offset, a length, and a slaw.", "The ingest includes an offset, a length, and a slaw.", "The protein as described herein is a mechanism for encapsulating data that needs to be shared between processes, or moved across a bus or network or other processing structure.", "As an example, proteins provide an improved mechanism for transport and manipulation of data including data corresponding to or associated with user interface events; in particular, the user interface events of an embodiment include those of the gestural interface described in U.S. Pat.", "No.", "7,598,942, and herein incorporated by reference in its entirety.", "As a further example, proteins provide an improved mechanism for transport and manipulation of data including, but not limited to, graphics data or events, and state information, to name a few.", "A protein is a structured record format and an associated set of methods for manipulating records.", "Manipulation of records as used herein includes putting data into a structure, taking data out of a structure, and querying the format and existence of data.", "Proteins are configured to be used via code written in a variety of computer languages.", "Proteins are also configured to be the basic building block for pools, as described herein.", "Furthermore, proteins are configured to be natively able to move between processors and across networks while maintaining intact the data they include.", "In contrast to conventional data transport mechanisms, proteins are untyped.", "While being untyped, the proteins provide a powerful and flexible pattern-matching facility, on top of which “type-like” functionality is implemented.", "Proteins configured as described herein are also inherently multi-point (although point-to-point forms are easily implemented as a subset of multi-point transmission).", "Additionally, proteins define a “universal” record format that does not differ (or differs only in the types of optional optimizations that are performed) between in-memory, on-disk, and on-the-wire (network) formats, for example.", "Referring to FIGS.", "4 and 8, a protein of an embodiment is a linear sequence of bytes.", "Within these bytes are encapsulated a descrips list and a set of key-value pairs called ingests.", "The descrips list includes an arbitrarily elaborate but efficiently filterable per-protein event description.", "The ingests include a set of key-value pairs that comprise the actual contents of the protein.", "Proteins' concern with key-value pairs, as well as some core ideas about network-friendly and multi-point data interchange, is shared with earlier systems that privilege the concept of “tuples” (e.g., Linda, Jini).", "Proteins differ from tuple-oriented systems in several major ways, including the use of the descrips list to provide a standard, optimizable pattern matching substrate.", "Proteins also differ from tuple-oriented systems in the rigorous specification of a record format appropriate for a variety of storage and language constructs, along with several particular implementations of “interfaces” to that record format.", "Turning to a description of proteins, the first four or eight bytes of a protein specify the protein's length, which must be a multiple of 16 bytes in an embodiment.", "This 16-byte granularity ensures that byte-alignment and bus-alignment efficiencies are achievable on contemporary hardware.", "A protein that is not naturally “quad-word aligned” is padded with arbitrary bytes so that its length is a multiple of 16 bytes.", "The length portion of a protein has the following format: 32 bits specifying length, in big-endian format, with the four lowest-order bits serving as flags to indicate macro-level protein structure characteristics; followed by 32 further bits if the protein's length is greater than 2=bytes.", "The 16-byte-alignment proviso of an embodiment means that the lowest order bits of the first four bytes are available as flags.", "And so the first three low-order bit flags indicate whether the protein's length can be expressed in the first four bytes or requires eight, whether the protein uses big-endian or little-endian byte ordering, and whether the protein employs standard or non-standard structure, respectively, but the protein is not so limited.", "The fourth flag bit is reserved for future use.", "If the eight-byte length flag bit is set, the length of the protein is calculated by reading the next four bytes and using them as the high-order bytes of a big-endian, eight-byte integer (with the four bytes already read supplying the low-order portion).", "If the little-endian flag is set, all binary numerical data in the protein is to be interpreted as little-endian (otherwise, big-endian).", "If the non-standard flag bit is set, the remainder of the protein does not conform to the standard structure to be described below.", "Non-standard protein structures will not be discussed further herein, except to say that there are various methods for describing and synchronizing on non-standard protein formats available to a systems programmer using proteins and pools, and that these methods can be useful when space or compute cycles are constrained.", "For example, the shortest protein of an embodiment is sixteen bytes.", "A standard-format protein cannot fit any actual payload data into those sixteen bytes (the lion's share of which is already relegated to describing the location of the protein's component parts).", "But a non-standard format protein could conceivably use 12 of its 16 bytes for data.", "Two applications exchanging proteins could mutually decide that any 16-byte-long proteins that they emit always include 12 bytes representing, for example, 12 8-bit sensor values from a real-time analog-to-digital converter.", "Immediately following the length header, in the standard structure of a protein, two more variable-length integer numbers appear.", "These numbers specify offsets to, respectively, the first element in the descrips list and the first key-value pair (ingest).", "These offsets are also referred to herein as the descrips offset and the ingests offset, respectively.", "The byte order of each quad of these numbers is specified by the protein endianness flag bit.", "For each, the most significant bit of the first four bytes determines whether the number is four or eight bytes wide.", "If the most significant bit (msb) is set, the first four bytes are the most significant bytes of a double-word (eight byte) number.", "This is referred to herein as “offset form”.", "Use of separate offsets pointing to descrips and pairs allows descrips and pairs to be handled by different code paths, making possible particular optimizations relating to, for example, descrips pattern-matching and protein assembly.", "The presence of these two offsets at the beginning of a protein also allows for several useful optimizations.", "Most proteins will not be so large as to require eight-byte lengths or pointers, so in general the length (with flags) and two offset numbers will occupy only the first three bytes of a protein.", "On many hardware or system architectures, a fetch or read of a certain number of bytes beyond the first is “free” (e.g., 16 bytes take exactly the same number of clock cycles to pull across the Cell processor's main bus as a single byte).", "In many instances it is useful to allow implementation-specific or context-specific caching or metadata inside a protein.", "The use of offsets allows for a “hole” of arbitrary size to be created near the beginning of the protein, into which such metadata may be slotted.", "An implementation that can make use of eight bytes of metadata gets those bytes for free on many system architectures with every fetch of the length header for a protein.", "The descrips offset specifies the number of bytes between the beginning of the protein and the first descrip entry.", "Each descrip entry comprises an offset (in offset form, of course) to the next descrip entry, followed by a variable-width length field (again in offset format), followed by a slaw.", "If there are no further descrips, the offset is, by rule, four bytes of zeros.", "Otherwise, the offset specifies the number of bytes between the beginning of this descrip entry and the next one.", "The length field specifies the length of the slaw, in bytes.", "In most proteins, each descrip is a string, formatted in the slaw string fashion: a four-byte length/type header with the most significant bit set and only the lower 30 bits used to specify length, followed by the header's indicated number of data bytes.", "As usual, the length header takes its endianness from the protein.", "Bytes are assumed to encode UTF-8 characters (and thus—nota bene—the number of characters is not necessarily the same as the number of bytes).", "The ingests offset specifies the number of bytes between the beginning of the protein and the first ingest entry.", "Each ingest entry comprises an offset (in offset form) to the next ingest entry, followed again by a length field and a slaw.", "The ingests offset is functionally identical to the descrips offset, except that it points to the next ingest entry rather than to the next descrip entry.", "In most proteins, every ingest is of the slaw cons type comprising a two-value list, generally used as a key/value pair.", "The slaw cons record comprises a four-byte length/type header with the second most significant bit set and only the lower 30 bits used to specify length; a four-byte offset to the start of the value (second) element; the four-byte length of the key element; the slaw record for the key element; the four-byte length of the value element; and finally the slaw record for the value element.", "Generally, the cons key is a slaw string.", "The duplication of data across the several protein and slaw cons length and offsets field provides yet more opportunity for refinement and optimization.", "The construct used under an embodiment to embed typed data inside proteins, as described above, is a tagged byte-sequence specification and abstraction called a “slaw” (the plural is “slawx”).", "A slaw is a linear sequence of bytes representing a piece of (possibly aggregate) typed data, and is associated with programming-language-specific APIs that allow slawx to be created, modified and moved around between memory spaces, storage media, and machines.", "The slaw type scheme is intended to be extensible and as lightweight as possible, and to be a common substrate that can be used from any programming language.", "The desire to build an efficient, large-scale inter-process communication mechanism is the driver of the slaw configuration.", "Conventional programming languages provide sophisticated data structures and type facilities that work well in process-specific memory layouts, but these data representations invariably break down when data needs to be moved between processes or stored on disk.", "The slaw architecture is, first, a substantially efficient, multi-platform friendly, low-level data model for inter-process communication.", "But even more importantly, slawx are configured to influence, together with proteins, and enable the development of future computing hardware (microprocessors, memory controllers, disk controllers).", "A few specific additions to, say, the instruction sets of commonly available microprocessors make it possible for slawx to become as efficient even for single-process, in-memory data layout as the schema used in most programming languages.", "Each slaw comprises a variable-length type header followed by a type-specific data layout.", "In an example embodiment, which supports full slaw functionality in C, C++ and Ruby for example, types are indicated by a universal integer defined in system header files accessible from each language.", "More sophisticated and flexible type resolution functionality is also enabled: for example, indirect typing via universal object IDs and network lookup.", "The slaw configuration of an embodiment allows slaw records to be used as objects in language-friendly fashion from both Ruby and C++, for example.", "A suite of utilities external to the C++ compiler sanity-check slaw byte layout, create header files and macros specific to individual slaw types, and auto-generate bindings for Ruby.", "As a result, well-configured slaw types are quite efficient even when used from within a single process.", "Any slaw anywhere in a process's accessible memory can be addressed without a copy or “deserialization” step.", "Slaw functionality of an embodiment includes API facilities to perform one or more of the following: create a new slaw of a specific type; create or build a language-specific reference to a slaw from bytes on disk or in memory; embed data within a slaw in type-specific fashion; query the size of a slaw; retrieve data from within a slaw; clone a slaw; and translate the endianness and other format attributes of all data within a slaw.", "Every species of slaw implements the above behaviors.", "FIG.", "8B shows a slaw header format, under an embodiment.", "A detailed description of the slaw follows.", "The internal structure of each slaw optimizes each of type resolution, access to encapsulated data, and size information for that slaw instance.", "In an embodiment, the full set of slaw types is by design minimally complete, and includes: the slaw string; the slaw cons (i.e.", "dyad); the slaw list; and the slaw numerical object, which itself represents a broad set of individual numerical types understood as permutations of a half-dozen or so basic attributes.", "The other basic property of any slaw is its size.", "In an embodiment, slawx have byte-lengths quantized to multiples of four; these four-byte words are referred to herein as ‘quads’.", "In general, such quad-based sizing aligns slawx well with the configurations of modern computer hardware architectures.", "The first four bytes of every slaw in an embodiment comprise a header structure that encodes type-description and other metainformation, and that ascribes specific type meanings to particular bit patterns.", "For example, the first (most significant) bit of a slaw header is used to specify whether the size (length in quad-words) of that slaw follows the initial four-byte type header.", "When this bit is set, it is understood that the size of the slaw is explicitly recorded in the next four bytes of the slaw (e.g., bytes five through eight); if the size of the slaw is such that it cannot be represented in four bytes (i.e.", "if the size is or is larger than two to the thirty-second power) then the next-most-significant bit of the slaw's initial four bytes is also set, which means that the slaw has an eight-byte (rather than four byte) length.", "In that case, an inspecting process will find the slaw's length stored in ordinal bytes five through twelve.", "On the other hand, the small number of slaw types means that in many cases a fully specified typal bit-pattern “leaves unused” many bits in the four byte slaw header; and in such cases these bits may be employed to encode the slaw's length, saving the bytes (five through eight) that would otherwise be required.", "For example, an embodiment leaves the most significant bit of the slaw header (the “length follows” flag) unset and sets the next bit to indicate that the slaw is a “wee cons”, and in this case the length of the slaw (in quads) is encoded in the remaining thirty bits.", "Similarly, a “wee string” is marked by the pattern 001 in the header, which leaves twenty-nine bits for representation of the slaw-string's length; and a leading 0001 in the header describes a “wee list”, which by virtue of the twenty-eight available length-representing bits can be a slaw list of up to two-to-the-twenty-eight quads in size.", "A “full string” (or cons or list) has a different bit signature in the header, with the most significant header bit necessarily set because the slaw length is encoded separately in bytes five through eight (or twelve, in extreme cases).", "Note that the Plasma implementation “decides” at the instant of slaw construction whether to employ the “wee” or the “full” version of these constructs (the decision is based on whether the resulting size will “fit” in the available wee bits or not), but the full-vs.-wee detail is hidden from the user of the Plasma implementation, who knows and cares only that she is using a slaw string, or a slaw cons, or a slaw list.", "Numeric slawx are, in an embodiment, indicated by the leading header pattern 00001.Subsequent header bits are used to represent a set of orthogonal properties that may be combined in arbitrary permutation.", "An embodiment employs, but is not limited to, five such character bits to indicate whether or not the number is: (1) floating point; (2) complex; (3) unsigned; (4) “wide”; (5) “stumpy” ((4) “wide” and (5) “stumpy” are permuted to indicate eight, sixteen, thirty-two, and sixty-four bit number representations).", "Two additional bits (e.g., (7) and (8)) indicate that the encapsulated numeric data is a two-, three-, or four-element vector (with both bits being zero suggesting that the numeric is a “one-element vector” (i.e.", "a scalar)).", "In this embodiment the eight bits of the fourth header byte are used to encode the size (in bytes, not quads) of the encapsulated numeric data.", "This size encoding is offset by one, so that it can represent any size between and including one and two hundred fifty-six bytes.", "Finally, two character bits (e.g., (9) and (10)) are used to indicate that the numeric data encodes an array of individual numeric entities, each of which is of the type described by character bits (1) through (8).", "In the case of an array, the individual numeric entities are not each tagged with additional headers, but are packed as continuous data following the single header and, possibly, explicit slaw size information.", "This embodiment affords simple and efficient slaw duplication (which can be implemented as a byte-for-byte copy) and extremely straightforward and efficient slaw comparison (two slawx are the same in this embodiment if and only if there is a one-to-one match of each of their component bytes considered in sequence).", "This latter property is important, for example, to an efficient implementation of the protein architecture, one of whose critical and pervasive features is the ability to search through or ‘match on’ a protein's descrips list.", "Further, the embodiments herein allow aggregate slaw forms (e.g., the slaw cons and the slaw list) to be constructed simply and efficiently.", "For example, an embodiment builds a slaw cons from two component slawx, which may be of any type, including themselves aggregates, by: (a) querying each component slaw's size; (b) allocating memory of size equal to the sum of the sizes of the two component slawx and the one, two, or three quads needed for the header-plus-size structure; (c) recording the slaw header (plus size information) in the first four, eight, or twelve bytes; and then (d) copying the component slawx's bytes in turn into the immediately succeeding memory.", "Significantly, such a construction routine need know nothing about the types of the two component slawx; only their sizes (and accessibility as a sequence of bytes) matters.", "The same process pertains to the construction of slaw lists, which are ordered encapsulations of arbitrarily many sub-slawx of (possibly) heterogeneous type.", "A further consequence of the slaw system's fundamental format as sequential bytes in memory obtains in connection with “traversal” activities—a recurring use pattern uses, for example, sequential access to the individual slawx stored in a slaw list.", "The individual slawx that represent the descrips and ingests within a protein structure must similarly be traversed.", "Such maneuvers are accomplished in a stunningly straightforward and efficient manner: to “get to” the next slaw in a slaw list, one adds the length of the current slaw to its location in memory, and the resulting memory location is identically the header of the next slaw.", "Such simplicity is possible because the slaw and protein design eschews “indirection”; there are no pointers; rather, the data simply exists, in its totality, in situ.", "To the point of slaw comparison, a complete implementation of the Plasma system must acknowledge the existence of differing and incompatible data representation schemes across and among different operating systems, CPUs, and hardware architectures.", "Major such differences include byte-ordering policies (e.g., little- vs. big-endianness) and floating-point representations; other differences exist.", "The Plasma specification requires that the data encapsulated by slawx be guaranteed interprable (i.e., must appear in the native format of the architecture or platform from which the slaw is being inspected.", "This requirement means in turn that the Plasma system is itself responsible for data format conversion.", "However, the specification stipulates only that the conversion take place before a slaw becomes “at all visible” to an executing process that might inspect it.", "It is therefore up to the individual implementation at which point it chooses to perform such format c conversion; two appropriate approaches are that slaw data payloads are conformed to the local architecture's data format (1) as an individual slaw is “pulled out” of a protein in which it had been packed, or (2) for all slaw in a protein simultaneously, as that protein is extracted from the pool in which it was resident.", "Note that the conversion stipulation considers the possibility of hardware-assisted implementations.", "For example, networking chipsets built with explicit Plasma capability may choose to perform format conversion intelligently and at the “instant of transmission”, based on the known characteristics of the receiving system.", "Alternately, the process of transmission may convert data payloads into a canonical format, with the receiving process symmetrically converting from canonical to “local” format.", "Another embodiment performs format conversion “at the metal”, meaning that data is always stored in canonical format, even in local memory, and that the memory controller hardware itself performs the conversion as data is retrieved from memory and placed in the registers of the proximal CPU.", "A minimal (and read-only) protein implementation of an embodiment includes operation or behavior in one or more applications or programming languages making use of proteins.", "FIG.", "8B is a flow diagram 850 for using proteins, under an embodiment.", "Operation begins by querying 852 the length in bytes of a protein.", "The number of descrips entries is queried 854.The number of ingests is queried 856.A descrip entry is retrieved 858 by index number.", "An ingest is retrieved 860 by index number.", "The embodiments described herein also define basic methods allowing proteins to be constructed and filled with data, helper-methods that make common tasks easier for programmers, and hooks for creating optimizations.", "FIG.", "8C is a flow diagram 870 for constructing or generating proteins, under an embodiment.", "Operation begins with creation 872 of a new protein.", "A series of descrips entries are appended 874.An ingest is also appended 876.The presence of a matching descrip is queried 878, and the presence of a matching ingest key is queried 880.Given an ingest key, an ingest value is retrieved 882.Pattern matching is performed 884 across descrips.", "Non-structured metadata is embedded 886 near the beginning of the protein.", "As described above, slawx provide the lowest-level of data definition for inter-process exchange, proteins provide mid-level structure and hooks for querying and filtering, and pools provide for high-level organization and access semantics.", "The pool is a repository for proteins, providing linear sequencing and state caching.", "The pool also provides multi-process access by multiple programs or applications of numerous different types.", "Moreover, the pool provides a set of common, optimizable filtering and pattern-matching behaviors.", "The pools of an embodiment, which can accommodate tens of thousands of proteins, function to maintain state, so that individual processes can offload much of the tedious bookkeeping common to multi-process program code.", "A pool maintains or keeps a large buffer of past proteins available—the Platonic pool is explicitly infinite—so that participating processes can scan both backwards and forwards in a pool at will.", "The size of the buffer is implementation dependent, of course, but in common usage it is often possible to keep proteins in a pool for hours or days.", "The most common style of pool usage as described herein hews to a biological metaphor, in contrast to the mechanistic, point-to-point approach taken by existing inter-process communication frameworks.", "The name protein alludes to biological inspiration: data proteins in pools are available for flexible querying and pattern matching by a large number of computational processes, as chemical proteins in a living organism are available for pattern matching and filtering by large numbers of cellular agents.", "Two additional abstractions lean on the biological metaphor, including use of “handlers”, and the Golgi framework.", "A process that participates in a pool generally creates a number of handlers.", "Handlers are relatively small bundles of code that associate match conditions with handle behaviors.", "By tying one or more handlers to a pool, a process sets up flexible call-back triggers that encapsulate state and react to new proteins.", "A process that participates in several pools generally inherits from an abstract Golgi class.", "The Golgi framework provides a number of useful routines for managing multiple pools and handlers.", "The Golgi class also encapsulates parent-child relationships, providing a mechanism for local protein exchange that does not use a pool.", "A pools API provided under an embodiment is configured to allow pools to be implemented in a variety of ways, in order to account both for system-specific goals and for the available capabilities of given hardware and network architectures.", "The two fundamental system provisions upon which pools depend are a storage facility and a means of inter-process communication.", "The extant systems described herein use a flexible combination of shared memory, virtual memory, and disk for the storage facility, and IPC queues and TCP/IP sockets for inter-process communication.", "Pool functionality of an embodiment includes, but is not limited to, the following: participating in a pool; placing a protein in a pool; retrieving the next unseen protein from a pool; rewinding or fast-forwarding through the contents (e.g., proteins) within a pool.", "Additionally, pool functionality can include, but is not limited to, the following: setting up a streaming pool call-back for a process; selectively retrieving proteins that match particular patterns of descrips or ingests keys; scanning backward and forwards for proteins that match particular patterns of descrips or ingests keys.", "The proteins described above are provided to pools as a way of sharing the protein data contents with other applications.", "FIG.", "9 is a block diagram of a processing environment including data exchange using slawx, proteins, and pools, under an embodiment.", "This example environment includes three devices (e.g., Device X, Device Y, and Device Z, collectively referred to herein as the “devices”) sharing data through the use of slawx, proteins and pools as described above.", "Each of the devices is coupled to the three pools (e.g., Pool 1, Pool 2, Pool 3).", "Pool 1 includes numerous proteins (e.g., Protein X1, Protein Z2, Protein Y2, Protein X4, Protein Y4) contributed or transferred to the pool from the respective devices (e.g., protein Z2 is transferred or contributed to pool 1 by device Z, etc.).", "Pool 2 includes numerous proteins (e.g., Protein Z4, Protein Y3, Protein Z1, Protein X3) contributed or transferred to the pool from the respective devices (e.g., protein Y3 is transferred or contributed to pool 2 by device Y, etc.).", "Pool 3 includes numerous proteins (e.g., Protein Y1, Protein Z3, Protein X2) contributed or transferred to the pool from the respective devices (e.g., protein X2 is transferred or contributed to pool 3 by device X, etc.).", "While the example described above includes three devices coupled or connected among three pools, any number of devices can be coupled or connected in any manner or combination among any number of pools, and any pool can include any number of proteins contributed from any number or combination of devices.", "The proteins and pools of this example are as described above with reference to FIGS.", "3-8.FIG.", "10 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an embodiment.", "This system is but one example of a multi-user, multi-device, multi-computer interactive control scenario or configuration.", "More particularly, in this example, an interactive system, comprising multiple devices (e.g., device A, B, etc.)", "and a number of programs (e.g., apps AA-AX, apps BA-BX, etc.)", "running on the devices uses the Plasma constructs (e.g., pools, proteins, and slaw) to allow the running programs to share and collectively respond to the events generated by these input devices.", "In this example, each device (e.g., device A, B, etc.)", "translates discrete raw data generated by or output from the programs (e.g., apps AA-AX, apps BA-BX, etc.)", "running on that respective device into Plasma proteins and deposits those proteins into a Plasma pool.", "For example, program AX generates data or output and provides the output to device A which, in turn, translates the raw data into proteins (e.g., protein 1A, protein 2A, etc.)", "and deposits those proteins into the pool.", "As another example, program BC generates data and provides the data to device B which, in turn, translates the data into proteins (e.g., protein 1B, protein 2B, etc.)", "and deposits those proteins into the pool.", "Each protein includes a descrip list that specifies the data or output registered by the application as well as identifying information for the program itself.", "Where possible, the protein descrips may also ascribe a general semantic meaning for the output event or action.", "The protein's data payload (e.g., ingests) carries the full set of useful state information for the program event.", "The proteins, as described above, are available in the pool for use by any program or device coupled or connected to the pool, regardless of type of the program or device.", "Consequently, any number of programs running on any number of computers may extract event proteins from the input pool.", "These devices need only be able to participate in the pool via either the local memory bus or a network connection in order to extract proteins from the pool.", "An immediate consequence of this is the beneficial possibility of decoupling processes that are responsible for generating processing events from those that use or interpret the events.", "Another consequence is the multiplexing of sources and consumers of events so that devices may be controlled by one person or may be used simultaneously by several people (e.g., a Plasma-based input framework supports many concurrent users), while the resulting event streams are in turn visible to multiple event consumers.", "As an example, device C can extract one or more proteins (e.g., protein 1A, protein 2A, etc.)", "from the pool.", "Following protein extraction, device C can use the data of the protein, retrieved or read from the slaw of the descrips and ingests of the protein, in processing events to which the protein data corresponds.", "As another example, device B can extract one or more proteins (e.g., protein 1C, protein 2A, etc.)", "from the pool.", "Following protein extraction, device B can use the data of the protein in processing events to which the protein data corresponds.", "Devices and/or programs coupled or connected to a pool may skim backwards and forwards in the pool looking for particular sequences of proteins.", "It is often useful, for example, to set up a program to wait for the appearance of a protein matching a certain pattern, then skim backwards to determine whether this protein has appeared in conjunction with certain others.", "This facility for making use of the stored event history in the input pool often makes writing state management code unnecessary, or at least significantly reduces reliance on such undesirable coding patterns.", "FIG.", "11 is a block diagram of a processing environment including multiple devices and numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the devices, under an alternative embodiment.", "This system is but one example of a multi-user, multi-device, multi-computer interactive control scenario or configuration.", "More particularly, in this example, an interactive system, comprising multiple devices (e.g., devices X and Y coupled to devices A and B, respectively) and a number of programs (e.g., apps AA-AX, apps BA-BX, etc.)", "running on one or more computers (e.g., device A, device B, etc.)", "uses the Plasma constructs (e.g., pools, proteins, and slaw) to allow the running programs to share and collectively respond to the events generated by these input devices.", "In this example, each device (e.g., devices X and Y coupled to devices A and B, respectively) is managed and/or coupled to run under or in association with one or more programs hosted on the respective device (e.g., device A, device B, etc.)", "which translates the discrete raw data generated by the device (e.g., device X, device A, device Y, device B, etc.)", "hardware into Plasma proteins and deposits those proteins into a Plasma pool.", "For example, device X running in association with application AB hosted on device A generates raw data, translates the discrete raw data into proteins (e.g., protein 1A, protein 2A, etc.)", "and deposits those proteins into the pool.", "As another example, device X running in association with application AT hosted on device A generates raw data, translates the discrete raw data into proteins (e.g., protein 1A, protein 2A, etc.)", "and deposits those proteins into the pool.", "As yet another example, device Z running in association with application CD hosted on device C generates raw data, translates the discrete raw data into proteins (e.g., protein 1C, protein 2C, etc.)", "and deposits those proteins into the pool.", "Each protein includes a descrip list that specifies the action registered by the input device as well as identifying information for the device itself.", "Where possible, the protein descrips may also ascribe a general semantic meaning for the device action.", "The protein's data payload (e.g., ingests) carries the full set of useful state information for the device event.", "The proteins, as described above, are available in the pool for use by any program or device coupled or connected to the pool, regardless of type of the program or device.", "Consequently, any number of programs running on any number of computers may extract event proteins from the input pool.", "These devices need only be able to participate in the pool via either the local memory bus or a network connection in order to extract proteins from the pool.", "An immediate consequence of this is the beneficial possibility of decoupling processes that are responsible for generating processing events from those that use or interpret the events.", "Another consequence is the multiplexing of sources and consumers of events so that input devices may be controlled by one person or may be used simultaneously by several people (e.g., a Plasma-based input framework supports many concurrent users), while the resulting event streams are in turn visible to multiple event consumers.", "Devices and/or programs coupled or connected to a pool may skim backwards and forwards in the pool looking for particular sequences of proteins.", "It is often useful, for example, to set up a program to wait for the appearance of a protein matching a certain pattern, then skim backwards to determine whether this protein has appeared in conjunction with certain others.", "This facility for making use of the stored event history in the input pool often makes writing state management code unnecessary, or at least significantly reduces reliance on such undesirable coding patterns.", "FIG.", "12 is a block diagram of a processing environment including multiple input devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the events generated by the input devices, under another alternative embodiment.", "This system is but one example of a multi-user, multi-device, multi-computer interactive control scenario or configuration.", "More particularly, in this example, an interactive system, comprising multiple input devices (e.g., input devices A, B, BA, and BB, etc.)", "and a number of programs (not shown) running on one or more computers (e.g., device A, device B, etc.)", "uses the Plasma constructs (e.g., pools, proteins, and slaw) to allow the running programs to share and collectively respond to the events generated by these input devices.", "In this example, each input device (e.g., input devices A, B, BA, and BB, etc.)", "is managed by a software driver program hosted on the respective device (e.g., device A, device B, etc.)", "which translates the discrete raw data generated by the input device hardware into Plasma proteins and deposits those proteins into a Plasma pool.", "For example, input device A generates raw data and provides the raw data to device A which, in turn, translates the discrete raw data into proteins (e.g., protein 1A, protein 2A, etc.)", "and deposits those proteins into the pool.", "As another example, input device BB generates raw data and provides the raw data to device B which, in turn, translates the discrete raw data into proteins (e.g., protein 1B, protein 3B, etc.)", "and deposits those proteins into the pool.", "Each protein includes a descrip list that specifies the action registered by the input device as well as identifying information for the device itself.", "Where possible, the protein descrips may also ascribe a general semantic meaning for the device action.", "The protein's data payload (e.g., ingests) carries the full set of useful state information for the device event.", "To illustrate, here are example proteins for two typical events in such a system.", "Proteins are represented here as text however, in an actual implementation, the constituent parts of these proteins are typed data bundles (e.g., slaw).", "The protein describing a g-speak “one finger click” pose (described in the Related Applications) is as follows: [Descrips: {point, engage, one, one-finger-engage, hand, pilot-id-02, hand-id-23} Ingests: {pilot-id => 02, hand-id => 23, pos => [0.0, 0.0, 0.0] angle-axis => [0.0, 0.0, 0.0, 0.707] gripe => ..{circumflex over ( )}||:vx time => 184437103.29}] As a further example, the protein describing a mouse click is as follows: [Descrips: {point, click, one, mouse-click, button-one, mouse-id-02} Ingests: {mouse-id => 23, pos => [0.0, 0.0, 0.0] time => 184437124.80}] Either or both of the sample proteins foregoing might cause a participating program of a host device to run a particular portion of its code.", "These programs may be interested in the general semantic labels: the most general of all, “point”, or the more specific pair, “engage, one”.", "Or they may be looking for events that would plausibly be generated only by a precise device: “one-finger-engage”, or even a single aggregate object, “hand-id-23”.", "The proteins, as described above, are available in the pool for use by any program or device coupled or connected to the pool, regardless of type of the program or device.", "Consequently, any number of programs running on any number of computers may extract event proteins from the input pool.", "These devices need only be able to participate in the pool via either the local memory bus or a network connection in order to extract proteins from the pool.", "An immediate consequence of this is the beneficial possibility of decoupling processes that are responsible for generating ‘input events’ from those that use or interpret the events.", "Another consequence is the multiplexing of sources and consumers of events so that input devices may be controlled by one person or may be used simultaneously by several people (e.g., a Plasma-based input framework supports many concurrent users), while the resulting event streams are in turn visible to multiple event consumers.", "As an example or protein use, device C can extract one or more proteins (e.g., protein 1B, etc.)", "from the pool.", "Following protein extraction, device C can use the data of the protein, retrieved or read from the slaw of the descrips and ingests of the protein, in processing input events of input devices CA and CC to which the protein data corresponds.", "As another example, device A can extract one or more proteins (e.g., protein 1B, etc.)", "from the pool.", "Following protein extraction, device A can use the data of the protein in processing input events of input device A to which the protein data corresponds.", "Devices and/or programs coupled or connected to a pool may skim backwards and forwards in the pool looking for particular sequences of proteins.", "It is often useful, for example, to set up a program to wait for the appearance of a protein matching a certain pattern, then skim backwards to determine whether this protein has appeared in conjunction with certain others.", "This facility for making use of the stored event history in the input pool often makes writing state management code unnecessary, or at least significantly reduces reliance on such undesirable coding patterns.", "Examples of input devices that are used in the embodiments of the system described herein include gestural input sensors, keyboards, mice, infrared remote controls such as those used in consumer electronics, and task-oriented tangible media objects, to name a few.", "FIG.", "13 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow the numerous running programs to share and collectively respond to the graphics events generated by the devices, under yet another alternative embodiment.", "This system is but one example of a system comprising multiple running programs (e.g.", "graphics A-E) and one or more display devices (not shown), in which the graphical output of some or all of the programs is made available to other programs in a coordinated manner using the Plasma constructs (e.g., pools, proteins, and slaw) to allow the running programs to share and collectively respond to the graphics events generated by the devices.", "It is often useful for a computer program to display graphics generated by another program.", "Several common examples include video conferencing applications, network-based slideshow and demo programs, and window managers.", "Under this configuration, the pool is used as a Plasma library to implement a generalized framework which encapsulates video, network application sharing, and window management, and allows programmers to add in a number of features not commonly available in current versions of such programs.", "Programs (e.g., graphics A-E) running in the Plasma compositing environment participate in a coordination pool through couplings and/or connections to the pool.", "Each program may deposit proteins in that pool to indicate the availability of graphical sources of various kinds.", "Programs that are available to display graphics also deposit proteins to indicate their displays' capabilities, security and user profiles, and physical and network locations.", "Graphics data also may be transmitted through pools, or display programs may be pointed to network resources of other kinds (RTSP streams, for example).", "The phrase “graphics data” as used herein refers to a variety of different representations that lie along a broad continuum; examples of graphics data include but are not limited to literal examples (e.g., an ‘image’, or block of pixels), procedural examples (e.g., a sequence of ‘drawing’ directives, such as those that flow down a typical openGL pipeline), and descriptive examples (e.g., instructions that combine other graphical constructs by way of geometric transformation, clipping, and compositing operations).", "On a local machine graphics data may be delivered through platform-specific display driver optimizations.", "Even when graphics are not transmitted via pools, often a periodic screen-capture will be stored in the coordination pool so that clients without direct access to the more esoteric sources may still display fall-back graphics.", "The multi-process interactive system described herein, unlike most message passing frameworks and network protocols, includes pools that maintain a significant buffer of data.", "So programs can rewind backwards into a pool looking at access and usage patterns (in the case of the coordination pool) or extracting previous graphics frames (in the case of graphics pools).", "FIG.", "14 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow stateful inspection, visualization, and debugging of the running programs, under still another alternative embodiment.", "This system is but one example of a system comprising multiple running programs (e.g.", "program P-A, program P-B, etc.)", "on multiple devices (e.g., device A, device B, etc.)", "in which some programs access the internal state of other programs using or via pools.", "Most interactive computer systems comprise many programs running alongside one another, either on a single machine or on multiple machines and interacting across a network.", "Multi-program systems can be difficult to configure, analyze and debug because run-time data is hidden inside each process and difficult to access.", "The generalized framework and Plasma constructs of an embodiment described herein allow running programs to make much of their data available via pools so that other programs may inspect their state.", "This framework enables debugging tools that are more flexible than conventional debuggers, sophisticated system maintenance tools, and visualization harnesses configured to allow human operators to analyze in detail the sequence of states that a program or programs has passed through.", "Referring to FIG.", "14, a program (e.g., program P-A, program P-B, etc.)", "running in this framework generates or creates a process pool upon program start up.", "This pool is registered in the system almanac, and security and access controls are applied.", "More particularly, each device (e.g., device A, B, etc.)", "translates discrete raw data generated by or output from the programs (e.g., program P-A, program P-B, etc.)", "running on that respective device into Plasma proteins and deposits those proteins into a Plasma pool.", "For example, program P-A generates data or output and provides the output to device A which, in turn, translates the raw data into proteins (e.g., protein 1A, protein 2A, protein 3A, etc.)", "and deposits those proteins into the pool.", "As another example, program P-B generates data and provides the data to device B which, in turn, translates the data into proteins (e.g., proteins 1B-4B, etc.)", "and deposits those proteins into the pool.", "For the duration of the program's lifetime, other programs with sufficient access permissions may attach to the pool and read the proteins that the program deposits; this represents the basic inspection modality, and is a conceptually “one-way” or “read-only” proposition: entities interested in a program P-A inspect the flow of status information deposited by P-A in its process pool.", "For example, an inspection program or application running under device C can extract one or more proteins (e.g., protein 1A, protein 2A, etc.)", "from the pool.", "Following protein extraction, device C can use the data of the protein, retrieved or read from the slaw of the descrips and ingests of the protein, to access, interpret and inspect the internal state of program P-A.", "But, recalling that the Plasma system is not only an efficient stateful transmission scheme but also an omnidirectional messaging environment, several additional modes support program-to-program state inspection.", "An authorized inspection program may itself deposit proteins into program P's process pool to influence or control the characteristics of state information produced and placed in that process pool (which, after all, program P not only writes into but reads from).", "FIG.", "15 is a block diagram of a processing environment including multiple devices coupled among numerous programs running on one or more of the devices in which the Plasma constructs (e.g., pools, proteins, and slaw) are used to allow influence or control the characteristics of state information produced and placed in that process pool, under an additional alternative embodiment.", "In this system example, the inspection program of device C can for example request that programs (e.g., program P-A, program P-B, etc.)", "dump more state than normal into the pool, either for a single instant or for a particular duration.", "Or, prefiguring the next ‘level’ of debug communication, an interested program can request that programs (e.g., program P-A, program P-B, etc.)", "emit a protein listing the objects extant in its runtime environment that are individually capable of and available for interaction via the debug pool.", "Thus informed, the interested program can ‘address’ individuals among the objects in the programs runtime, placing proteins in the process pool that a particular object alone will take up and respond to.", "The interested program might, for example, request that an object emit a report protein describing the instantaneous values of all its component variables.", "Even more significantly, the interested program can, via other proteins, direct an object to change its behavior or its variables' values.", "More specifically, in this example, inspection application of device C places into the pool a request (in the form of a protein) for an object list (e.g., “Request-Object List”) that is then extracted by each device (e.g., device A, device B, etc.)", "coupled to the pool.", "In response to the request, each device (e.g., device A, device B, etc.)", "places into the pool a protein (e.g., protein 1A, protein 1B, etc.)", "listing the objects extant in its runtime environment that are individually capable of and available for interaction via the debug pool.", "Thus informed via the listing from the devices, and in response to the listing of the objects, the inspection application of device C addresses individuals among the objects in the programs runtime, placing proteins in the process pool that a particular object alone will take up and respond to.", "The inspection application of device C can, for example, place a request protein (e.g., protein “Request Report P-A-O”, “Request Report P-B-O”) in the pool that an object (e.g., object P-A-O, object P-B-O, respectively) emit a report protein (e.g., protein 2A, protein 2B, etc.)", "describing the instantaneous values of all its component variables.", "Each object (e.g., object P-A-O, object P-B-O) extracts its request (e.g., protein “Request Report P-A-O”, “Request Report P-B-O”, respectively) and, in response, places a protein into the pool that includes the requested report (e.g., protein 2A, protein 2B, respectively).", "Device C then extracts the various report proteins (e.g., protein 2A, protein 2B, etc.)", "and takes subsequent processing action as appropriate to the contents of the reports.", "In this way, use of Plasma as an interchange medium tends ultimately to erode the distinction between debugging, process control, and program-to-program communication and coordination.", "To that last, the generalized Plasma framework allows visualization and analysis programs to be designed in a loosely-coupled fashion.", "A visualization tool that displays memory access patterns, for example, might be used in conjunction with any program that outputs its basic memory reads and writes to a pool.", "The programs undergoing analysis need not know of the existence or design of the visualization tool, and vice versa.", "The use of pools in the manners described above does not unduly affect system performance.", "For example, embodiments have allowed for depositing of several hundred thousand proteins per second in a pool, so that enabling even relatively verbose data output does not noticeably inhibit the responsiveness or interactive character of most programs.", "Spatial Operating Environment (SOE) The multi-process interactive system can be a component of or be coupled for use with a Spatial Operating Environment (SOE).", "The SOE, which includes a gestural control system, or gesture-based control system, can also be referred to as a Spatial User Interface (SUI) or a Spatial Interface (SI).", "As an example, FIG.", "16 is a block diagram of a Spatial Operating Environment (SOE), under an embodiment.", "A user locates his hands 1601 and 1602 in the viewing area 1650 of an array of cameras 1604A-1604D.", "The cameras detect location, orientation, and movement of the fingers and hands 1601 and 1602 and generate output signals to pre-processor 1605.Pre-processor 1605 translates the camera output into a gesture signal that is provided to the computer processing unit 1607 of the system.", "The computer 1607 uses the input information to generate a command to control one or more on screen cursors and provides video output to display 1603.Although the system is shown with a single user's hands as input, the SOE may be implemented using multiple users.", "In addition, instead of or in addition to hands, the system may track any part or parts of a user's body, including head, feet, legs, arms, elbows, knees, and the like.", "In the embodiment shown, four cameras or sensors are used to detect the location, orientation, and movement of the user's hands 1601 and 1602 in the viewing area 1650.It should be understood that the SOE may include more (e.g., six cameras, eight cameras, etc.)", "or fewer (e.g., two cameras) cameras or sensors without departing from the scope or spirit of the SOE.", "In addition, although the cameras or sensors are disposed symmetrically in the example embodiment, there is no requirement of such symmetry in the SOE.", "Any number or positioning of cameras or sensors that permits the location, orientation, and movement of the user's hands may be used in the SOE.", "In one embodiment, the cameras used are motion capture cameras capable of capturing grey-scale images.", "In one embodiment, the cameras used are those manufactured by Vicon, such as the Vicon MX40 camera.", "This camera includes on-camera processing and is capable of image capture at 1000 frames per second.", "A motion capture camera is capable of detecting and locating markers.", "In the embodiment described, the cameras are sensors used for optical detection.", "In other embodiments, the cameras or other detectors may be used for electromagnetic, magnetostatic, RFID, or any other suitable type of detection.", "Pre-processor 1605 generates three dimensional space point reconstruction and skeletal point labeling.", "The gesture translator 1606 converts the 3D spatial information and marker motion information into a command language that can be interpreted by a computer processor to update the location, shape, and action of a cursor on a display.", "In an alternate embodiment of the SOE, the pre-processor 1605 and gesture translator 1606 are integrated or combined into a single device.", "Computer 1607 may be any general purpose computer such as manufactured by Apple, Dell, or any other suitable manufacturer.", "The computer 1607 runs applications and provides display output.", "Cursor information that would otherwise come from a mouse or other prior art input device now comes from the gesture system.", "The SOE or an embodiment contemplates the use of marker tags on one or more fingers of the user so that the system can locate the hands of the user, identify whether it is viewing a left or right hand, and which fingers are visible.", "This permits the system to detect the location, orientation, and movement of the users hands.", "This information allows a number of gestures to be recognized by the system and used as commands by the user.", "The marker tags in one embodiment are physical tags comprising a substrate (appropriate in the present embodiment for affixing to various locations on a human hand) and discrete markers arranged on the substrate's surface in unique identifying patterns.", "The markers and the associated external sensing system may operate in any domain (optical, electromagnetic, magnetostatic, etc.)", "that allows the accurate, precise, and rapid and continuous acquisition of their three-space position.", "The markers themselves may operate either actively (e.g.", "by emitting structured electromagnetic pulses) or passively (e.g.", "by being optically retroreflective, as in the present embodiment).", "At each frame of acquisition, the detection system receives the aggregate ‘cloud’ of recovered three-space locations comprising all markers from tags presently in the instrumented workspace volume (within the visible range of the cameras or other detectors).", "The markers on each tag are of sufficient multiplicity and are arranged in unique patterns such that the detection system can perform the following tasks: (1) segmentation, in which each recovered marker position is assigned to one and only one subcollection of points that form a single tag; (2) labelling, in which each segmented subcollection of points is identified as a particular tag; (3) location, in which the three-space position of the identified tag is recovered; and (4) orientation, in which the three-space orientation of the identified tag is recovered.", "Tasks (1) and (2) are made possible through the specific nature of the marker-patterns, as described below and as illustrated in one embodiment in FIG.", "17.The markers on the tags in one embodiment are affixed at a subset of regular grid locations.", "This underlying grid may, as in the present embodiment, be of the traditional Cartesian sort; or may instead be some other regular plane tessellation (a triangular/hexagonal tiling arrangement, for example).", "The scale and spacing of the grid is established with respect to the known spatial resolution of the marker-sensing system, so that adjacent grid locations are not likely to be confused.", "Selection of marker patterns for all tags should satisfy the following constraint: no tag's pattern shall coincide with that of any other tag's pattern through any combination of rotation, translation, or mirroring.", "The multiplicity and arrangement of markers may further be chosen so that loss (or occlusion) of some specified number of component markers is tolerated: After any arbitrary transformation, it should still be unlikely to confuse the compromised module with any other.", "Referring now to FIG.", "17, a number of tags 1701A-1701E (left hand) and 1702A-1702E (right hand) are shown.", "Each tag is rectangular and comprises in this embodiment of a 5×7 grid array.", "The rectangular shape is chosen as an aid in determining orientation of the tag and to reduce the likelihood of mirror duplicates.", "In the embodiment shown, there are tags for each finger on each hand.", "In some embodiments, it may be adequate to use one, two, three, or four tags per hand.", "Each tag has a border of a different grey-scale or color shade.", "Within this border is a 3×5 grid array.", "Markers (represented by the black dots of FIG.", "17) are disposed at certain points in the grid array to provide information.", "Qualifying information may be encoded in the tags' marker patterns through segmentation of each pattern into ‘common’ and ‘unique’ subpatterns.", "For example, the present embodiment specifies two possible ‘border patterns’, distributions of markers about a rectangular boundary.", "A ‘family’ of tags is thus established—the tags intended for the left hand might thus all use the same border pattern as shown in tags 1701A-1701E while those attached to the right hand's fingers could be assigned a different pattern as shown in tags 1702A-1702E.", "This subpattern is chosen so that in all orientations of the tags, the left pattern can be distinguished from the right pattern.", "In the example illustrated, the left hand pattern includes a marker in each corner and on marker in a second from corner grid location.", "The right hand pattern has markers in only two corners and two markers in non corner grid locations.", "An inspection of the pattern reveals that as long as any three of the four markers are visible, the left hand pattern can be positively distinguished from the left hand pattern.", "In one embodiment, the color or shade of the border can also be used as an indicator of handedness.", "Each tag must of course still employ a unique interior pattern, the markers distributed within its family's common border.", "In the embodiment shown, it has been found that two markers in the interior grid array are sufficient to uniquely identify each of the ten fingers with no duplication due to rotation or orientation of the fingers.", "Even if one of the markers is occluded, the combination of the pattern and the handedness of the tag yields a unique identifier.", "In the present embodiment, the grid locations are visually present on the rigid substrate as an aid to the (manual) task of affixing each retroreflective marker at its intended location.", "These grids and the intended marker locations are literally printed via color inkjet printer onto the substrate, which here is a sheet of (initially) flexible ‘shrink-film’.", "Each module is cut from the sheet and then oven-baked, during which thermal treatment each module undergoes a precise and repeatable shrinkage.", "For a brief interval following this procedure, the cooling tag may be shaped slightly—to follow the longitudinal curve of a finger, for example; thereafter, the substrate is suitably rigid, and markers may be affixed at the indicated grid points.", "In one embodiment, the markers themselves are three dimensional, such as small reflective spheres affixed to the substrate via adhesive or some other appropriate means.", "The three-dimensionality of the markers can be an aid in detection and location over two dimensional markers.", "However either can be used without departing from the spirit and scope of the SOE described herein.", "At present, tags are affixed via Velcro or other appropriate means to a glove worn by the operator or are alternately affixed directly to the operator's fingers using a mild double-stick tape.", "In a third embodiment, it is possible to dispense altogether with the rigid substrate and affix—or ‘paint’—individual markers directly onto the operator's fingers and hands.", "The SOE of an embodiment contemplates a gesture vocabulary comprising hand poses, orientation, hand combinations, and orientation blends.", "A notation language is also implemented for designing and communicating poses and gestures in the gesture vocabulary of the SOE.", "The gesture vocabulary is a system for representing instantaneous ‘pose states’ of kinematic linkages in compact textual form.", "The linkages in question may be biological (a human hand, for example; or an entire human body; or a grasshopper leg; or the articulated spine of a lemur) or may instead be nonbiological (e.g.", "a robotic arm).", "In any case, the linkage may be simple (the spine) or branching (the hand).", "The gesture vocabulary system of the SOE establishes for any specific linkage a constant length string; the aggregate of the specific ASCII characters occupying the string's ‘character locations’ is then a unique description of the instantaneous state, or ‘pose’, of the linkage.", "FIG.", "18 illustrates hand poses in an embodiment of a gesture vocabulary of the SOE, under an embodiment.", "The SOE supposes that each of the five fingers on a hand is used.", "These fingers are codes as p-pinkie, r-ring finger, m-middle finger, i-index finger, and t-thumb.", "A number of poses for the fingers and thumbs are defined and illustrated in FIG.", "18.A gesture vocabulary string establishes a single character position for each expressible degree of freedom in the of the linkage (in this case, a finger).", "Further, each such degree of freedom is understood to be discretized (or ‘quantized’), so that its full range of motion can be expressed through assignment of one of a finite number of standard ASCII characters at that string position.", "These degrees of freedom are expressed with respect to a body-specific origin and coordinate system (the back of the hand, the center of the grasshopper's body; the base of the robotic arm; etc.).", "A small number of additional gesture vocabulary character positions are therefore used to express the position and orientation of the linkage ‘as a whole’ in the more global coordinate system.", "Still referring to FIG.", "18, a number of poses are defined and identified using ASCII characters.", "Some of the poses are divided between thumb and non-thumb.", "The SOE in this embodiment uses a coding such that the ASCII character itself is suggestive of the pose.", "However, any character may used to represent a pose, whether suggestive or not.", "In addition, there is no requirement in the invention to use ASCII characters for the notation strings.", "Any suitable symbol, numeral, or other representation maybe used without departing from the scope and spirit of the invention.", "For example, the notation may use two bits per finger if desired or some other number of bits as desired.", "A curled finger is represented by the character “̂” while a curled thumb by “>”.", "A straight finger or thumb pointing up is indicated by “1” and at an angle by “\\” or “/”.", "“-” represents a thumb pointing straight sideways and “x” represents a thumb pointing into the plane.", "Using these individual finger and thumb descriptions, a robust number of hand poses can be defined and written using the scheme of the invention.", "Each pose is represented by five characters with the order being p-r-m-i-t as described above.", "FIG.", "18 illustrates a number of poses and a few are described here by way of illustration and example.", "The hand held flat and parallel to the ground is represented by “11111”.", "A fist is represented by “̂̂̂̂>”.", "An “OK” sign is represented by “111̂>”.", "The character strings provide the opportunity for straightforward ‘human readability’ when using suggestive characters.", "The set of possible characters that describe each degree of freedom may generally be chosen with an eye to quick recognition and evident analogy.", "For example, a vertical bar (‘ I’) would likely mean that a linkage element is ‘straight’, an ell (‘L’) might mean a ninety-degree bend, and a circumflex (‘̂’) could indicate a sharp bend.", "As noted above, any characters or coding may be used as desired.", "Any system employing gesture vocabulary strings such as described herein enjoys the benefit of the high computational efficiency of string comparison—identification of or search for any specified pose literally becomes a ‘string compare’ (e.g.", "UNIX's ‘strcmp( )’ function) between the desired pose string and the instantaneous actual string.", "Furthermore, the use of ‘wildcard characters’ provides the programmer or system designer with additional familiar efficiency and efficacy: degrees of freedom whose instantaneous state is irrelevant for a match may be specified as an interrogation point (‘?’); additional wildcard meanings may be assigned.", "In addition to the pose of the fingers and thumb, the orientation of the hand can represent information.", "Characters describing global-space orientations can also be chosen transparently: the characters ‘<’, ‘>’, ‘̂’, and ‘v’ may be used to indicate, when encountered in an orientation character position, the ideas of left, right, up, and down.", "FIG.", "19 illustrates hand orientation descriptors and examples of coding that combines pose and orientation.", "In an embodiment, two character positions specify first the direction of the palm and then the direction of the fingers (if they were straight, irrespective of the fingers' actual bends).", "The possible characters for these two positions express a ‘body-centric’ notion of orientation: ‘−’, ‘+’, ‘x’, ‘*’, ‘̂’, and ‘v’ describe medial, lateral, anterior (forward, away from body), posterior (backward, away from body), cranial (upward), and caudal (downward).", "In the notation scheme of and embodiment of the invention, the five finger pose indicating characters are followed by a colon and then two orientation characters to define a complete command pose.", "In one embodiment, a start position is referred to as an “xyz” pose where the thumb is pointing straight up, the index finger is pointing forward and the middle finger is perpendicular to the index finger, pointing to the left when the pose is made with the right hand.", "This is represented by the string “̂̂x1-:-x”.", "The ‘XYZ-hand’ is a technique for exploiting the geometry of the human hand to allow full six-degree-of-freedom navigation of a visually presented three-dimensional structure.", "Although the technique depends only on the bulk translation and rotation of the operator's hand—so that its fingers may in principal be held in any pose desired—the present embodiment prefers a static configuration in which the index finger points away from the body; the thumb points toward the ceiling; and the middle finger points left-right.", "The three fingers thus describe (roughly, but with clearly evident intent) the three mutually orthogonal axes of a three-space coordinate system: thus ‘XYZ-hand’.", "XYZ-hand navigation then proceeds with the hand, fingers in a pose as described above, held before the operator's body at a predetermined ‘neutral location’.", "Access to the three translational and three rotational degrees of freedom of a three-space object (or camera) is effected in the following natural way: left-right movement of the hand (with respect to the body's natural coordinate system) results in movement along the computational context's x-axis; up-down movement of the hand results in movement along the controlled context's y-axis; and forward-back hand movement (toward/away from the operator's body) results in z-axis motion within the context.", "Similarly, rotation of the operator's hand about the index finger leads to a ‘roll’ change of the computational context's orientation; ‘pitch’ and ‘yaw’ changes are effected analogously, through rotation of the operator's hand about the middle finger and thumb, respectively.", "Note that while ‘computational context’ is used here to refer to the entity being controlled by the XYZ-hand method—and seems to suggest either a synthetic three-space object or camera—it should be understood that the technique is equally useful for controlling the various degrees of freedom of real-world objects: the pan/tilt/roll controls of a video or motion picture camera equipped with appropriate rotational actuators, for example.", "Further, the physical degrees of freedom afforded by the XYZ-hand posture may be somewhat less literally mapped even in a virtual domain: In the present embodiment, the XYZ-hand is also used to provide navigational access to large panoramic display images, so that left-right and up-down motions of the operator's hand lead to the expected left-right or up-down ‘panning’ about the image, but forward-back motion of the operator's hand maps to ‘zooming’ control.", "In every case, coupling between the motion of the hand and the induced computational translation/rotation may be either direct (i.e.", "a positional or rotational offset of the operator's hand maps one-to-one, via some linear or nonlinear function, to a positional or rotational offset of the object or camera in the computational context) or indirect (i.e.", "positional or rotational offset of the operator's hand maps one-to-one, via some linear or nonlinear function, to a first or higher-degree derivative of position/orientation in the computational context; ongoing integration then effects a non-static change in the computational context's actual zero-order position/orientation).", "This latter means of control is analogous to use of a an automobile's ‘gas pedal’, in which a constant offset of the pedal leads, more or less, to a constant vehicle speed.", "The ‘neutral location’ that serves as the real-world XYZ-hand's local six-degree-of-freedom coordinate origin may be established (1) as an absolute position and orientation in space (relative, say, to the enclosing room); (2) as a fixed position and orientation relative to the operator herself (e.g.", "eight inches in front of the body, ten inches below the chin, and laterally in line with the shoulder plane), irrespective of the overall position and ‘heading’ of the operator; or (3) interactively, through deliberate secondary action of the operator (using, for example, a gestural command enacted by the operator's ‘other’ hand, said command indicating that the XYZ-hand's present position and orientation should henceforth be used as the translational and rotational origin).", "It is further convenient to provide a ‘detent’ region (or ‘dead zone’) about the XYZ-hand's neutral location, such that movements within this volume do not map to movements in the controlled context.", "Other poses may included: [∥∥|:vx] is a flat hand (thumb parallel to fingers) with palm facing down and fingers forward.", "[∥∥∥:x̂] is a flat hand with palm facing forward and fingers toward ceiling.", "[∥∥∥:-x] is a flat hand with palm facing toward the center of the body (right if left hand, left if right hand) and fingers forward.", "[̂̂̂̂-:-x] is a single-hand thumbs-up (with thumb pointing toward ceiling).", "[̂̂̂|-:-x] is a mime gun pointing forward.", "The SOE of an embodiment contemplates single hand commands and poses, as well as two-handed commands and poses.", "FIG.", "20 illustrates examples of two hand combinations and associated notation in an embodiment of the SOE.", "Reviewing the notation of the first example, “full stop” reveals that it comprises two closed fists.", "The “snapshot” example has the thumb and index finger of each hand extended, thumbs pointing toward each other, defining a goal post shaped frame.", "The “rudder and throttle start position” is fingers and thumbs pointing up palms facing the screen.", "FIG.", "21 illustrates an example of an orientation blend in an embodiment of the SOE.", "In the example shown the blend is represented by enclosing pairs of orientation notations in parentheses after the finger pose string.", "For example, the first command shows finger positions of all pointing straight.", "The first pair of orientation commands would result in the palms being flat toward the display and the second pair has the hands rotating to a 45 degree pitch toward the screen.", "Although pairs of blends are shown in this example, any number of blends is contemplated in the SOE.", "FIG.", "23 illustrates a number of possible commands that may be used with the SOE.", "Although some of the discussion here has been about controlling a cursor on a display, the SOE is not limited to that activity.", "In fact, the SOE has great application in manipulating any and all data and portions of data on a screen, as well as the state of the display.", "For example, the commands may be used to take the place of video controls during play back of video media.", "The commands may be used to pause, fast forward, rewind, and the like.", "In addition, commands may be implemented to zoom in or zoom out of an image, to change the orientation of an image, to pan in any direction, and the like.", "The SOE may also be used in lieu of menu commands such as open, close, save, and the like.", "In other words, any commands or activity that can be imagined can be implemented with hand gestures.", "FIG.", "22 is a flow diagram of operation of the SOE, under an embodiment.", "At 2201 the detection system detects the markers and tags.", "At 2202 it is determined if the tags and markers are detected.", "If not, the system returns to 2201.If the tags and markers are detected at 2202, the system proceeds to 2203.At 2203 the system identifies the hand, fingers and pose from the detected tags and markers.", "At 2204 the system identifies the orientation of the pose.", "At 2205 the system identifies the three dimensional spatial location of the hand or hands that are detected.", "(Please note that any or all of 2203, 2204, and 2205 may be combined).", "At 2206 the information is translated to the gesture notation described above.", "At 2207 it is determined if the pose is valid.", "This may be accomplished via a simple string comparison using the generated notation string.", "If the pose is not valid, the system returns to 2201.If the pose is valid, the system sends the notation and position information to the computer at 2208.At 2209 the computer determines the appropriate action to take in response to the gesture and updates the display accordingly at 2210.In one embodiment of the SOE, operations 2201-2205 are accomplished by the on-camera processor.", "In other embodiments, the processing can be accomplished by the system computer if desired.", "The system is able to “parse” and “translate” a stream of low-level gestures recovered by an underlying system, and turn those parsed and translated gestures into a stream of command or event data that can be used to control a broad range of computer applications and systems.", "These techniques and algorithms may be embodied in a system comprising computer code that provides both an engine implementing these techniques and a platform for building computer applications that make use of the engine's capabilities.", "One embodiment is focused on enabling rich gestural use of human hands in computer interfaces, but is also able to recognize gestures made by other body parts (including, but not limited to arms, torso, legs and the head), as well as non-hand physical tools of various kinds, both static and articulating, including but not limited to calipers, compasses, flexible curve approximators, and pointing devices of various shapes.", "The markers and tags may be applied to items and tools that may be carried and used by the operator as desired.", "The system described here incorporates a number of innovations that make it possible to build gestural systems that are rich in the range of gestures that can be recognized and acted upon, while at the same time providing for easy integration into applications.", "The gestural parsing and translation system in one embodiment comprises: 1) a compact and efficient way to specify (encode for use in computer programs) gestures at several different levels of aggregation: a. a single hand's “pose” (the configuration and orientation of the parts of the hand relative to one another) a single hand's orientation and position in three-dimensional space.", "b. two-handed combinations, for either hand taking into account pose, position or both.", "c. multi-person combinations; the system can track more than two hands, and so more than one person can cooperatively (or competitively, in the case of game applications) control the target system.", "d. sequential gestures in which poses are combined in a series; we call these “animating” gestures.", "e. “grapheme” gestures, in which the operator traces shapes in space.", "2) a programmatic technique for registering specific gestures from each category above that are relevant to a given application context.", "3) algorithms for parsing the gesture stream so that registered gestures can be identified and events encapsulating those gestures can be delivered to relevant application contexts.", "The specification system (1), with constituent elements (1a) to (1f), provides the basis for making use of the gestural parsing and translating capabilities of the system described here.", "A single-hand “pose” is represented as a string of i) relative orientations between the fingers and the back of the hand, ii) quantized into a small number of discrete states.", "Using relative joint orientations allows the system described here to avoid problems associated with differing hand sizes and geometries.", "No “operator calibration” is required with this system.", "In addition, specifying poses as a string or collection of relative orientations allows more complex gesture specifications to be easily created by combining pose representations with further filters and specifications.", "Using a small number of discrete states for pose specification makes it possible to specify poses compactly as well as to ensure accurate pose recognition using a variety of underlying tracking technologies (for example, passive optical tracking using cameras, active optical tracking using lighted dots and cameras, electromagnetic field tracking, etc).", "Gestures in every category (1a) to (1f) may be partially (or minimally) specified, so that non-critical data is ignored.", "For example, a gesture in which the position of two fingers is definitive, and other finger positions are unimportant, may be represented by a single specification in which the operative positions of the two relevant fingers is given and, within the same string, “wild cards” or generic “ignore these” indicators are listed for the other fingers.", "All of the innovations described here for gesture recognition, including but not limited to the multi-layered specification technique, use of relative orientations, quantization of data, and allowance for partial or minimal specification at every level, generalize beyond specification of hand gestures to specification of gestures using other body parts and “manufactured” tools and objects.", "The programmatic techniques for “registering gestures” (2), comprise a defined set of Application Programming Interface calls that allow a programmer to define which gestures the engine should make available to other parts of the running system.", "These API routines may be used at application set-up time, creating a static interface definition that is used throughout the lifetime of the running application.", "They may also be used during the course of the run, allowing the interface characteristics to change on the fly.", "This real-time alteration of the interface makes it possible to, i) build complex contextual and conditional control states, ii) to dynamically add hysterisis to the control environment, and iii) to create applications in which the user is able to alter or extend the interface vocabulary of the running system itself.", "Algorithms for parsing the gesture stream (3) compare gestures specified as in (1) and registered as in (2) against incoming low-level gesture data.", "When a match for a registered gesture is recognized, event data representing the matched gesture is delivered up the stack to running applications.", "Efficient real-time matching is desired in the design of this system, and specified gestures are treated as a tree of possibilities that are processed as quickly as possible.", "In addition, the primitive comparison operators used internally to recognize specified gestures are also exposed for the applications programmer to use, so that further comparison (flexible state inspection in complex or compound gestures, for example) can happen even from within application contexts.", "Recognition “locking” semantics are an innovation of the system described here.", "These semantics are implied by the registration API (2) (and, to a lesser extent, embedded within the specification vocabulary (1)).", "Registration API calls include, i) “entry” state notifiers and “continuation” state notifiers, and ii) gesture priority specifiers.", "If a gesture has been recognized, its “continuation” conditions take precedence over all “entry” conditions for gestures of the same or lower priorities.", "This distinction between entry and continuation states adds significantly to perceived system usability.", "The system described here includes algorithms for robust operation in the face of real-world data error and uncertainty.", "Data from low-level tracking systems may be incomplete (for a variety of reasons, including occlusion of markers in optical tracking, network drop-out or processing lag, etc).", "Missing data is marked by the parsing system, and interpolated into either “last known” or “most likely” states, depending on the amount and context of the missing data.", "If data about a particular gesture component (for example, the orientation of a particular joint) is missing, but the “last known” state of that particular component can be analyzed as physically possible, the system uses this last known state in its real-time matching.", "Conversely, if the last known state is analyzed as physically impossible, the system falls back to a “best guess range” for the component, and uses this synthetic data in its real-time matching.", "The specification and parsing systems described here have been carefully designed to support “handedness agnosticism,” so that for multi-hand gestures either hand is permitted to satisfy pose requirements.", "The system of an embodiment can provide an environment in which virtual space depicted on one or more display devices (“screens”) is treated as coincident with the physical space inhabited by the operator or operators of the system.", "An embodiment of such an environment is described here.", "This current embodiment includes three projector-driven screens at fixed locations, is driven by a single desktop computer, and is controlled using the gestural vocabulary and interface system described herein.", "Note, however, that any number of screens are supported by the techniques being described; that those screens may be mobile (rather than fixed); that the screens may be driven by many independent computers simultaneously; and that the overall system can be controlled by any input device or technique.", "The interface system described in this disclosure should have a means of determining the dimensions, orientations and positions of screens in physical space.", "Given this information, the system is able to dynamically map the physical space in which these screens are located (and which the operators of the system inhabit) as a projection into the virtual space of computer applications running on the system.", "As part of this automatic mapping, the system also translates the scale, angles, depth, dimensions and other spatial characteristics of the two spaces in a variety of ways, according to the needs of the applications that are hosted by the system.", "This continuous translation between physical and virtual space makes possible the consistent and pervasive use of a number of interface techniques that are difficult to achieve on existing application platforms or that must be implemented piece-meal for each application running on existing platforms.", "These techniques include (but are not limited to): 1) Use of “literal pointing”—using the hands in a gestural interface environment, or using physical pointing tools or devices—as a pervasive and natural interface technique.", "2) Automatic compensation for movement or repositioning of screens.", "3) Graphics rendering that changes depending on operator position, for example simulating parallax shifts to enhance depth perception.", "4) Inclusion of physical objects in on-screen display—taking into account real-world position, orientation, state, etc.", "For example, an operator standing in front of a large, opaque screen, could see both applications graphics and a representation of the true position of a scale model that is behind the screen (and is, perhaps, moving or changing orientation).", "It is important to note that literal pointing is different from the abstract pointing used in mouse-based windowing interfaces and most other contemporary systems.", "In those systems, the operator must learn to manage a translation between a virtual pointer and a physical pointing device, and must map between the two cognitively.", "By contrast, in the systems described in this disclosure, there is no difference between virtual and physical space (except that virtual space is more amenable to mathematical manipulation), either from an application or user perspective, so there is no cognitive translation required of the operator.", "The closest analogy for the literal pointing provided by the embodiment described here is the touch-sensitive screen (as found, for example, on many ATM machines).", "A touch-sensitive screen provides a one to one mapping between the two-dimensional display space on the screen and the two-dimensional input space of the screen surface.", "In an analogous fashion, the systems described here provide a flexible mapping (possibly, but not necessarily, one to one) between a virtual space displayed on one or more screens and the physical space inhabited by the operator.", "Despite the usefulness of the analogy, it is worth understanding that the extension of this “mapping approach” to three dimensions, an arbitrarily large architectural environment, and multiple screens is non-trivial.", "In addition to the components described herein, the system may also implement algorithms implementing a continuous, systems-level mapping (perhaps modified by rotation, translation, scaling or other geometrical transformations) between the physical space of the environment and the display space on each screen.", "A rendering stack which takes the computational objects and the mapping and outputs a graphical representation of the virtual space.", "An input events processing stack which takes event data from a control system (in the current embodiment both gestural and pointing data from the system and mouse input) and maps spatial data from input events to coordinates in virtual space.", "Translated events are then delivered to running applications.", "A “glue layer” allowing the system to host applications running across several computers on a local area network.", "Considering the description above of the SOE, the SOE can be used as a component of and/or coupled to a multi-process interactive system, as described above with reference to FIGS.", "1A-1C and elsewhere herein.", "The SOE of an embodiment can be encapsulated as a gestural/spatial process G that delivers user input proteins into the user input pool Ui, as described above.", "The embodiments herein include systems and methods that detect from gesture data a gesture made by a body.", "The gesture data is received via a detector.", "The systems and methods of an embodiment execute numerous processes on a processing device.", "The processes generate events that include a set of events representing the gesture.", "The systems and methods of an embodiment translate the events of each process into data capsules.", "The systems and methods of an embodiment transfer the data capsules into numerous pools or repositories.", "A set of processes of the numerous processes operate as recognizing processes.", "The recognizing processes recognize in the pools data capsules comprising content that corresponds to the gesture.", "The recognizing processes retrieve recognized data capsules from the pools and generate a gesture signal from the recognized data capsules by compositing contents of the recognized data capsules to form the gesture signal.", "The gesture signal represents the gesture.", "FIG.", "24 is a block diagram of a Spatial Operating Environment (SOE) (see FIG.", "1C, element G) implemented with or as a component of a multi-process interactive system, under an embodiment.", "A user locates his hands 2401 and 2402 in the viewing area 2450 of an array of cameras 2404A-2404D.", "The cameras detect location, orientation, and movement of the fingers and hands 2401 and 2402 and generate output signals to pre-processor 2405.Pre-processor 2405 translates the camera output into a gesture signal that is provided to a computer processor of the system.", "In this embodiment, the functions of the computer processor, performed by computer 2407 described above, can be performed by a processor of and/or coupled to the multi-process interactive system (FIG.", "1C).", "The gesture signal can be provided or transferred to a pool of the multi-process interactive system (Pool Ui, FIG.", "1C).", "Consequently, the multi-process interactive system uses the gesture signal to generate a command to control one or more components coupled to the multi-process interactive system (e.g., display cursor, etc.).", "Although the system is shown with a single user's hands as input, the SOE may be implemented using multiple users.", "In addition, instead of or in addition to hands, the system may track any part or parts of a user's body, including head, feet, legs, arms, elbows, knees, and the like.", "In the embodiment shown, four cameras or sensors are used to detect the location, orientation, and movement of the user's hands 2401 and 2402 in the viewing area 2450.It should be understood that the SOE may include more (e.g., six cameras, eight cameras, etc.)", "or fewer (e.g., two cameras) cameras or sensors without departing from the scope or spirit of the SOE.", "In addition, although the cameras or sensors are disposed symmetrically in the example embodiment, there is no requirement of such symmetry in the SOE.", "Any number or positioning of cameras or sensors that permits the location, orientation, and movement of the user's hands may be used in the SOE.", "In one embodiment, the cameras used are motion capture cameras capable of capturing grey-scale images.", "In one embodiment, the cameras used are those manufactured by Vicon, such as the Vicon MX40 camera.", "This camera includes on-camera processing and is capable of image capture at 1000 frames per second.", "A motion capture camera is capable of detecting and locating markers.", "In the embodiment described, the cameras are sensors used for optical detection.", "In other embodiments, the cameras or other detectors may be used for electromagnetic, magnetostatic, RFID, or any other suitable type of detection.", "Pre-processor 2405 generates three dimensional space point reconstruction and skeletal point labeling.", "The gesture translator 2406 converts the 3D spatial information and marker motion information into a command language that can be interpreted by components of the multi-process interactive system that receive information from pool Ui (see FIG.", "1).", "In an alternate embodiment of the SOE, the pre-processor 2405 and gesture translator o16 are integrated or combined into a single device.", "FIG.", "25 is a flow diagram 2500 for operations of the multi-process interactive system 100 (see FIG.", "1) using inputs from a gestural control system, under an embodiment.", "The operations include detecting from gesture data a gesture made by a body 2502.The gesture data is received via a detector.", "The operations include executing a plurality of processes on a processing device 2504.The processes generate events that include a set of events representing the gesture.", "The processes include separable program execution contexts of a spatial operating application, but are not so limited.", "The events of each process are translated into data capsules 2506.A data capsule includes an application-independent representation of event data of an event and state information of the process originating the data capsule, but is not so limited.", "The data capsules are transferred into a plurality of pools 2508.A set of processes of the numerous processes operate as recognizing processes.", "The recognizing processes recognize in the pools data capsules comprising content that corresponds to the gesture 2510.The recognizing processes retrieve recognized data capsules from the pools and generate a gesture signal from the recognized data capsules by compositing contents of the recognized data capsules to form the gesture signal 2512.The gesture signal represents the gesture.", "Embodiments described herein include a method comprising: executing a plurality of processes on at least one processing device; translating events of each process of the plurality of processes into data capsules; transferring the data capsules into a plurality of pools; each process operating as a recognizing process, the recognizing process recognizing in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process; and the recognizing process retrieving recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "A data capsule of an embodiment includes an application-independent representation of event data of an event and state information of the process originating the data message.", "The method of an embodiment comprises forming an interactive application from the plurality of processes by coordinating operations of each of the plurality of processes using the data capsules and the plurality of pools.", "The method of an embodiment comprises coordinating operations of the plurality of processes using at least one of the data capsules and the plurality of pools.", "The method of an embodiment comprises dividing an application program into a set of processes, wherein the plurality of processes includes the set of processes.", "The method of an embodiment comprises a process generating an output by interactively processing a plurality of retrieved data capsules of at least one pool of the plurality of pools.", "The plurality of processes of an embodiment includes separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process.", "The method of an embodiment comprises executing the plurality of processes in parallel.", "The method of an embodiment comprises executing a first set of processes in parallel, and executing a second set of processes in sequence, wherein the plurality of processes includes the first set of processes and the second set of processes.", "The events of an embodiment represent process inputs.", "The events of an embodiment represent process outputs.", "The events of an embodiment comprise a user interface event.", "The events of an embodiment comprise a graphics event.", "The events of an embodiment represent process state.", "The state of a process of an embodiment represents the interactive function of the process, wherein the interactive function of the process is exposed to the plurality of processes as contents of the data capsules.", "The method of an embodiment comprises defining an application programming interface (API) of the plurality of processes by contents of the data capsules instead of defining the API by function calls.", "The contents of the data capsules of an embodiment are application-independent and recognizable by the plurality of processes.", "The at least one processing device of an embodiment comprises a plurality of processing devices.", "At least one first set of processes of the plurality of processes of an embodiment are running under at least one first set of processing devices of the plurality of processing device and at least one second set of processes of the plurality of processes of an embodiment are running under at least one second set of processing devices of the plurality of processing devices.", "The plurality of processes of an embodiment includes a first process.", "The translating of an embodiment comprises transforming events of the first process into at least one data sequence comprising first process event data specifying the event and state information of the event.", "The first process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the first process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The plurality of processes of an embodiment includes a second process.", "The translating of an embodiment comprises transforming state change events of the second process into at least one data sequence comprising second process event data specifying the event and state information of the event.", "The second process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The recognizing process of an embodiment is the second process, the retrieving comprising the second process retrieving the recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The contents of the recognized data capsules of an embodiment are data representing state information of the first process.", "The translating of an embodiment comprises transforming the contents of the recognized data capsules into at least one new data sequence, the at least one new data sequence representing at least one of an event of the first process and an event of the second process.", "The at least one new data sequence of an embodiment comprises event data specifying the event and state information of at least one of the first process and the second process.", "The event data and state information of the at least one of the first process and the second process of an embodiment are type-specific data having a type corresponding to an application of the at least one of the first process and the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one new data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one new data sequence.", "The plurality of processes of an embodiment uses the at least one new data sequence.", "The processing appropriate to contents of the recognized data capsules of an embodiment comprises rendering a graphical object, wherein the graphical object is rendered on a display of the at least one processing device.", "The rendering of an embodiment comprises direct rendering in which the plurality of processes draw directly to a graphics layer of the at least one processing device, wherein the plurality of pools is used for coordination among the plurality of processes as appropriate to the rendering.", "The rendering of an embodiment comprises the plurality of processes transferring data capsules comprising rendering commands into the plurality of pools.", "The rendering of an embodiment comprises the plurality of processes retrieving the rendering commands from the plurality of pools, interpreting the rendering commands, and driving a graphics layer of the at least one processing device in response to the rendering commands.", "The rendering of an embodiment comprises the plurality of processes rendering to pixel buffers.", "The rendering of an embodiment comprises the plurality of processes transferring raw frame data into the plurality of pools, the raw frame data resulting from the rendering to the pixel buffers.", "The rendering of an embodiment comprises the plurality of processes retrieving the raw frame data from the plurality of pools and combining the raw frame data for use in driving a graphics layer of the at least one processing device.", "The method of an embodiment comprises detecting an event of the plurality of processes.", "The method of an embodiment comprises generating at least one data sequence comprising event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to an application of the at least one processing device.", "The method of an embodiment comprises forming a data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a first data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes forming the first respective data set to include identification data of the at least one processing device, the identification data including data identifying the at least one processing device.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a second data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes generating a first respective data set offset, wherein the first respective data set offset points to the first respective data set of the second data sequence.", "The generating of the second respective data set of an embodiment includes generating a second respective data set offset, wherein the second respective data set offset points to the second respective data set of the second data sequence.", "The first respective data set of an embodiment is a description list, the description list including a description of the data.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises generating a header that includes a length of the data capsule.", "The method of an embodiment comprises forming the data capsule to include the header.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises detecting a second event of the at least one processing device.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises identifying a correspondence between the data capsule and the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying.", "The method of an embodiment comprises executing on behalf of the at least one processing device a processing operation corresponding to the second event in response to contents of the data capsule, wherein the at least one processing device corresponds to an application of a first type and a second application of a second type.", "The plurality of pools of an embodiment is coupled to a plurality of applications, the plurality of pools including a plurality of data capsules corresponding to the plurality of applications, the plurality of pools providing access to the plurality of data capsules by the plurality of applications, wherein at least two applications of the plurality of applications are different applications.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "The transferring of an embodiment comprises transferring the data capsule from a first application having a first application type to at least one second application having at least one second application type, wherein the first application type is different than the second application type, wherein the generating of the at least one data sequence was executed by the first application, the method comprising maintaining intact the at least one data sequence of the data capsule during the transferring.", "The method of an embodiment comprises using the at least one data sequence during operations of the second application.", "The method of an embodiment comprises generating a first data set that includes event data and identification data of a source device of the at least one processing device, the device event data including data specifying an event registered by the source device, the identification data including data identifying the source device.", "The method of an embodiment comprises generating a second data set that includes a full set of state information of the event, wherein each of the first data set and the second data set comprise typed data bundles in a type-specific data layout.", "The translating of an embodiment comprises encapsulating the first data set and the second data set by forming a data capsule to include the first data set and the second data set, wherein the data capsule has a data structure comprising an application-independent representation of the at least one data sequence.", "The method of an embodiment comprises detecting an event of a first processing device running under an application of a first type.", "The method of an embodiment comprises generating data sequences comprising event data of the first processing device, the event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to the application.", "The method of an embodiment comprises forming a data capsule to include the data sequences, the data capsule having a data structure comprising an application-independent representation of the data sequences.", "The method of an embodiment comprises detecting a second event of a second processing device running under at least one second application having at least one second type, wherein the second type is different from the first type, wherein the at least one processing device comprises the first processing device and the second processing device.", "The method of an embodiment comprises identifying a correspondence between the data capsule and the second event.", "The method of an embodiment comprises executing an operation in response to the second event using contents of the data sequences of the data capsule.", "The generating of the data sequences of an embodiment comprises generating a first data set that includes the event data.", "The generating of the data sequences of an embodiment comprises generating a second data set that includes the state information.", "The generating of the data sequences of an embodiment comprises forming a first data sequence to include the first data set and the second data set.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying of the correspondence.", "The plurality of pools of an embodiment is coupled to the application and the at least one second application, the plurality of pools including a plurality of data capsules corresponding to the application and the at least one second application, the plurality of pools providing access to the plurality of data capsules by the application and the at least one second application.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "Embodiments described herein include a method comprising: executing a plurality of processes on a processing device, the plurality of processes including separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process; translating events of each process of the plurality of processes into data messages, wherein a data message includes an application-independent representation of event data of an event and state information of the process originating the data message; transferring the data messages into at least one pool of a plurality of pools; coordinating among the processes, the coordinating including each process of the plurality of processes coordinating with peer processes of the plurality of processes by retrieving from the plurality of pools the state information of the peer processes; and generating an output of the plurality of processes by interactively combining a set of data messages of at least one pool of the plurality of pools.", "Embodiments described herein include a system comprising: at least one processing device, the processing device executing a plurality of processes; and a plurality of pools coupled to the at least one processing device; the at least one processing device translating events of each process of the plurality of processes into data capsules and transferring the data capsules into the plurality of pools; each process of the plurality of processes operating as a recognizing process, the recognizing process recognizing in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process; the recognizing process retrieving recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "Embodiments described herein include a method comprising: executing a plurality of processes on at least one processing device, the plurality of processes including separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process; translating events of each process of the plurality of processes into data capsules, wherein a data capsule includes an application-independent representation of event data of an event and state information of the process originating the data capsule; transferring the data capsules into a plurality of pools; each process operating as a recognizing process, the recognizing process recognizing in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process; and the recognizing process retrieving recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The method of an embodiment comprises forming an interactive application from the plurality of processes by coordinating operations of each of the plurality of processes using the data capsules and the plurality of pools.", "The method of an embodiment comprises coordinating operations of the plurality of processes using at least one of the data capsules and the plurality of pools.", "The method of an embodiment comprises dividing an application program into a set of processes, wherein the plurality of processes includes the set of processes.", "The method of an embodiment comprises a process generating an output by interactively processing a plurality of retrieved data capsules of at least one pool of the plurality of pools.", "The method of an embodiment comprises executing the plurality of processes in parallel.", "The method of an embodiment comprises executing a first set of processes in parallel, and executing a second set of processes in sequence, wherein the plurality of processes includes the first set of processes and the second set of processes.", "The events of an embodiment represent process inputs.", "The events of an embodiment represent process outputs.", "The events of an embodiment comprise a user interface event.", "The events of an embodiment comprise a graphics event.", "The events of an embodiment represent process state.", "The state of a process of an embodiment represents the interactive function of the process, wherein the interactive function of the process is exposed to the plurality of processes as contents of the data capsules.", "The method of an embodiment comprises defining an application programming interface (API) of the plurality of processes by contents of the data capsules instead of defining the API by function calls.", "The contents of the data capsules of an embodiment are application-independent and recognizable by the plurality of processes.", "The at least one processing device of an embodiment comprises a plurality of processing devices.", "At least one first set of processes of the plurality of processes of an embodiment are running under at least one first set of processing devices of the plurality of processing device and at least one second set of processes of the plurality of processes of an embodiment are running under at least one second set of processing devices of the plurality of processing devices.", "The plurality of processes of an embodiment includes a first process.", "The translating of an embodiment comprises transforming events of the first process into at least one data sequence comprising first process event data specifying the event and state information of the event.", "The first process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the first process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The plurality of processes of an embodiment includes a second process.", "The translating of an embodiment comprises transforming state change events of the second process into at least one data sequence comprising second process event data specifying the event and state information of the event.", "The second process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The recognizing process of an embodiment is the second process, the retrieving comprising the second process retrieving the recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The contents of the recognized data capsules of an embodiment are data representing state information of the first process.", "The translating of an embodiment comprises transforming the contents of the recognized data capsules into at least one new data sequence, the at least one new data sequence representing at least one of an event of the first process and an event of the second process.", "The at least one new data sequence of an embodiment comprises event data specifying the event and state information of at least one of the first process and the second process.", "The event data and state information of the at least one of the first process and the second process of an embodiment are type-specific data having a type corresponding to an application of the at least one of the first process and the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one new data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one new data sequence.", "The plurality of processes of an embodiment uses the at least one new data sequence.", "The plurality of processes of an embodiment includes an input process, the input process receiving input events from an input device.", "The translating of an embodiment comprises transforming the input events of the input device into at least one data sequence comprising input device event data specifying the event and state information of the event.", "The input device event data and state information of an embodiment are type-specific data having a type corresponding to an application of the source device.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The plurality of processes of an embodiment includes a pointer process.", "The recognizing process of an embodiment is the pointer process, the retrieving comprising the pointer process retrieving the recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The contents of the recognized data capsules of an embodiment are data representing input events from an input process.", "The contents of the recognized data capsules of an embodiment are data representing a position on a display where a user of the at least one processing device is directing a pointer object.", "The translating of an embodiment comprises transforming the contents of the recognized data capsules into at least one new data sequence, the at least one new data sequence defining a position of the pointer object with respect to a display.", "The at least one new data sequence of an embodiment comprises pointer process event data specifying the event and state information of the pointer process event.", "The pointer process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the pointer process.", "The translating of an embodiment comprises forming the data capsule to include the at least one new data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one new data sequence.", "The plurality of processes of an embodiment uses the at least one new data sequence in rendering the pointer object on the display.", "The plurality of processes of an embodiment includes a graphical process.", "The translating of an embodiment comprises transforming state change events of the graphical process into at least one data sequence comprising graphical process event data specifying the event and state information of the event.", "The graphical process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the graphical process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The recognizing process of an embodiment is the graphical process, the retrieving comprising the graphical process retrieving the recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The contents of the recognized data capsules of an embodiment are data representing state information of another process of the plurality of processes.", "The state information of an embodiment includes information of at least one of spatial state and modal state.", "The contents of the recognized data capsules of an embodiment are data representing a position on a display where a user of the at least one processing device is directing a pointer object.", "The position of the pointer object of an embodiment is within a boundary of a graphical object, wherein the graphical object is rendered by the graphical process.", "The translating of an embodiment comprises transforming the contents of the recognized data capsules into at least one new data sequence, the at least one new data sequence representing at least one of the graphical object, the pointer object, and an overlap of the pointer object and the boundary.", "The at least one new data sequence of an embodiment comprises graphical process event data specifying the event and state information of the graphical process event.", "The graphical process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the graphical process.", "The translating of an embodiment comprises forming the data capsule to include the at least one new data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one new data sequence.", "The plurality of processes of an embodiment uses the at least one new data sequence in rendering at least one of the graphical object and the pointer object on the display.", "The processing appropriate to contents of the recognized data capsules of an embodiment comprises rendering a graphical object, wherein the graphical object is rendered on a display of the at least one processing device.", "The rendering of an embodiment comprises direct rendering in which the plurality of processes draw directly to a graphics layer of the at least one processing device, wherein the plurality of pools is used for coordination among the plurality of processes as appropriate to the rendering.", "The rendering of an embodiment comprises the plurality of processes transferring data capsules comprising rendering commands into the plurality of pools.", "The rendering of an embodiment comprises the plurality of processes retrieving the rendering commands from the plurality of pools, interpreting the rendering commands, and driving a graphics layer of the at least one processing device in response to the rendering commands.", "The rendering of an embodiment comprises the plurality of processes rendering to pixel buffers.", "The rendering of an embodiment comprises the plurality of processes transferring raw frame data into the plurality of pools, the raw frame data resulting from the rendering to the pixel buffers.", "The rendering of an embodiment comprises the plurality of processes retrieving the raw frame data from the plurality of pools and combining the raw frame data for use in driving a graphics layer of the at least one processing device.", "The method of an embodiment comprises detecting an event of the plurality of processes.", "The method of an embodiment comprises generating at least one data sequence comprising event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to an application of the at least one processing device.", "The method of an embodiment comprises forming a data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a first data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes forming the first respective data set to include identification data of the at least one processing device, the identification data including data identifying the at least one processing device.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a second data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes generating a first respective data set offset, wherein the first respective data set offset points to the first respective data set of the second data sequence.", "The generating of the second respective data set of an embodiment includes generating a second respective data set offset, wherein the second respective data set offset points to the second respective data set of the second data sequence.", "The first respective data set of an embodiment is a description list, the description list including a description of the data.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises generating a header that includes a length of the data capsule.", "The method of an embodiment comprises forming the data capsule to include the header.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises detecting a second event of the at least one processing device.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises identifying a correspondence between the data capsule and the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying.", "The method of an embodiment comprises executing on behalf of the at least one processing device a processing operation corresponding to the second event in response to contents of the data capsule, wherein the at least one processing device corresponds to an application of a first type and a second application of a second type.", "The plurality of pools of an embodiment is coupled to a plurality of applications, the plurality of pools including a plurality of data capsules corresponding to the plurality of applications, the plurality of pools providing access to the plurality of data capsules by the plurality of applications, wherein at least two applications of the plurality of applications are different applications.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "The transferring comprises transferring the data capsule from a first application having a first application type to at least one second application having at least one second application type, wherein the first application type is different than the second application type, wherein the generating of the at least one data sequence was executed by the first application, the method comprising maintaining intact the at least one data sequence of the data capsule during the transferring.", "The method of an embodiment comprises using the at least one data sequence during operations of the second application.", "The method of an embodiment comprises generating a first data set that includes event data and identification data of a source device of the at least one processing device, the device event data including data specifying an event registered by the source device, the identification data including data identifying the source device.", "The method of an embodiment comprises generating a second data set that includes a full set of state information of the event, wherein each of the first data set and the second data set comprise typed data bundles in a type-specific data layout.", "The translating of an embodiment comprises encapsulating the first data set and the second data set by forming a data capsule to include the first data set and the second data set, wherein the data capsule has a data structure comprising an application-independent representation of the at least one data sequence.", "The method of an embodiment comprises: detecting an event of a first processing device running under an application of a first type; generating data sequences comprising event data of the first processing device, the event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to the application; forming a data capsule to include the data sequences, the data capsule having a data structure comprising an application-independent representation of the data sequences; detecting a second event of a second processing device running under at least one second application having at least one second type, wherein the second type is different from the first type, wherein the at least one processing device comprises the first processing device and the second processing device; identifying a correspondence between the data capsule and the second event; and executing an operation in response to the second event using contents of the data sequences of the data capsule.", "The generating of the data sequences of an embodiment comprises generating a first data set that includes the event data.", "The generating of the data sequences of an embodiment comprises generating a second data set that includes the state information.", "The generating of the data sequences of an embodiment comprises forming a first data sequence to include the first data set and the second data set.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying of the correspondence.", "The plurality of pools of an embodiment is coupled to the application and the at least one second application, the plurality of pools including a plurality of data capsules corresponding to the application and the at least one second application, the plurality of pools providing access to the plurality of data capsules by the application and the at least one second application.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "Embodiments described herein include a method comprising: dividing an application program into a plurality of processes; generating a portion of an output of the application program using a process of the plurality of processes; encapsulating the portion of the output in a first data capsule and transferring the first data capsule to at least one of a plurality of pools, wherein the plurality of pools comprise a plurality of data capsules received from the plurality of processes; accessing the plurality of pools and retrieving an input for a second process of the plurality of processes, wherein the input is in a second data capsule of the plurality of data capsules; and coordinating processing among the plurality of processes using the plurality of data capsules and the plurality of pools.", "Embodiments described herein include a system comprising: at least one processing device, the processing device executing a plurality of processes, the plurality of processes including separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process; and a plurality of pools coupled to the at least one processing device; the at least one processing device translating events of each process of the plurality of processes into data capsules and transferring the data capsules into a plurality of pools, wherein a data capsule includes an application-independent representation of event data of an event and state information of the process originating the data capsule; each process operating as a recognizing process, the recognizing process recognizing in the plurality of pools data capsules comprising at least one of content that corresponds to an interactive function of the recognizing process and an identification of the recognizing process; the recognizing process retrieving recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "Embodiments described herein include a method comprising: detecting from gesture data a gesture made by a body, wherein the gesture data is received via a detector; executing a plurality of processes on a processing device, the plurality of processes generating events, the events including a set of events representing the gesture; translating the events of each process of the plurality of processes into data capsules; transferring the data capsules into a plurality of pools; a set of processes of the plurality of processes operating as recognizing processes, the recognizing processes recognizing in the plurality of pools data capsules comprising content that corresponds to the gesture; and the recognizing processes retrieving recognized data capsules from the plurality of pools and generating a gesture signal from the recognized data capsules by compositing contents of the recognized data capsules to form the gesture signal, wherein the gesture signal represents the gesture.", "The plurality of processes of an embodiment includes separable program execution contexts of a spatial operating application.", "The gesture data of an embodiment is absolute three-space location data of an instantaneous state of a user at a point in time and space.", "The method of an embodiment comprises identifying the gesture using only the gesture data.", "The detecting of an embodiment includes at least one of detecting a location of the body, detecting an orientation of the body, and detecting motion of the body.", "The method of an embodiment comprises identifying the gesture, wherein the identifying includes identifying a pose and an orientation of a portion of the body.", "The detecting of an embodiment includes detecting at least one of a first set of appendages and a second set of appendages of the body.", "The detecting of an embodiment includes dynamically detecting a position of at least one tag coupled to the body.", "The detecting of an embodiment includes detecting position of a set of tags coupled to the body.", "Each tag of the set of tags of an embodiment includes a pattern, wherein each pattern of each tag of the set of tags is different than any pattern of any remaining tag of the plurality of tags.", "The detecting of an embodiment includes dynamically detecting and locating a marker on the body.", "The detecting of an embodiment includes detecting position of a set of markers coupled to the body.", "The set of markers of an embodiment form a plurality of patterns on the body.", "The detecting of an embodiment includes detecting position of a plurality of appendages of the body using a set of markers coupled to each of the appendages.", "The translating of an embodiment comprises translating information of the gesture to a gesture notation.", "The gesture notation of an embodiment represents a gesture vocabulary, and the gesture signal comprises communications of the gesture vocabulary.", "The gesture vocabulary of an embodiment represents in textual form instantaneous pose states of kinematic linkages of the body.", "The gesture vocabulary of an embodiment represents in textual form an orientation of kinematic linkages of the body.", "The gesture vocabulary of an embodiment represents in textual form a combination of orientations of kinematic linkages of the body.", "The gesture vocabulary of an embodiment includes a string of characters that represent a state of kinematic linkages of the body.", "The kinematic linkage of an embodiment is at least one first appendage of the body.", "The method of an embodiment comprises assigning each position in the string to a second appendage, the second appendage connected to the first appendage.", "The method of an embodiment comprises assigning characters of a plurality of characters to each of a plurality of positions of the second appendage.", "The plurality of positions of an embodiment is established relative to a coordinate origin.", "The method of an embodiment comprises establishing the coordinate origin using a position selected from a group consisting of an absolute position and orientation in space, a fixed position and orientation relative to the body irrespective of an overall position and heading of the body, and interactively in response to an action of the body.", "The method of an embodiment comprises assigning characters of the plurality of characters to each of a plurality of orientations of the first appendage.", "The detecting of an embodiment comprises detecting when an extrapolated position of the body intersects virtual space, wherein the virtual space comprises space depicted on a display device coupled to the at least one processing device.", "The method of an embodiment comprises controlling a virtual object in the virtual space when the extrapolated position intersects the virtual object.", "The controlling of an embodiment comprises controlling a position of the virtual object in the virtual space in response to the extrapolated position in the virtual space.", "The controlling of an embodiment comprises controlling attitude of the virtual object in the virtual space in response to the gesture.", "The method of an embodiment comprises controlling scaling of the detecting and controlling to generate coincidence between virtual space and the physical space, wherein the virtual space comprises space depicted on a display, wherein the physical space comprises space inhabited by the body.", "The method of an embodiment comprises controlling at least one virtual object in the virtual space in response to movement of at least one physical object in the physical space.", "The method of an embodiment comprises controlling a component using the gesture signal, the component coupled to the at least one processing device.", "Controlling the component of an embodiment comprises controlling a three-space object in six degrees of freedom simultaneously by mapping the gesture to a three-space object.", "Controlling the component of an embodiment comprises controlling a three-space object through three translational degrees of freedom and three rotational degrees of freedom.", "The three-space object of an embodiment is presented on a display device coupled to the at least one processing device.", "The three-space object of an embodiment is a remote system coupled to the computer.", "The method of an embodiment comprises controlling movement of the three-space object by mapping the gesture to a plurality of object translations of the three-space object.", "The mapping of an embodiment includes a direct mapping between the gesture and the plurality of object translations.", "The mapping of an embodiment includes an indirect mapping between the gesture and the plurality of object translations.", "A data capsule of an embodiment includes an application-independent representation of event data of an event and state information of the process originating the data message.", "The method of an embodiment comprises forming an interactive application from the plurality of processes by coordinating operations of each of the plurality of processes using the data capsules and the plurality of pools.", "The method of an embodiment comprises coordinating operations of the plurality of processes using at least one of the data capsules and the plurality of pools.", "The method of an embodiment comprises dividing an application program into a set of processes, wherein the plurality of processes includes the set of processes.", "The method of an embodiment comprises a process generating an output by interactively processing a plurality of retrieved data capsules of at least one pool of the plurality of pools.", "The plurality of processes of an embodiment includes separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process.", "The method of an embodiment comprises executing the plurality of processes in parallel.", "The method of an embodiment comprises executing a first set of processes in parallel, and executing a second set of processes in sequence, wherein the plurality of processes includes the first set of processes and the second set of processes.", "The events of an embodiment represent process inputs.", "The events of an embodiment represent process outputs.", "The events of an embodiment comprise a user interface event.", "The events of an embodiment comprise a graphics event.", "The events of an embodiment represent process state.", "The state of a process of an embodiment represents the interactive function of the process, wherein the interactive function of the process is exposed to the plurality of processes as contents of the data capsules.", "The method of an embodiment comprises defining an application programming interface (API) of the plurality of processes by contents of the data capsules instead of defining the API by function calls.", "The contents of the data capsules of an embodiment are application-independent and recognizable by the plurality of processes.", "The at least one processing device of an embodiment comprises a plurality of processing devices.", "At least one first set of processes of the plurality of processes of an embodiment are running under at least one first set of processing devices of the plurality of processing devices and at least one second set of processes of the plurality of processes are running under at least one second set of processing devices of the plurality of processing devices.", "The plurality of processes of an embodiment includes a first process.", "The translating of an embodiment comprises transforming events of the first process into at least one data sequence comprising first process event data specifying the event and state information of the event.", "The first process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the first process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The plurality of processes of an embodiment includes a second process.", "The translating of an embodiment comprises transforming state change events of the second process into at least one data sequence comprising second process event data specifying the event and state information of the event.", "The second process event data and state information of an embodiment are type-specific data having a type corresponding to an application of the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The recognizing process of an embodiment is the second process, the retrieving comprising the second process retrieving the recognized data capsules from the plurality of pools and executing processing appropriate to contents of the recognized data capsules.", "The contents of the recognized data capsules of an embodiment are data representing state information of the first process.", "The translating of an embodiment comprises transforming the contents of the recognized data capsules into at least one new data sequence, the at least one new data sequence representing at least one of an event of the first process and an event of the second process.", "The at least one new data sequence of an embodiment comprises event data specifying the event and state information of at least one of the first process and the second process.", "The event data and state information of the at least one of the first process and the second process of an embodiment are type-specific data having a type corresponding to an application of the at least one of the first process and the second process.", "The translating of an embodiment comprises forming the data capsule to include the at least one new data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one new data sequence.", "The plurality of processes of an embodiment uses the at least one new data sequence.", "The processing appropriate to contents of the recognized data capsules of an embodiment comprises rendering a graphical object, wherein the graphical object is rendered on a display of the at least one processing device.", "The rendering of an embodiment comprises direct rendering in which the plurality of processes draw directly to a graphics layer of the at least one processing device, wherein the plurality of pools is used for coordination among the plurality of processes as appropriate to the rendering.", "The rendering of an embodiment comprises the plurality of processes transferring data capsules comprising rendering commands into the plurality of pools.", "The rendering of an embodiment comprises the plurality of processes retrieving the rendering commands from the plurality of pools, interpreting the rendering commands, and driving a graphics layer of the at least one processing device in response to the rendering commands.", "The rendering of an embodiment comprises the plurality of processes rendering to pixel buffers.", "The rendering of an embodiment comprises the plurality of processes transferring raw frame data into the plurality of pools, the raw frame data resulting from the rendering to the pixel buffers.", "The rendering of an embodiment comprises the plurality of processes retrieving the raw frame data from the plurality of pools and combining the raw frame data for use in driving a graphics layer of the at least one processing device.", "The method of an embodiment comprises detecting an event of the plurality of processes.", "The method of an embodiment comprises generating at least one data sequence comprising event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to an application of the at least one processing device.", "The method of an embodiment comprises forming a data capsule to include the at least one data sequence, the data capsule having a data structure comprising an application-independent representation of the at least one data sequence.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a first data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes forming the first respective data set to include identification data of the at least one processing device, the identification data including data identifying the at least one processing device.", "The generating of the at least one data sequence of an embodiment comprises generating a first respective data set that includes first respective event data.", "The generating of the at least one data sequence of an embodiment comprises generating a second respective data set that includes second respective state information.", "The generating of the at least one data sequence of an embodiment comprises forming a second data sequence to include the first respective data set and the second respective data set.", "The generating of the first respective data set of an embodiment includes generating a first respective data set offset, wherein the first respective data set offset points to the first respective data set of the second data sequence.", "The generating of the second respective data set of an embodiment includes generating a second respective data set offset, wherein the second respective data set offset points to the second respective data set of the second data sequence.", "The first respective data set of an embodiment is a description list, the description list including a description of the data.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises generating a header that includes a length of the data capsule.", "The method of an embodiment comprises forming the data capsule to include the header.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises detecting a second event of the at least one processing device.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises identifying a correspondence between the data capsule and the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying.", "The method of an embodiment comprises executing on behalf of the at least one processing device a processing operation corresponding to the second event in response to contents of the data capsule, wherein the at least one processing device corresponds to an application of a first type and a second application of a second type.", "The plurality of pools of an embodiment is coupled to a plurality of applications, the plurality of pools including a plurality of data capsules corresponding to the plurality of applications, the plurality of pools providing access to the plurality of data capsules by the plurality of applications, wherein at least two applications of the plurality of applications are different applications.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "The transferring of an embodiment comprises transferring the data capsule from a first application having a first application type to at least one second application having at least one second application type, wherein the first application type is different than the second application type, wherein the generating of the at least one data sequence was executed by the first application, the method comprising maintaining intact the at least one data sequence of the data capsule during the transferring.", "The method of an embodiment comprises using the at least one data sequence during operations of the second application.", "The method of an embodiment comprises generating a first data set that includes event data and identification data of a source device of the at least one processing device, the device event data including data specifying an event registered by the source device, the identification data including data identifying the source device.", "The method of an embodiment comprises generating a second data set that includes a full set of state information of the event, wherein each of the first data set and the second data set comprise typed data bundles in a type-specific data layout.", "The translating of an embodiment comprises encapsulating the first data set and the second data set by forming a data capsule to include the first data set and the second data set, wherein the data capsule has a data structure comprising an application-independent representation of the at least one data sequence.", "The method of an embodiment comprises detecting an event of a first processing device running under an application of a first type.", "The method of an embodiment comprises generating data sequences comprising event data of the first processing device, the event data specifying the event and state information of the event, wherein the event data and state information are type-specific data having a type corresponding to the application.", "The method of an embodiment comprises forming a data capsule to include the data sequences, the data capsule having a data structure comprising an application-independent representation of the data sequences.", "The method of an embodiment comprises detecting a second event of a second processing device running under at least one second application having at least one second type, wherein the second type is different from the first type, wherein the at least one processing device comprises the first processing device and the second processing device.", "The method of an embodiment comprises identifying a correspondence between the data capsule and the second event.", "The method of an embodiment comprises executing an operation in response to the second event using contents of the data sequences of the data capsule.", "The generating of the data sequences of an embodiment comprises generating a first data set that includes the event data.", "The generating of the data sequences of an embodiment comprises generating a second data set that includes the state information.", "The generating of the data sequences of an embodiment comprises forming a first data sequence to include the first data set and the second data set.", "The event data of an embodiment is a tagged byte-sequence representing typed data.", "The event data of an embodiment includes a type header and a type-specific data layout.", "The state information of an embodiment is a tagged byte-sequence representing typed data.", "The state information of an embodiment includes a type header and a type-specific data layout.", "The method of an embodiment comprises generating at least one offset.", "The method of an embodiment comprises forming the data capsule to include the at least one offset.", "The method of an embodiment comprises generating a first offset having a first variable length, wherein the first offset points to the event data of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises generating a second offset having a second variable length, wherein the second offset points to the state information of a first data sequence of the at least one data sequence.", "The method of an embodiment comprises forming a first code path through the data capsule using a first offset of the at least one offset.", "The method of an embodiment comprises forming a second code path through the data capsule using a second offset of the at least one offset, wherein the first code path and the second code path are different paths.", "At least one of the first offset and the second offset of an embodiment include metadata, the metadata comprising context-specific metadata corresponding to a context of the application.", "The method of an embodiment comprises transferring the data capsule to a pool of the plurality of pools.", "The method of an embodiment comprises searching the plurality of pools for data capsules corresponding to the second event.", "The method of an embodiment comprises extracting the data capsule from the pool in response to the identifying of the correspondence.", "The plurality of pools of an embodiment is coupled to the application and the at least one second application, the plurality of pools including a plurality of data capsules corresponding to the application and the at least one second application, the plurality of pools providing access to the plurality of data capsules by the application and the at least one second application.", "The plurality of pools of an embodiment provides state caching of a plurality of data capsules.", "The plurality of pools of an embodiment provides linear sequencing of a plurality of data capsules.", "The data structure of an embodiment is untyped.", "The data structure of the data capsule of an embodiment provides a platform-independent representation of the event data and the state information.", "The data structure of the data capsule of an embodiment provides platform-independent access to the event data and the state information.", "Embodiments described herein include a method comprising: executing a plurality of processes on a processing device, the plurality of processes including separable program execution contexts of a plurality of application programs, wherein each application program comprises at least one process; translating events of each process of the plurality of processes into data messages, wherein a data message includes an application-independent representation of event data of an event and state information of the process originating the data message; transferring the data messages into at least one pool of a plurality of pools; coordinating among the processes, the coordinating including each process of the plurality of processes coordinating with peer processes of the plurality of processes by retrieving from the plurality of pools the state information of the peer processes; and generating an output of the plurality of processes by interactively combining a set of data messages of at least one pool of the plurality of pools.", "Embodiments described herein include a system comprising: a detector for receiving gesture data that represents a gesture made by a body; and a processor coupled to the detector, the processor automatically detecting the gesture from the gesture data, the processor executing a plurality of processes, the plurality of processes generating events that include a set of events representing the gesture, the processor translating the events of each process of the plurality of processes into data capsules, the processor transferring the data capsules into a plurality of pools, wherein a set of processes of the plurality of processes function as recognizing processes, the recognizing processes recognizing in the plurality of pools data capsules comprising content that corresponds to the gesture, the recognizing processes retrieving recognized data capsules from the plurality of pools and generating a gesture signal from the recognized data capsules by compositing contents of the recognized data capsules to form the gesture signal, wherein the gesture signal represents the gesture.", "The systems and methods described herein include and/or run under and/or in association with a processing system.", "The processing system includes any collection of processor-based devices or computing devices operating together, or components of processing systems or devices, as is known in the art.", "For example, the processing system can include one or more of a portable computer, portable communication device operating in a communication network, and/or a network server.", "The portable computer can be any of a number and/or combination of devices selected from among personal computers, cellular telephones, personal digital assistants, portable computing devices, and portable communication devices, but is not so limited.", "The processing system can include components within a larger computer system.", "The processing system of an embodiment includes at least one processor and at least one memory device or subsystem.", "The processing system can also include or be coupled to at least one database.", "The term “processor” as generally used herein refers to any logic processing unit, such as one or more central processing units (CPUs), digital signal processors (DSPs), application-specific integrated circuits (ASIC), etc.", "The processor and memory can be monolithically integrated onto a single chip, distributed among a number of chips or components of a host system, and/or provided by some combination of algorithms.", "The methods described herein can be implemented in one or more of software algorithm(s), programs, firmware, hardware, components, circuitry, in any combination.", "System components embodying the systems and methods described herein can be located together or in separate locations.", "Consequently, system components embodying the systems and methods described herein can be components of a single system, multiple systems, and/or geographically separate systems.", "These components can also be subcomponents or subsystems of a single system, multiple systems, and/or geographically separate systems.", "These components can be coupled to one or more other components of a host system or a system coupled to the host system.", "Communication paths couple the system components and include any medium for communicating or transferring files among the components.", "The communication paths include wireless connections, wired connections, and hybrid wireless/wired connections.", "The communication paths also include couplings or connections to networks including local area networks (LANs), metropolitan area networks (MANs), wide area networks (WANs), proprietary networks, interoffice or backend networks, and the Internet.", "Furthermore, the communication paths include removable fixed mediums like floppy disks, hard disk drives, and CD-ROM disks, as well as flash RAM, Universal Serial Bus (USB) connections, RS-232 connections, telephone lines, buses, and electronic mail messages.", "Unless the context clearly requires otherwise, throughout the description, the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense as opposed to an exclusive or exhaustive sense; that is to say, in a sense of “including, but not limited to.” Words using the singular or plural number also include the plural or singular number respectively.", "Additionally, the words “herein,” “hereunder,” “above,” “below,” and words of similar import refer to this application as a whole and not to any particular portions of this application.", "When the word “or” is used in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list.", "The above description of embodiments is not intended to be exhaustive or to limit the systems and methods described to the precise form disclosed.", "While specific embodiments and examples are described herein for illustrative purposes, various equivalent modifications are possible within the scope of other systems and methods, as those skilled in the relevant art will recognize.", "The teachings provided herein can be applied to other processing systems and methods, not only for the systems and methods described above.", "The elements and acts of the various embodiments described above can be combined to provide further embodiments.", "These and other changes can be made to the embodiments in light of the above detailed description.", "In general, in the following claims, the terms used should not be construed to limit the embodiments to the specific embodiments disclosed in the specification and the claims, but should be construed to include all systems that operate under the claims.", "Accordingly, the embodiments are not limited by the disclosure herein, but instead the scope of the embodiments is to be determined entirely by the claims.", "While certain aspects of the embodiments are presented below in certain claim forms, the inventors contemplate the various aspects of the embodiments in any number of claim forms.", "Accordingly, the inventors reserve the right to add additional claims after filing the application to pursue such additional claim forms for other aspects of the embodiments." ] ]
Patent_15875661
[ [ "PEPTIDE FOR SUPPRESSING OSTEOCLAST DIFFERENTIATION AND USE THEREOF", "The peptide of the present invention performs a function, which is the same as or similar to that of natural interleukin (IL)-3, and has superior skin permeability due to the small size thereof.", "In addition, the peptide of the present invention suppresses the activation of NF-κB and nuclear transition by inhibiting the receptor activator of nuclear factor kappa-B ligand (RANKL)-RANK signaling pathway, and suppresses the expression of a RANKL or an inflammatory cytokine-induced tartrate-resistant acid phosphatase (TRAP), cathepsin K, or TNF receptor type 1 or type 2, thereby inhibiting osteoclast differentiation depending on the treatment concentration.", "Moreover, the peptide of the present invention can contribute to osteoblast differentiation by promoting the expression of osteoblast differentiation markers such as osteocalcin (OCN), osteoprotegerin (OPG), bone sialoprotein (BSP), or osteopontin (OPN).", "Therefore, the superior activity and stability of the peptide of the present invention are useful for medicines, sanitary aids, or cosmetics." ], [ "1-16.", "(canceled) 17.A peptide comprising the amino acid sequence of SEQ ID NO: 2.18.The peptide of claim 17, wherein the peptide inhibits the receptor activator of nuclear factor kappa-B ligand (RANKL)-RANK signaling pathway.", "19.The peptide of claim 17, wherein the peptide inhibits RANKL- or inflammatory cytokine-induced osteoclast differentiation.", "20.The peptide of claim 17, wherein the peptide inhibits the RANKL- or inflammatory cytokine-induced expression of tartrate-resistant acid phosphatase (TRAP), cathepsin K, or type 1 or type 2 TNF receptor.", "21.The peptide of claim 19, wherein the inflammatory cytokine includes tumor necrosis factor-α (TNF-α), macrophage colony-stimulating factor (M-CSF), interleukin-1β (IL-1β), IL-6 or IL-7.22.The peptide of claim 17, wherein the peptide promotes osteoblast differentiation.", "23.The peptide of claim 17, wherein the N- or C-terminal of the peptide is linked to a protective group selected from the group consisting of an acetyl group, a fluorenyl methoxy carbonyl group, a formyl group, a palmitoyl group, a myristyl group, a stearyl group and polyethylene glycol (PEG).", "24.The peptide of claim 17, wherein the peptide consists of the amino acid sequence of SEQ ID NO: 2.25.The peptide of claim 24, wherein the N- or C-terminal of the peptide is linked to a protective group selected from the group consisting of an acetyl group, a fluorenyl methoxy carbonyl group, a formyl group, a palmitoyl group, a myristyl group, a stearyl group and polyethylene glycol (PEG).", "26.A method for alleviating or treating a bone disease, the method comprising administering to a subject a composition comprising a peptide comprising the amino acid sequence of SEQ ID NO: 2.27.The method of claim 26, wherein the bone disease is selected from the group consisting of osteoporosis, childhood osteoporosis, osteogenesis imperfecta, osteomalacia, bone necrosis, rickets, osteomyelitis, alveolar bone loss, Pagers disease, hypercalcemia, primary hyperparathyroidism, metastatic bone diseases, myeloma, bone loss in rheumatoid arthritis, bone loss resulting from cancers, fibrous dysplasia, aplastic bone diseases, metabolic bone diseases, and bone loss with age.", "28.A method for promoting osteogenic differentiation, the method comprising contacting cells with a composition comprising a peptide comprising the amino acid sequence of SEQ ID NO: 2.29.The method of claim 28, wherein the composition promotes the expression of osteocalcin (OCN), osteoprotegerin (OPG), bone sialoprotein (BSP) or osteopontin (OPN)." ], [ "<SOH> BACKGROUND ART <EOH>Bone homeostasis and the skeletal structure are maintained by organized activity between osteoclasts for bone resorption and osteoblasts for bone formation.", "Osteoclasts, which are multinucleated cells, are differentiated from hematopoietic stem cells [T. Miyamoto, O. Ohneda, F. Arai, et al., Blood 98 (2001) 2544-2554].", "The differentiation into osteoclasts is regulated by RANK ligand that is secreted from osteoblasts and activated T lymphocytes [Y. Y. Kong, U. Feige, I. Sarosi, et al., Nature 402 (1999) 304-309].", "The receptor activator of nuclear factor kappa-B ligand (RANKL) binds to RANK, which is a receptor that is present in the osteoclast precursor, and osteoclast differentiation is induced in the presence of macrophage-colony stimulating factor (M-CSF).", "RANKL activates signaling pathways that regulate the formation of osteoclasts and the absorption of bones [J. Li, I. Sarosi, X. Q. Yan, et al., Proc.", "Natl.", "Acad.", "Sci.", "USA 97 (2000) 1566-1571].", "RANK has no tyrosine kinase activity, and induces signaling through adaptor proteins, known as TNF receptor-associated factors (TRAFs) [L. Galibert, M. E. Tometsko, D. M. Anderson, et al., J. Biol.", "Chem.", "273 (1998) 34120-34127].", "TRAF6, which is a part of the intercellular molecule, plays a key role in the generation of osteoclasts and activates various downstream signals [M. A. Lomaga, W. C. Yeh, I. Sarosi, et al., Genes Dev.", "13 (1999) 1015-1024].", "TRAF6 binds to cytoplasmic domains of RANK, and then activates NF-κB and activator protein-1 (AP-1) [S. L. Teitelbaum, J. Clin.", "Invest.", "114 (2004) 463-465].", "Tumor necrosis factor-α (TNF-α), which is TNF ligand-based protein, is secreted in several types of cells including monocytes/macrophages or osteoclasts, and induces a number of biological responses through two cell-surface receptors termed TNFR1 and TNFR2 (also called TNFR p55 and TNFR p75, respectively).", "Both TNFR1 and TNFR2 induce intracellular signals that can stimulate the proteolytic breakdown of kappa B (IκB), a cytoplasmic inhibitor of NF-κB [Verma, I. M., Stevenson, J. K., Schwarz, E. M., Van Antwerp, D., and Miyamoto, S. (1995) Genes Dev.", "9, 2723-2735].", "TNF-α modulates a varied range of responses, such as inflammation, immune modulation, cell proliferation and differentiation, and apoptosis [Ledgerwood, E. C., Pober, J. S., and Bradley, J. R. (1999) Lab.", "Invest.", "79, 1041-1050].", "TNF-α also promotes bone resorption in vitro and in vivo [Bertolini, D. R., Nedwin, G. E., Bringman, T. S., Smith, D. D., and Mundy, G. R. (1986) Nature 319, 516-518], and can induce the secretion of RANKL in osteoblasts [Hofbauer, L. C., Lacey, D. L., Dunstan, C. R., Spelsberg, T. C., Riggs, B. L., and Khosla, S. (1999) Bone 25, 255-259].", "In addition, TNF-α is crucial to the pathogenesis of the bone and joint destructions that occur in rheumatoid arthritis, and has been implicated in the bone loss inperiodontitis, orthopedic implant loosening, and other forms of chronic inflammatory osteolysis.", "TNF-α is mediated by lipopolysaccharide-stimulated osteoclasts [Abu-Amer, Y., Ross, F. P., Edwards, J., and Teitelbaum, S. L. (1997) J. Clin.", "Invest.", "100, 1557-1565].", "TNF-α plays an important role in estrogen deficiency-induced bone loss in postmenopausal osteoporosis [Cenci, S., Weitzmann, M. N., Roggia, C., Namba, N., Novack, D., Woodring, J., and Pacifici, R. (2000) J. Clin.", "Invest.", "106, 1229-1237].", "Interleukin-3 (IL-3), which is the cytokine secreted mainly by activated T lymphocytes, may be used as a connection link between the immune system and the hematopoietic stem cell system [J. W. Schrader, Interleukin-3, in: A. W. Thomson, M. T. Lotze (Eds.", "), Academic Press, London, U K, 2003, pp.", "201-225].", "IL-3 directly acts on mouse osteoclast precursors, and promotes cell differentiation into macrophages, thereby inhibiting the RANKL-induced osteoclast differentiation [S. M. Khapli, L. S. Mangashetti, S. D. Yogesha, M. R. Wani, J. Immunol.", "171 (2003) 142-151].", "In the osteoclast precursor, IL-3 inhibits the phosphorylation and degradation of IκB, thereby preventing the nuclear translocation of NF-κB, which is induced by RANKL.", "In addition, IL-3 inhibits RANKL-induced c-Jun N-terminal kinase (JNK) activity, and down-regulates the expression of transcriptional factors, c-Fos and NFATc1.IL-3 inhibits the expression of RANK at the post-transcriptional stage, and this procedure was confirmed to be irreversible, through the in vivo experiment using mice.", "Throughout the entire specification, many papers and patent documents are referenced and their citations are represented.", "The disclosure of the cited papers and patent documents are entirely incorporated by reference into the present specification, and the level of the technical field within which the present invention falls, and the details of the present invention are explained more clearly." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1 illustrates graphs showing high-performance liquid-phase chromatography assay results of peptides of SEQ ID NO: 1 ( FIG.", "1 a ) and SEQ ID NO: 2 ( FIG.", "1 b ) prepared by synthetic example 1 of the present invention.", "FIG.", "2 illustrates graphs showing stability test results of peptides of SEQ ID NO: 1 ( FIG.", "2 a ) and SEQ ID NO: 2 ( FIG.", "2 b ) prepared by synthetic example 1 of the present invention.", "FIG.", "3 illustrates osteoclast differentiation inhibitory effects by the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "3 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "3 b ), prepared by synthetic example of the present invention.", "FIG.", "4 illustrates the inhibitory effect on the osteoclast differentiation promoting enzyme by the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "4 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "4 b ), prepared by synthetic example of the present invention.", "FIG.", "5 illustrates in the inhibitory effects on the mRNA of osteoclast differentiation markers, TRAP and Cathepsin, by a peptide of SEQ ID NO: 1 ( FIG.", "5 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "5 b ), prepared by synthetic example of the present invention.", "FIG.", "6 illustrates the inhibitory effects on the mRNA of type 1 and type 2 TNF receptors by the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "6 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "6 b ), prepared by synthetic example of the present invention.", "FIG.", "7 illustrates RANKL signal inhibitory effects by the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "7 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "7 b ), prepared by synthetic example of the present invention.", "FIG.", "8 illustrates osteoblast differentiation promotion results by the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "8 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "8 b ), prepared by synthetic example of the present invention.", "FIG.", "9 illustrates that the treatment with a peptide of SEQ ID NO: 1 ( FIG.", "9 a ) and a peptide of SEQ ID NO: 2 ( FIG.", "9 b ), prepared by synthetic example of the present invention, increased the expression of p-Smad1/5/8, which is a BMP2 signal.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "TECHNICAL FIELD This application claims priority to and the benefit of Korean Patent Application No.", "10-2013-0086939 filed in the Korean Intellectual Property Office on 23 Jul.", "2013, the entire contents of which are incorporated herein by reference.", "The present invention relates to a peptide for inhibiting osteoclast differentiation and a use thereof.", "BACKGROUND ART Bone homeostasis and the skeletal structure are maintained by organized activity between osteoclasts for bone resorption and osteoblasts for bone formation.", "Osteoclasts, which are multinucleated cells, are differentiated from hematopoietic stem cells [T. Miyamoto, O. Ohneda, F. Arai, et al., Blood 98 (2001) 2544-2554].", "The differentiation into osteoclasts is regulated by RANK ligand that is secreted from osteoblasts and activated T lymphocytes [Y. Y. Kong, U. Feige, I. Sarosi, et al., Nature 402 (1999) 304-309].", "The receptor activator of nuclear factor kappa-B ligand (RANKL) binds to RANK, which is a receptor that is present in the osteoclast precursor, and osteoclast differentiation is induced in the presence of macrophage-colony stimulating factor (M-CSF).", "RANKL activates signaling pathways that regulate the formation of osteoclasts and the absorption of bones [J. Li, I. Sarosi, X. Q. Yan, et al., Proc.", "Natl.", "Acad.", "Sci.", "USA 97 (2000) 1566-1571].", "RANK has no tyrosine kinase activity, and induces signaling through adaptor proteins, known as TNF receptor-associated factors (TRAFs) [L. Galibert, M. E. Tometsko, D. M. Anderson, et al., J. Biol.", "Chem.", "273 (1998) 34120-34127].", "TRAF6, which is a part of the intercellular molecule, plays a key role in the generation of osteoclasts and activates various downstream signals [M. A. Lomaga, W. C. Yeh, I. Sarosi, et al., Genes Dev.", "13 (1999) 1015-1024].", "TRAF6 binds to cytoplasmic domains of RANK, and then activates NF-κB and activator protein-1 (AP-1) [S. L. Teitelbaum, J. Clin.", "Invest.", "114 (2004) 463-465].", "Tumor necrosis factor-α (TNF-α), which is TNF ligand-based protein, is secreted in several types of cells including monocytes/macrophages or osteoclasts, and induces a number of biological responses through two cell-surface receptors termed TNFR1 and TNFR2 (also called TNFR p55 and TNFR p75, respectively).", "Both TNFR1 and TNFR2 induce intracellular signals that can stimulate the proteolytic breakdown of kappa B (IκB), a cytoplasmic inhibitor of NF-κB [Verma, I. M., Stevenson, J. K., Schwarz, E. M., Van Antwerp, D., and Miyamoto, S. (1995) Genes Dev.", "9, 2723-2735].", "TNF-α modulates a varied range of responses, such as inflammation, immune modulation, cell proliferation and differentiation, and apoptosis [Ledgerwood, E. C., Pober, J. S., and Bradley, J. R. (1999) Lab.", "Invest.", "79, 1041-1050].", "TNF-α also promotes bone resorption in vitro and in vivo [Bertolini, D. R., Nedwin, G. E., Bringman, T. S., Smith, D. D., and Mundy, G. R. (1986) Nature 319, 516-518], and can induce the secretion of RANKL in osteoblasts [Hofbauer, L. C., Lacey, D. L., Dunstan, C. R., Spelsberg, T. C., Riggs, B. L., and Khosla, S. (1999) Bone 25, 255-259].", "In addition, TNF-α is crucial to the pathogenesis of the bone and joint destructions that occur in rheumatoid arthritis, and has been implicated in the bone loss inperiodontitis, orthopedic implant loosening, and other forms of chronic inflammatory osteolysis.", "TNF-α is mediated by lipopolysaccharide-stimulated osteoclasts [Abu-Amer, Y., Ross, F. P., Edwards, J., and Teitelbaum, S. L. (1997) J. Clin.", "Invest.", "100, 1557-1565].", "TNF-α plays an important role in estrogen deficiency-induced bone loss in postmenopausal osteoporosis [Cenci, S., Weitzmann, M. N., Roggia, C., Namba, N., Novack, D., Woodring, J., and Pacifici, R. (2000) J. Clin.", "Invest.", "106, 1229-1237].", "Interleukin-3 (IL-3), which is the cytokine secreted mainly by activated T lymphocytes, may be used as a connection link between the immune system and the hematopoietic stem cell system [J. W. Schrader, Interleukin-3, in: A. W. Thomson, M. T. Lotze (Eds.", "), Academic Press, London, U K, 2003, pp.", "201-225].", "IL-3 directly acts on mouse osteoclast precursors, and promotes cell differentiation into macrophages, thereby inhibiting the RANKL-induced osteoclast differentiation [S. M. Khapli, L. S. Mangashetti, S. D. Yogesha, M. R. Wani, J. Immunol.", "171 (2003) 142-151].", "In the osteoclast precursor, IL-3 inhibits the phosphorylation and degradation of IκB, thereby preventing the nuclear translocation of NF-κB, which is induced by RANKL.", "In addition, IL-3 inhibits RANKL-induced c-Jun N-terminal kinase (JNK) activity, and down-regulates the expression of transcriptional factors, c-Fos and NFATc1.IL-3 inhibits the expression of RANK at the post-transcriptional stage, and this procedure was confirmed to be irreversible, through the in vivo experiment using mice.", "Throughout the entire specification, many papers and patent documents are referenced and their citations are represented.", "The disclosure of the cited papers and patent documents are entirely incorporated by reference into the present specification, and the level of the technical field within which the present invention falls, and the details of the present invention are explained more clearly.", "DETAILED DESCRIPTION OF THE INVENTION Technical Problem The present inventors have endeavored to develop a material that has excellent activity and stability compared with naturally occurring interleukin-3 (IL-3) protein while retaining the same or similar functions to IL-3.As a result, the present inventors have selected an IL-3-derived peptide having excellent physiological activity (e.g., osteoclast differentiation inhibitory ability, osteogenic differentiation promoting ability, etc.)", "among a lot of peptide candidates, and have then completed the present invention.", "Therefore, an aspect of the present invention is to provide a peptide including an amino acid sequence of SEQ ID NO: 1 or SEQ ID NO: 2.Another aspect of the present invention is to provide a pharmaceutical composition for alleviating or treating bone diseases.", "Still another aspect of the present invention is to provide a composition for promoting osteogenic differentiation.", "Still another aspect of the present invention is to provide a method for alleviating or treating bone diseases.", "Still another aspect of the present invention is to provide a method for promoting osteogenic differentiation.", "Other purposes and advantages of the present disclosure will become more obvious with the following detailed description of the invention, claims, and drawings.", "Technical Solution In accordance with an aspect of the present invention, there is provided a peptide including an amino acid sequence of SEQ ID NO: 1 or SEQ ID NO: 2.The present inventors have endeavored to develop a material that has excellent activity and stability compared with naturally occurring interleukin-3 (IL-3) protein while retaining the same or similar functions to IL-3.As a result, the present inventors have selected an IL-3-derived peptide having excellent physiological activity (e.g., osteoclast differentiation inhibitory ability, osteogenic differentiation promoting ability, etc.)", "among a lot of peptide candidates.", "The present inventors synthesized a plurality of IL-3-derived peptides that exhibit the above-described characteristics, based on moieties involved in functions of human IL-3.More specifically, the peptide of the present invention was selectively prepared by arbitrarily partial-synthesizing several moieties of IL-3 protein to first search for moieties which is bindable to receptor proteins and then optimizing amino acid sequences of the predicted moieties, and then, of these candidate peptides, the peptides having the most excellent activity were screened, and thus the peptides of SEQ ID NO: 1 and SEQ ID NO: 2 of the present invention were prepared.", "According to some embodiments, the peptides of SEQ ID NO: 1 and SEQ ID NO: 2 of the present invention were derived from human IL-3 (GenBank Accession Number, AAH66275.1; SEQ ID NO: 3), which are shown in table 1.The peptides of SEQ ID NO: 1 and SEQ ID NO 2 of the present invention have activity, such as growth factors, by performing similar functions to naturally occurring IL-3 to bind to receptors thereof.", "The peptides of the present invention did not only have strong osteoclast differentiation inhibitory ability in a dose-dependent manner, but also significantly promoted osteoblast differentiation (see FIGS.", "3 to 8).", "According to a certain embodiment of the present invention, the peptides of the present invention inhibit the receptor activator of nuclear factor kappa-B ligand (RANKL)-RANK signaling pathway.", "The peptides of the present invention remarkably inhibited RANKL-mediated NF-κB activation and nuclear translocation (see FIGS.", "7a and 7b).", "According to a certain embodiment of the present invention, the peptides of the present invention inhibit the RANKL- or inflammatory cytokine-induced osteoclast differentiation, and the RANKL- or inflammatory cytokine-induced expressions of tartrate-resistant acid phosphatase (TRAP), cathepsin K, or type 1 or type 2 TNF receptor.", "According to a certain embodiment of the present invention, the foregoing inflammatory cytokines include tumor necrosis factor-α (TNF-α), macrophage colony-stimulating factor (M-CSF), interleukin-1β (IL-1β), IL-6, and IL-7; more specifically, TNF-α, IL-1β, and IL-6; still more specifically, TNF-α, and IL-13; and most specifically, TNF-α.", "The peptides of the present invention can promote osteoblast differentiation.", "According to a certain embodiment of the present invention, the peptides of the present invention promote the expressions of osteoblast differentiation markers, such as osteocalcin (OCN), osteoprotegerin (OPG), bone sialoprotein (BSP), and osteopontin (OPN).", "As used herein, the term “peptide” refers to a molecule in which amino acid residues bind to each other via a peptide linkage.", "The peptides of the present invention may be prepared by, for example, the solid-phase synthetic method (solid-phase synthesis techniques; Merrifield, J. Amer.", "Chem.", "Soc.", "85:2149-54(1963); Stewart, et al., Solid Phase Peptide Synthesis, 2nd.", "ed., Pierce Chem.", "Co.: Rockford, 111(1984)) or the liquid-phase synthetic method (U.S. Pat.", "No.", "5,516,891).", "The peptides of the present invention per se have excellent stability compared with naturally occurring IL-3 protein, but the stability thereof can be further improved through amino acid modification (see FIGS.", "2a and 2b).", "According to the present invention, the peptides of the present invention have very excellent thermal stability compared with naturally occurring IL-3 protein.", "The naturally occurring IL-3 protein has low stability in long-term storage and temperature, is difficult to prepare, and has a high production cost.", "However, the peptides of the present invention can be mass-produced very cheaply, can prevent the deterioration in bioactivity as much as possible due to physiochemical stability thereof at high temperatures, and has further improved therapeutic effects by increasing the remaining period in vivo and in vitro.", "Therefore, the peptides of the present invention can be favorably applied to products that require long-term storage, such as medicines, quasi-medicines, and cosmetics.", "According to a certain embodiment of the present invention, a protective group, which is selected from the group consisting of an acetyl group, a fluorenyl methoxy carbonyl group, a formyl group, a palmitoyl group, a myristyl group, a stearyl group, and polyethylene glycol (PEG), may be linked to the N- or C-terminal of the peptides.", "The foregoing amino acid modification significantly improves the stability of the peptides of the present invention.", "As used herein, the term “stability” refers to storage stability (e.g., room-temperature stability) as well as “in vivo” stability.", "The foregoing protective group protects the peptides of the present invention from the attack of in vivo protein cleavage enzymes.", "In accordance with another aspect of the present invention, there is provided a pharmaceutical composition for alleviating or treating bone diseases, the composition containing the foregoing peptide as an active ingredient.", "In accordance with still another aspect of the present invention, there is provided a composition for promoting osteogenic differentiation, the composition containing the peptide as an active ingredient.", "Since the composition of the present invention contains the foregoing IL-3-related peptides of the present invention as an active ingredient, descriptions of overlapping contents between the two are omitted to avoid excessive complication of the specification due to repetitive descriptions thereof.", "As used herein, the term “bone diseases” refer to diseases, disorders, or conditions associated with RANKL-mediated signaling, and include diseases, disorders, conditions related to the regulation of bone formation and resorption, as well as bone mass reductive disorders, including the reduction of bone mass, osteoporosis, and osteolysis.", "According to a certain embodiment of the present invention, the bone diseases, which can be alleviated or treated by the pharmaceutical composition of the present invention, include osteoporosis, childhood osteoporosis, osteogenesis imperfecta, osteomalacia, bone necrosis, rickets, osteomyelitis, alveolar bone loss, Paget's disease, hypercalcemia, primary hyperparathyroidism, metastatic bone diseases, myeloma, bone loss in rheumatoid arthritis, bone loss resulting from cancers, fibrous dysplasia, aplastic bone disease, metabolic bone diseases, or bone loss with age, but are not limited thereto.", "The composition of the present invention may be used as a pharmaceutical composition containing: (a) a pharmaceutically effective amount of the foregoing peptide exhibiting IL-3 protein activity, of the present invention; and (b) a pharmaceutically acceptable salt.", "As used herein, the term “pharmaceutically effective amount” refers to an amount sufficient to attain efficacy or activity of the foregoing IL-3-related peptide.", "The pharmaceutically acceptable carrier contained in the pharmaceutical composition of the present invention is conventionally used for the formulation, and examples thereof may include, but are not limited to, lactose, dextrose, sucrose, sorbitol, mannitol, starch, acacia gum, calcium phosphate, alginate, gelatin, calcium silicate, microcrystalline cellulose, polyvinylpyrrolidone, cellulose, water, syrup, methyl cellulose, methyl hydroxybenzoate, propyl hydroxybenzoate, talc, magnesium stearate, and mineral oil.", "The pharmaceutical composition of the present invention may further contain a lubricant, a wetting agent, a sweetening agent, a flavoring agent, an emulsifier, a suspending agent, a preservative, and the like, in addition to the above ingredients.", "Suitable pharmaceutically acceptable carriers and preparations are described in detail in Remington's Pharmaceutical Sciences (19th ed., 1995).", "The pharmaceutical composition of the present invention may be administered orally or parenterally, and examples of the parenteral administration may include intravenous, subcutaneous, intramuscular, intraperitoneal, local, and transdermal injections.", "The appropriate dose of the pharmaceutical composition of the present invention varies depending on factors, such as the formulating method, manner of administration, patient's age, body weight, gender, morbidity, and food, time of administration, route of administration, excretion rate, and response sensitivity.", "An ordinarily skilled practitioner can easily determine and prescribe the dose that is effective for the desired treatment or prevention.", "According to a preferable embodiment of the present invention, the daily dose of the pharmaceutical composition of the present invention is 0.0005-1,000 mg/kg.", "In addition, the pharmaceutical composition of the present invention is formulated in a unit dosage form or into a multidose container, using a pharmaceutically acceptable carrier and/or excipient according to the method that is easily conducted by a person having an ordinary skill in the art to which the present invention pertains.", "Here, the dosage form may be a solution in an oily or aqueous medium, a suspension, an emulsion, an extract, a powder, granules, a tablet, a capsule, or a gel (e.g., a hydrogel), and may further include a dispersant or a stabilizer.", "The composition of the present invention may be used as a cosmetic composition containing: (a) a cosmetically effective amount of the foregoing IL-3-derived peptide; and (b) a cosmetically acceptable carrier.", "As used herein, the term “cosmetically effective amount” refers to an amount that is sufficient to attain the efficacy of the composition of the present invention described above.", "The cosmetic composition of the present invention may be formulated into any dosage form that is conventionally prepared, and examples thereof may include a solution, a suspension, an emulsion, a paste, a gel, a cream, a lotion, a powder, a soap, a surfactant-containing cleansing, an oil, a powder foundation, an emulsion foundation, a wax foundation, and a spray, but are not limited thereto.", "More specifically, the cosmetic composition of the present invention may be prepared in a dosage form of an emollient lotion, nutritional emulsion, nutritional cream, message cream, essence, eye cream, cleansing cream, cleansing foam, cleansing water, facial pack, spray or powder.", "In cases where the dosage form of the present invention is a paste, a cream, or a gel, the carrier component thereof may include animal fibers, vegetable fibers, wax, paraffin, starch, tragacanth, cellulose derivatives, polyethylene glycol, silicone, bentonite, silica, talc, or zinc oxide.", "In cases where the dosage of the present invention is a powder or a spray, the carrier component thereof may include lactose, talc, silica, aluminum hydroxide, calcium silicate, or a polyamide powder.", "In cases where the dosage form of the present invention is especially a spray, the dosage form may additionally include a propellant, such as, chlorofluorohydrocarbon, propane/butane, or dimethyl ether.", "In cases where the dosage form of the present invention is a solution or an emulsion, the carrier component thereof may include a solvent, a solubilizer, or an emulsifier, for example water, ethanol, isopropanol, ethyl carbonate, ethyl acetate, benzyl alcohol, benzyl benzoate, propylene glycol, 1,3-butyl glycol oil, glycerol fatty esters, polyethylene glycol, or fatty acid esters of sorbitan.", "In cases where the dosage form of the present invention is a suspension, the carrier component thereof may include liquid diluents, such as water, ethanol, and propylene glycol; suspending agents, such as, ethoxylated isostearyl alcohol, polyoxyethylene sorbitol ester, and polyoxyethylene sorbitan ester; microcrystalline cellulose; aluminum metal hydroxide; bentonite; agar; or tragacanth.", "In cases where the dosage form of the present invention is a surfactant-containing cleansing, the carrier component thereof may include aliphatic alcohol sulfate, aliphatic alcohol ether sulfate, sulfosuccinate monoester, isothinate, imidazolium derivatives, methyl taurate, sarcosinate, fatty acid amide ether sulfate, alkyl amido betaine, aliphatic alcohol, fatty acid glyceride, fatty acid diethanolamide, vegetable oil, lanoline derivatives, or ethoxylated glycerol fatty acid ester.", "The components contained in the cosmetic composition of the present invention include compositions that are commonly used in the cosmetic composition, in addition to the peptides, as active ingredients, and the carrier component thereof, and for example, may include common aids, such as an antioxidant, a stabilizer, a solubilizer, vitamins, a pigment, and a flavor.", "In accordance with another aspect of the present invention, there is provided a method for alleviating or treating bone diseases, the method including administering to a subject a composition containing the foregoing peptide as an active ingredient.", "In accordance with still another aspect of the present invention, there is provided a method for promoting osteogenic differentiation, the method including contacting cells with a composition containing the foregoing peptide as an active ingredient.", "Since the method of the present invention uses the above-described composition, descriptions of overlapping contents between the two are omitted to avoid excessive complication of the specification due to repetitive descriptions thereof.", "Advantageous Effects Features and advantages of the present invention are summarized as follows: (i) The peptides of the present invention can exert the same or similar functions to naturally occurring interleukin-3 (IL-3), and have very excellent skin penetration due to a small size thereof.", "(ii) The peptides of the present invention inhibit the activation and nuclear translocation of NF-κB through the inhibition of the receptor activator of nuclear factor kappa-B ligand (RANKL)-RANK signaling pathway, and inhibit RANKL- or inflammatory cytokine-induced expression of tartrate-resistant acid phosphatase (TRAP), cathepsin K, or type 1 or type 2 TNF receptor, thereby inhibiting osteoclast differentiation, in a concentration-dependent manner.", "(iii) Further, the peptides of the present invention can contribute to osteoblast differentiation by promoting the expressions of osteoblast differentiation markers, such as osteocalcin (OCN), osteoprotegerin (OPG), bone sialoprotein (BSP), and osteopontin (OPN).", "(iv) Therefore, excellent activity and stability of the peptide of the present invention can be very favorably applied to medicines, quasi-medicines, and cosmetics.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates graphs showing high-performance liquid-phase chromatography assay results of peptides of SEQ ID NO: 1 (FIG.", "1a) and SEQ ID NO: 2 (FIG.", "1b) prepared by synthetic example 1 of the present invention.", "FIG.", "2 illustrates graphs showing stability test results of peptides of SEQ ID NO: 1 (FIG.", "2a) and SEQ ID NO: 2 (FIG.", "2b) prepared by synthetic example 1 of the present invention.", "FIG.", "3 illustrates osteoclast differentiation inhibitory effects by the treatment with a peptide of SEQ ID NO: 1 (FIG.", "3a) and a peptide of SEQ ID NO: 2 (FIG.", "3b), prepared by synthetic example of the present invention.", "FIG.", "4 illustrates the inhibitory effect on the osteoclast differentiation promoting enzyme by the treatment with a peptide of SEQ ID NO: 1 (FIG.", "4a) and a peptide of SEQ ID NO: 2 (FIG.", "4b), prepared by synthetic example of the present invention.", "FIG.", "5 illustrates in the inhibitory effects on the mRNA of osteoclast differentiation markers, TRAP and Cathepsin, by a peptide of SEQ ID NO: 1 (FIG.", "5a) and a peptide of SEQ ID NO: 2 (FIG.", "5b), prepared by synthetic example of the present invention.", "FIG.", "6 illustrates the inhibitory effects on the mRNA of type 1 and type 2 TNF receptors by the treatment with a peptide of SEQ ID NO: 1 (FIG.", "6a) and a peptide of SEQ ID NO: 2 (FIG.", "6b), prepared by synthetic example of the present invention.", "FIG.", "7 illustrates RANKL signal inhibitory effects by the treatment with a peptide of SEQ ID NO: 1 (FIG.", "7a) and a peptide of SEQ ID NO: 2 (FIG.", "7b), prepared by synthetic example of the present invention.", "FIG.", "8 illustrates osteoblast differentiation promotion results by the treatment with a peptide of SEQ ID NO: 1 (FIG.", "8a) and a peptide of SEQ ID NO: 2 (FIG.", "8b), prepared by synthetic example of the present invention.", "FIG.", "9 illustrates that the treatment with a peptide of SEQ ID NO: 1 (FIG.", "9a) and a peptide of SEQ ID NO: 2 (FIG.", "9b), prepared by synthetic example of the present invention, increased the expression of p-Smad1/5/8, which is a BMP2 signal.", "MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described in detail with reference to examples.", "These examples are only for illustrating the present invention more specifically, and it will be apparent to those skilled in the art that the scope of the present invention is not limited by these examples.", "EXAMPLES Synthetic Example 1: Synthesis of Asn-Cys-Ser-Asn-Met-Ile-Cys-Glu-Ile-Ile-Thr-His (SEQ ID NO: 1) To 700 mg of chloro trityl chloride resin (CTL resin, Nova Biochem Cat No.", "01-64-0021) introduced into a reactor was added 10 ml of methylene chloride (MC), followed by stirring for 3 minutes.", "After removing the solution, 10 ml of dimethylform amide (DMF) was added, followed by stirring for 3 minutes, and then the solvent was again removed.", "10 ml of a dichloromethane (DCM) solution was put into the reactor, and 200 mmole Fmoc-L-His(Trt)-OH (Bachem, Swiss) and 400 mmole diisopropyl ethylamine (DIEA) were added, after which the mixture was well dissolved with stirring, and then the reaction was conducted with stirring for 1 hour.", "After the reaction, the resultant material was washed, and methanol and DIEA (2:1) were dissolved in DCM, followed by a reaction for 10 minutes, and then the resultant material was washed with an excessive amount of DCM/DMF (1:1).", "After removing the solution, 10 ml of DMF was added, followed by stirring for 3 minutes, and then the solvent was again removed.", "10 ml of a deprotection solution (20% piperidine/DMF) was put in the reactor, followed by stirring at room temperature for 10 minutes, and then the solution was removed.", "The equal amount of a deprotection solution was added, and then the reaction was again maintained for 10 minutes, followed by removal of the solution.", "The resultant material was washed twice with DMF, once with MC, and once with DMF, for 3 minutes each, thereby preparing His(Trt)-CTL resin.", "10 ml of a DMF solution was put in a new reactor, and 200 mmol Fmoc-Thr(tBu)-OH (Bachem, Swiss), 200 mmol HoBt 200 mmole, and 200 mmole Bop were added, and the mixture was dissolved well through stirring.", "400 mmole N,N-diisopropylethylamine (DIEA) was divisionally put twice into the reactor, and then the stirring was conducted for at least 5 minutes until all solids were dissolved.", "The dissolved amino acid mixed solution was put in the reactor containing the deprotected resin, followed by a reaction with stirring at room temperature for 1 hour.", "After removing the reaction liquid, the stirring was conducted using a DMF solution three times for 5 minutes each, followed by removal.", "A small amount of the reacted resin was taken to check the reaction degree by Kaiser test (Ninhydrin test).", "Using the deprotection solution, the deprotection reaction was conducted twice in the same manner as described above, to yield Thr(tBu)-His-(Trt)-CTL resin.", "After sufficient washing with DMF and MC, Kaiser test was again conducted, and then the following amino acid attachment test was conducted in the same manner as described above.", "Based on the selected amino acid sequence, the chain reaction was conducted in the order of Fmoc-Ile, Fmoc-Ile, Fmoc-Glu(OtBu), Fmoc-Cys(Trt), Fmoc-Ile, Fmoc-Met, Fmoc-Asn(Trt), Fmoc-Ser(tBu), Fmoc-Cys(Trt), and Fmoc-Asn(Trt).", "The Fmoc-protective group was removed by reacting twice with the deprotection solution for 10 min each and then conducting washing well.", "Acetic anhydride, DIEA, and hydroxy benzotriazole (HoBt) were added to conduct acetylation for 1 hour, and then the prepared peptidyl resin was washed three times sequentially with DMF, MC, and methanol, dried under the flow of nitrogen gas, and completely dried by vacuum-drying under phosphorus pentoxide (P2O5).", "30 ml of a leaving solution [95% trifluoroacetic acid (TFA), 2.5% distilled water, and 2.5% thioanisole] was added, and the reaction was maintained for 2 hours while the mixture was intermittently stirred at room temperature.", "The resin was filtered, washed with a small amount of a solution, and then mixed with stock solution.", "The distillation was conducted under reduced pressure to reduce the total volume by half, and then 50 ml of cold ether was added to induce precipitation.", "Thereafter, the precipitates were collected by centrifugation, followed by washing twice with cold ether.", "After the stock solution was removed, followed by sufficient drying under nitrogen atmosphere, thereby synthesizing 0.5 g of unpurified peptide 1, NH2-Asn-Cys-Ser-Asn-Met-Ile-Cys-Glu-Ile-Ile-Thr-His-OH (yield: 89.9%).", "The molecular weight was determined as 1375.4 Da (theoretical value: 1377.6 Da) by using a molecular weight analysis system (FIG.", "1a).", "The peptide of SEQ ID NO: 2 (NH2-Arg-Arg-Lys-Leu-Thr-Phe-Tyr-Leu-Lys-Thr-Leu-Glu-OH) was also synthesized by the same method (yield: 92.1%).", "The molecular weight was determined as 1568.5 Da (theoretical value: 1567.9 Da) by using a molecular weight analysis system (FIG.", "1b).", "TABLE 1 Sequences and Molecular Weights of Synthesized Peptides Analysis value (Mass spectrometer) Analytic Theoretical No.", "Amino acid sequence value value IL- Asn-Cys-Ser-Asn-Met-Ile- 1375.4 1377.6 3-1 Cys-Glu-Ile-Ile-Thr-His IL- Arg-Arg-Lys-Leu-Thr-Phe- 1568.5 1567.9 3-2 Tyr-Leu-Lys-Thr-Leu-Glu Test Example 1: Thermal Stability of Prepared Peptides 0.1 mg/ml phosphate buffer solution was prepared from the peptide of SEQ ID NO: 1 or SEQ ID NO: 2, synthesized in synthetic example 1, and standard growth factor (IL-3) purchased from NIBSC (UK).", "1 ml of the prepared solution was placed in each glass vial, and allowed to stand at 37° C. The solution standing at 37° C. was sampled on days 0, 1, 3, 5, 10, 20, and 40, and centrifuged for each day to remove denatured peptides or proteins.", "The supernatant was taken, and quantification using HPLC was conducted (FIGS.", "2a and 2b, respectively).", "Test Example 2: Verification on Osteoclast Differentiation Inhibitory Effects Using Synthetic Peptides In order to analyze the IL-3-like action and the inhibitory action of the peptides of SEQ ID NO: 1 and SEQ ID NO: 2, synthesized in synthetic example 1, TRAP staining was conducted using Raw264.7 strain differentiable into osteoclasts while referring to methods, such as tartrate-resistant acid phosphatase staining (Rizzino, et al.", "Cancer Res.", "48:4266(1988)).", "Raw264.7 cell lines (ATCC) were cultured in Dulbecco's modified Eagle's medium (DMEM, Gibco, U.S.A.) supplemented with 10% fetal bovine serum (FBS, Sigma) using each 250 ml-flask for tissue culture.", "The cultured cell lines were carefully detached from the bottom of the culture container using a pipette, followed by centrifugation, to obtain only cell precipitates.", "The cell precipitates were re-suspended in DMEM culture medium supplemented with 10% FBS, and then added to a 46-well plate for tissue culture plate at 1×104 cells per each well.", "For the induction of differentiation, the blank sample and RAW264.7 cells were treated with 10 ng/ml RANKL, 50 ng/ml TNF-α, and 1 μg/ml or 10 μg/ml synthesized peptides dissolved in 10% distilled water in a sterile state, and then cultured under 5% CO2 at 37° C. for 72 hours.", "After 72 hours, the medium was changed with the same culture liquid, and then the blank sample and the cells were treated with 10 ng/ml RANKL, 50 ng/ml TNF-α, and 1 μg/ml or 10 μg/ml synthesized peptides, and then cultured under the same conditions for 48 hours.", "After the culturing was completed for a total of five days, the upper layer was removed.", "For cell fixation, a fixation buffer containing 25 ml of a citrate solution, 65 ml of acetone, and 8 ml of 37% formaldehyde was prepared.", "The cells were fixed with the fixation buffer for 30 seconds, and then washed three times with phosphate buffer saline (PBS).", "The washing solution was removed, and the cells were stained with the leukocyte alkaline phosphatase kit (Sigma, U.S.A.).", "FIG.", "3 illustrates the inhibitory results of the differentiation of Raw264.7 cells, of which the differentiation can be induced by RANKL and TNF-α, by IL-3-1 (FIG.", "3a) and IL-3-2 (FIG.", "3b).", "As shown in FIGS.", "3a and 3b, the peptides of the present invention can inhibit the RANKL- and TNF-α-induced differentiation of Raw264.7 into osteoclasts.", "Test Example 3: Verification on Osteoclast Differentiation Inhibitory Effects Using Synthetic Peptides Raw264.7 cells were treated in combination with 10 ng/ml RANKL, 50 ng/ml TNF-α, or the 1 or 10 μg/ml peptide synthesized in synthetic example 1 to induce differentiation for five days, and then the inhibition degree of the activity of TRAP, an osteoclast differentiation marker, was tested.", "After culture, the culture liquid was removed, and then 100 μl of a lysis buffer (20 mM tris buffer, 3% triton X-100) was added to disrupt cell walls.", "A reaction solution is prepared from 500 μl of a citrate solution (18 mM citric acid, 9 mM sodium chloride, 12 mM surfactant; pH 3.5), 50 μl of a tartrate solution, and 500 μl of a 20 mM phosphate substrate.", "100 μl of the lysate and 100 μl of the reaction solution were added in the same amount, and then the reaction was conducted at 37° C. for 30 minutes.", "For colorimetric analysis, the absorbance was determined at 405 nm using a spectrophotometer.", "It was validated that, in cases where the treatment with the peptide of SEQ ID NO: 1 or SEQ ID NO: 2 together with RANKL and TNF-α, the peptides inhibited the activity of TRAP, which is an osteoclast differentiation marker, in a concentration-dependent manner (FIGS.", "4a and 4b).", "Test Example 4: Verification on Inhibitory Effect on Osteoclast Differentiation Marker mRAN Using Synthetic Peptides Raw264.7 cells were treated in combination with 10 ng/ml RANKL, 50 ng/ml TNF-α, or the 1 or 10 μg/ml peptide synthesized in synthetic example 1 to induce differentiation for five days, and then the inhibition degree of the cathepsin K mRNA expression was analyzed.", "FIGS.", "5a and 5b show that the mRNA levels of cathepsin K were reduced by the treatment with the peptides of the present invention, respectively.", "In addition, FIGS.", "6a and 6b verifies that the mRNA expression levels of type 1 and type 2 TNF receptors, which have been increased by the treatment with RANKL or TNF-α, were reduced by the treatment with all the peptides of the present invention.", "Target-specific primer sequences used in PCR were as follows: TRAP forward primer sequence, 5′-AAATCACTCTTTAAGAACAG-3′ and TRAP reverse primer sequence, 5′-TTATTGAATAGCAGTGACAG-3′ (annealing temperature, 45° C.); cathepsin K forward primer sequence, 5′-CCTCTCTTGGTGTCCATACA-3′ and cathepsin K reverse primer sequence, 5′-ATCTCTCTGTACCCTCTGCA-3′(annealing temperature, 53° C.); GAPDH forward primer sequence, 5′-GGTGTGAACGGATTTGGCCGTATTG-3′ and GAPDH reverse primer sequence, 5′-CCGTTGAATTTGCCGTGAGTGGAGT-3′(annealing temperature, 55° C.); type 1 TNF receptor forward primer sequence, 5′-acctttacggcttcccagaa-3′ and type 1 TNF receptor reverse primer sequence, 5′-tccttacagccacacaccgt-3′ (annealing temperature, 55° C.); type 2 TNF receptor forward primer sequence, 5′-aggctggaaagcccctaact-3′ and type 2 TNF receptor reverse primer sequence, 5′-atgggggtactggagacagg-3′ (annealing temperature, 55° C.); and actin forward primer sequence, 5′-CGTGGGCCGCCCTAGGCA-3′ and actin reverse primer sequence, 5′-TTGGCTTAGGGTTCAGGGGG-3′ (annealing temperature, 55° C.).", "Therefore, the peptides of the present invention can inhibit all of TRAP, cathepsin K, and type 1 and type 2 TNF receptors, which are increased by RANKL and TNF-α (FIGS.", "5a and 5b and FIGS.", "6a and 6b).", "Test Example 5: Verification on RANKL Signal Inhibition by Synthetic Peptides Raw264.7 cells were treated with the peptides synthesized in synthetic example 1, and after 30 minutes, the nuclear translocation of NF-κB, which is a representative signal of RANKL protein, was checked.", "The effect of each peptide was verified through western blotting using polyclonal antibody to NF-κB (Cat.", "No sc-372, SantaCruz, USA).", "The treatment with the peptides of the present invention confirmed the activation and nuclear translocation of NF-κB (FIGS.", "7a and 7b).", "The phosphorylation of c-Jun, which is another representative signal of RANKL protein, and the nuclear translocation of the phosphorylated c-Jun were confirmed.", "The effect of each peptide was verified through western blotting using polyclonal antibodies to phospho-c-Jun (Cat.", "No sc-1694, SantaCruz, USA) (FIGS.", "7a and 7b).", "Considering the test results of test examples 1 to 5, the peptides of the present invention inhibit osteoclast differentiation very effectively through the inhibition of RANKL-RANK signaling activation.", "Test Example 6: Verification on Promotion of Osteogenic Differentiation Marker Gene by Synthetic Peptides MC3T3-E1 cells were treated with 10 or 50 μg/ml of the peptides synthesized in synthetic example 1 to induce the differentiation for two days, and tests for verifying the mRNA expression of osteoblast differentiation markers, such as osteocalcin (OCN), osteoprotegerin (OPG), bone sialoprotein (BSP), and osteopontin (OPN), were conducted.", "Target-specific primer sequences used in PCR were as follows: OCN forward primer sequence, 5′-gcgctctgtctctctgacct-3′ and OCN reverse primer sequence, 5′-tttgtaggcggtcttcaagc-3′ (annealing temperature, 60° C.); OPG forward primer sequence, 5′-ctgcctgggaagaagatcag-3′ and OPG reverse primer sequence, 5′-ttgtgaagctgtgcaggaac-3′ (annealing temperature, 60° C.); BSP forward primer sequence, 5′-aaagtgaaggaaagcgacga-3′ and BSP reverse primer sequence, 5′-gttccttctgcacctgcttc-3′ (annealing temperature, 60° C.); OPN forward primer sequence, 5′-GATGAATCTGACGAATCTCAC-3′ and OPN reverse primer sequence, 5′-CTGCTTAATCCTCACTAACAC-3′(annealing temperature, 50° C.).", "FIG.", "8 shows that, when the cells were treated with the peptides of the present invention, the mRNA levels of the genes, which are the osteoblast differentiation markers, were increased by the peptides of SEQ ID NO: 1 or SEQ ID NO: 2.Therefore, the peptides of the present invention can promote osteoblast differentiation by increasing the genetic expressions of OCN, OPG, BSP, and OPN, which are osteoblast differentiation markers.", "Test Example 7: Verification on Promotion of Osteogenic Differentiation Signal by Synthetic Peptides In order to verify whether pSmad1/5/8, which is a signal involved in osteogenic differentiation, was activated by the present peptides, MC3T3-E1 cells were dispensed into 6-well plates at 2×105 cells, and then cultured for 24 hours under the conditions of 37° C. and 5% CO2.After 24 hours, the medium was changed with a serum-free medium, and then the cells were starved for 24 hours, treated with the 10 μg/ml peptides or 50 ng/ml BMP2, used as a positive control, for 30 minutes, washed with PBS, and dissolved in a lysis buffer, thereby obtaining proteins, which were then subjected to western blotting.", "In accordance with the foregoing results, it was confirmed that the treatment with the peptides of SEQ ID NO: 1 or SEQ ID NO: 2 of the present invention led to the phosphorylation of Smad1/5/8, and this means that the bone formation promoting signal is transmitted due to the treatment with the peptides of the present invention, so that the osteoblast differentiation is maintained (FIGS.", "9a and 9b, respectively).", "Formulation Example 1: Emollient Lotion Emollient lotion, which includes nanosomes containing peptide 1 or 2, prepared in synthetic example 1, and has the following composition, was prepared by a general skin lotion preparation method.", "TABLE 2 Emollient Lotion Composition Component Content (wt %) peptide nanosome 0.001 1,3-butylene glycol 6.0 glycerin 4.0 PEG 1500 1.0 sodium hyaluronate 1.0 polysolvate 20 0.5 ethanol 8.0 preservative, coloring Suitable benzophenone-9 0.05 aroma Small purified water balanced Total 100 Formulation Example 2: Moisturizing Cream Nutritional cream, which includes nanosomes containing peptide 1 or 2, prepared in synthetic example 1, and has the following composition, was prepared by a general moisturizing cream preparation method.", "TABLE 3 Moisturizing Cream Composition Component Content (wt %) peptide nanosome 0.001 meadowfoam oil 3.0 cetearyl alcohol 1.5 stearic acid 1.5 glyceryl stearate 1.5 Liquid paraffin 10.0 wax 2.0 Polysolvate 60 0.6 sorbitan sesquioleate 2.5 squalane 3.0 1,3-butylene glycol 3.0 glycerin 5.0 triethanolamine 0.5 tocopheryl acetate 0.5 preservative, coloring suitable aroma suitable purified water blanced Total 100 Formulation Example 3: Moisturizing Skin Lotion Nutritional skin lotion, which includes nanosomes containing peptide 1 or 2, prepared in synthetic example 1, and has the following composition, was prepared by a general skin lotion preparation method.", "TABLE 4 Moisturizing Skin Lotion Composition Component Content (wt %) peptide nanosome 0.002 1,3-butylene glycol 4.0 glycerin 4.0 stearyl alcohol 0.8 glyceryl stearate 1.0 Triethanolamine 0.13 tocopheryl acetate 0.3 liquid paraffin 5.0 squalane 3.0 macadamia nut oil 2.0 Polysolvate 60 1.5 sorbitan sesquioleate 0.5 carboxy vinly polymer 1.0 preservative, coloring suitable aroma suitable purified water blanced Total 100 Formulation Example 4: Essence Essence, which includes nanosomes containing peptide 1 or 2, prepared in synthetic example 1, and has the following composition, was prepared by a general essence preparation method.", "TABLE 5 Essence Composition Component Content (wt %) peptide nanosome 0.005 glycerin 10.0 1,3-butylene glycol 5.0 PEG 1500 2.0 allantoin 0.1 DL-panthenol 0.3 EDTA-2Na 0.02 hydroxyethyl cellulose 0.1 sodium hyaluronate 8.0 carboxy vinyl polymer 0.2 triethanolamine 0.18 octyldodeces-16 0.4 ethanol 6.0 aroma, preservative, coloring purified water Total 100 Although the present invention has been described in detail with reference to the specific features, it will be apparent to those skilled in the art that this description is only for one embodiment and does not limit the scope of the present invention.", "Thus, the substantial scope of the present invention will be defined by the appended claims and equivalents thereof." ] ]
Patent_15875669
[ [ "Method and Apparatus for Storing Increment Values Without Using an Increment Counter", "Electronic systems, such as printing systems, often use components that have integral memory.", "The integral memory can be used to store information about the component.", "In some printing systems this memory includes a portion that stores a value indicative of an amount of a consumable that has been used.", "Some printing systems do this by using a counter to count the amount of the consumable used and then sending a count command to the memory.", "The increment count command is processed to increment the value stored within the memory.", "Disclosed is a method and system for allowing the memory to be updated by a count command without having to increment the value stored.", "This method allows print cartridges to be used in printing systems that utilize counters without having to increment the counter each time an increment command is received." ], [ "1.A method for storing increment values without using an increment counter comprising: receiving, at one or more memory modules, a command signal transmitted from a processing device, wherein the command signal includes an increment counter command for instructing the one or more memory modules to increment a counter by a specific amount; processing the command signal at the one or more memory modules by: providing multiple storage locations; determining if each of the multiple storage locations contains a stored value; and storing in one of the multiple storage locations a value indicative of the specific amount when at least one of the multiple storage locations does not contain a stored value therein; and outputting a stored base value as an increment count value.", "2.The method of claim 1 further comprising: determining that each of the multiple storage locations contains a respective stored value; summing each of the respective stored values to determine a total stored value; updating a total amount by the total stored value; emptying each of the multiple storage locations so that each storage location does not contain the respective stored value; and storing in one of the multiple storage locations a value indicative of the specific amount.", "3.The method of claim 1 wherein the multiple storage locations comprise four or more storage locations.", "4.The method of claim 1 wherein the increment counter command is indicative of an amount of a consumable used by a printing device.", "5.The method of claim 4, wherein the multiple storage locations are provided on a print cartridge.", "6.The method of claim 1 further comprising: receiving a read command signal at the one or more memory modules; and outputting the increment count value.", "7.A method of storing an amount of a consumable used in an imaging device comprising: receiving, at one or more memory modules, a command signal transmitted from a processing device, wherein the command signal includes an increment counter command for instructing the one or more memory modules to increment a counter by a specific amount; processing the command signal at the one or more memory modules by: providing multiple storage locations; determining if each of the multiple storage locations contains a stored value; and storing in one of the multiple storage locations a value indicative of the specific amount when at least one of the multiple storage locations does not contain a stored value therein; and outputting a stored base value as an increment count value.", "8.The method of claim 7 further comprising: determining that each of the multiple storage locations contains a respective stored value; summing each of the respective stored values to determine a total stored value; updating a total amount by the total stored value; emptying each of the multiple storage locations so that each of the multiple storage locations does not contain the respective stored value; and storing in one of the multiple storage locations a value indicative of the specific amount.", "9.The method of claim 7 wherein the multiple storage locations comprise four or more storage locations.", "10.The method of claim 7 wherein the increment counter command is indicative of an amount of a consumable used by the imaging device.", "11.The method of claim 7 wherein the multiple storage locations are located on a print cartridge or printhead.", "12.The method of claim 7 further comprising: receiving a read command signal at the one or more memory modules; and outputting the increment count value.", "13.A printing system comprising: at least one print cartridge having an integral memory, wherein the integral memory includes multiple storage locations; a communication path for providing command signals to the at least one print cartridge; and a counter for counting an amount of a consumable used by the at least one print cartridge, wherein a host device transmits the command signals to the at least one print cartridge, wherein the command signals include an increment counter command for instructing the integral memory to increment a counter by a specific amount, wherein the increment counter command is processed by determining if each of the multiple storage locations contains a stored value and storing in one of the multiple storage locations a value indicative of the specific amount when at least one of the multiple storage locations does not contain a stored value therein, wherein a stored base value is output as an increment count value." ], [ "<SOH> BACKGROUND <EOH>Many electronic devices have components that include an integrated memory.", "Often, the manufacturer of these devices wants to monitor usage to determine a remaining life of the device.", "One example of these devices is a printer having a memory on a printing cartridge.", "Many printers, such as inkjet printers or electrostatic printers, print an image on a recording medium by dispensing a printing medium onto the recording medium.", "Ink jet printers operate by ejecting ink drops from a printhead onto the recording medium.", "The printhead may contain one or more supplies of ink or be connected to separate ink cartridges that supply ink.", "Color images are formed by ejecting color inks onto the recording medium from two or more printheads.", "One printhead is used to eject black ink and a second printhead is used to eject color ink.", "Alternatively, each color ink may be ejected by single printhead.", "Electrostatic or laser printers form an image on a recording medium by transferring toner particles onto the medium.", "Typically, a recording drum is charged and a latent image is formed on the drum by a laser.", "The latent image is developed on the drum by developer or toner particles and this image is transferred directly or indirectly onto a recording medium.", "In color printers multiple cartridges are provided to transfer color images.", "One method of monitoring usage of a printing cartridge is to count the amount of printing that is performed.", "For example, in an inkjet printer, a counter may count the number of ink drops ejected from each printhead.", "In an electrostatic printer a counter may count the number of lines or pages printed by each cartridge.", "Each of the numbers counted is then processed as a count signal and the printer sends each of the count signals to the appropriate cartridge or printhead.", "The cartridge or printhead contains an integral memory that stores a value indicative the amount of printing agent used.", "When the cartridge or printhead receives the count signal the count signal is processed to increment the memory by the value indicated by the count signal.", "Implementation of this method allows for each cartridge or printhead to be updated with an amount of consumable material used.", "When it is desired to determine an amount of consumable remaining in each cartridge or printhead, the printer or a host in communication with the printer sends a read signal to appropriate memory.", "This signal is processed and the value stored in the memory is read.", "This value can then be compared with a value indicative of a total amount of consumable that each cartridge or printhead is preloaded with.", "When the read value approaches the total value then a low toner or low ink condition is detected.", "This detection can result in a warning being provided to the user or in disabling the low cartridge.", "An example of an image recording device using this method is described in U.S. Pat.", "No.", "7,844,786.In this device, command protocols are supported in order to have one or more counters incremented.", "An increment counter command protocol permits the memory modules to receive an increment counter command.", "With an increment counter command, each memory module may include a counter that maintains its own count, which is increased by a specified value upon receipt of the increment counter command.", "The increment counter command may be utilized with a plurality of counters with different counts—for example global page counts, color page counts, letter-sized page counts, legal-sized paged counts, transparency page counts, etc.", "Thus, the global page count the color page count, the letter-sized page counts, and the transparency page counts in one or more memory modules may be incremented at the same time, which makes it unnecessary for the processing device to know of the present values of each of those counts that are being updated.", "Instead, each memory module is responsible for maintaining its own counts and updating the counts upon receipt of the increment counter command protocol.", "The increment counter protocol includes a set of bits allocated for the increment counter command, the memory module address, the value that each counter will increment by, the length of the list of counters, and the address of each counter to increment within the memory module.", "According to one illustrative example, the increment counter command may be eight bits, the memory module address may be sixteen bits, the value that each counter will increment by may be eight bits, the length of the list of counters may be eight bits, and the address of each counter may be sixteen bits.", "Each memory module that is addressed will pull the signal on the status channel to a low voltage to signify that it is busy while it updates one or more counters by the value specified.", "The memory module will release the signal on the status channel to a high voltage to signify that it is ready after each addressed counter has been updated.", "A problem with the method of updating consumable use described above is that memory locations on the individual cartridges is frequently rewritten.", "This frequent rewriting can result in slowing down a processing device located on the cartridge or used by the cartridge.", "Also, frequent rewriting of the memory may result in the memory failing or prematurely shorten the life of the memory.", "Therefore, this method may result in slowing the print speed of the printer or in shortening the useable lifetime of the memory and the cartridge that memory is loaded on." ], [ "<SOH> SUMMARY <EOH>The present method and system allows a device having a memory to operate in a system that uses an increment to counter to operate without incrementing a memory in response to increment commands.", "This method and system overcomes the problems associated with frequently updating the value stored in a memory.", "The method includes receiving, at one or more memory modules, a command signal transmitted from a processing device.", "Each memory device is provided with a location for storing a value indicative of the total amount of the consumable used.", "Each memory device also has multiple storage locations that can store a value therein.", "The command signal includes an increment counter command for instructing a memory module to increment a counter by a specific amount.", "When an increment count command signal is received, it is determined if each of the storage locations is storing a value.", "If at least one storage location is empty (i.e.", "not storing a value) then a value indicative of the count amount is stored in that location.", "If all of the storage locations are full (i.e.", "storing a value) then the values currently stored in each memory location are summed to create a total value.", "The total value is added to the value indicative of a total amount of consumable used and the value in each memory location is emptied (i.e.", "reset to no value).", "Then one of the memory locations is updated to store the value indicated by the increment counter command.", "By implementing this method, a total value of the consumable used can be stored in a location.", "When it is desired to determine an amount of consumable remaining in the cartridge, the host sends a read signal to the respective cartridge.", "This is signal is processed by and the value indicative of a total amount of consumable used is received by the host.", "The host compares this value to a predetermined value for the cartridge.", "When the two values are close the host can indicate to a user that cartridge is low on toner or ink.", "Alternatively, if the values are close or the same, the host may prevent the printer from using the cartridge.", "In an alternative embodiment, the predetermined value is stored on the cartridge and the comparison is performed at the cartridge.", "In this scenario the comparison value is received by the host and used to determine if the cartridge is low on ink or toner.", "In another embodiment, the “cartridge” is not a print cartridge but another element in the device that has a finite amount use.", "For example, printers use rollers to feed a recording medium through the print zone.", "The rollers may work by using friction to force the recording medium through the printer.", "The surface of the rollers is often a material like plastic or rubber that wears out through the life of the printer.", "These and other features and objects of the invention will be more fully understood from the following detailed description of the embodiments, which should be read in light of the accompanying drawings.", "In this regard, before explaining at least one embodiment of the invention in detail, it is to be understood that the invention is not limited in its application to the details of construction and to the arrangements of the components set forth in the description or illustrated in the drawings.", "The invention is capable of other embodiments and of being practiced and carried out in various ways.", "Also, it is to be understood that the phraseology and terminology employed herein, as well as the abstract, are for the purpose of description and should not be regarded as limiting.", "As such, those skilled in the art will appreciate that the conception upon which this disclosure is based may readily be used as a basis for designing other structures, methods, and systems for carrying out the several purposes of the present invention.", "It is important, therefore, that the claims be regarded as including such equivalent constructions insofar as they do not depart from the spirit and scope of the present invention." ], [ "RELATED APPLICATIONS This patent application claims priority to and is a continuation of co-pending U.S. patent application Ser.", "No.", "14/083,120 filed Nov. 18, 2013 which is a continuation of U.S. patent application Ser.", "No.", "13/012,393, entitled “METHOD AND APPARATUS FOR STORING INCREMENT VALUES WITHOUT USING AN INCREMENT COUNTER,” filed on Jan. 24, 2011, the disclosure of which is hereby incorporated herein by reference in its entirety.", "BACKGROUND Many electronic devices have components that include an integrated memory.", "Often, the manufacturer of these devices wants to monitor usage to determine a remaining life of the device.", "One example of these devices is a printer having a memory on a printing cartridge.", "Many printers, such as inkjet printers or electrostatic printers, print an image on a recording medium by dispensing a printing medium onto the recording medium.", "Ink jet printers operate by ejecting ink drops from a printhead onto the recording medium.", "The printhead may contain one or more supplies of ink or be connected to separate ink cartridges that supply ink.", "Color images are formed by ejecting color inks onto the recording medium from two or more printheads.", "One printhead is used to eject black ink and a second printhead is used to eject color ink.", "Alternatively, each color ink may be ejected by single printhead.", "Electrostatic or laser printers form an image on a recording medium by transferring toner particles onto the medium.", "Typically, a recording drum is charged and a latent image is formed on the drum by a laser.", "The latent image is developed on the drum by developer or toner particles and this image is transferred directly or indirectly onto a recording medium.", "In color printers multiple cartridges are provided to transfer color images.", "One method of monitoring usage of a printing cartridge is to count the amount of printing that is performed.", "For example, in an inkjet printer, a counter may count the number of ink drops ejected from each printhead.", "In an electrostatic printer a counter may count the number of lines or pages printed by each cartridge.", "Each of the numbers counted is then processed as a count signal and the printer sends each of the count signals to the appropriate cartridge or printhead.", "The cartridge or printhead contains an integral memory that stores a value indicative the amount of printing agent used.", "When the cartridge or printhead receives the count signal the count signal is processed to increment the memory by the value indicated by the count signal.", "Implementation of this method allows for each cartridge or printhead to be updated with an amount of consumable material used.", "When it is desired to determine an amount of consumable remaining in each cartridge or printhead, the printer or a host in communication with the printer sends a read signal to appropriate memory.", "This signal is processed and the value stored in the memory is read.", "This value can then be compared with a value indicative of a total amount of consumable that each cartridge or printhead is preloaded with.", "When the read value approaches the total value then a low toner or low ink condition is detected.", "This detection can result in a warning being provided to the user or in disabling the low cartridge.", "An example of an image recording device using this method is described in U.S. Pat.", "No.", "7,844,786.In this device, command protocols are supported in order to have one or more counters incremented.", "An increment counter command protocol permits the memory modules to receive an increment counter command.", "With an increment counter command, each memory module may include a counter that maintains its own count, which is increased by a specified value upon receipt of the increment counter command.", "The increment counter command may be utilized with a plurality of counters with different counts—for example global page counts, color page counts, letter-sized page counts, legal-sized paged counts, transparency page counts, etc.", "Thus, the global page count the color page count, the letter-sized page counts, and the transparency page counts in one or more memory modules may be incremented at the same time, which makes it unnecessary for the processing device to know of the present values of each of those counts that are being updated.", "Instead, each memory module is responsible for maintaining its own counts and updating the counts upon receipt of the increment counter command protocol.", "The increment counter protocol includes a set of bits allocated for the increment counter command, the memory module address, the value that each counter will increment by, the length of the list of counters, and the address of each counter to increment within the memory module.", "According to one illustrative example, the increment counter command may be eight bits, the memory module address may be sixteen bits, the value that each counter will increment by may be eight bits, the length of the list of counters may be eight bits, and the address of each counter may be sixteen bits.", "Each memory module that is addressed will pull the signal on the status channel to a low voltage to signify that it is busy while it updates one or more counters by the value specified.", "The memory module will release the signal on the status channel to a high voltage to signify that it is ready after each addressed counter has been updated.", "A problem with the method of updating consumable use described above is that memory locations on the individual cartridges is frequently rewritten.", "This frequent rewriting can result in slowing down a processing device located on the cartridge or used by the cartridge.", "Also, frequent rewriting of the memory may result in the memory failing or prematurely shorten the life of the memory.", "Therefore, this method may result in slowing the print speed of the printer or in shortening the useable lifetime of the memory and the cartridge that memory is loaded on.", "SUMMARY The present method and system allows a device having a memory to operate in a system that uses an increment to counter to operate without incrementing a memory in response to increment commands.", "This method and system overcomes the problems associated with frequently updating the value stored in a memory.", "The method includes receiving, at one or more memory modules, a command signal transmitted from a processing device.", "Each memory device is provided with a location for storing a value indicative of the total amount of the consumable used.", "Each memory device also has multiple storage locations that can store a value therein.", "The command signal includes an increment counter command for instructing a memory module to increment a counter by a specific amount.", "When an increment count command signal is received, it is determined if each of the storage locations is storing a value.", "If at least one storage location is empty (i.e.", "not storing a value) then a value indicative of the count amount is stored in that location.", "If all of the storage locations are full (i.e.", "storing a value) then the values currently stored in each memory location are summed to create a total value.", "The total value is added to the value indicative of a total amount of consumable used and the value in each memory location is emptied (i.e.", "reset to no value).", "Then one of the memory locations is updated to store the value indicated by the increment counter command.", "By implementing this method, a total value of the consumable used can be stored in a location.", "When it is desired to determine an amount of consumable remaining in the cartridge, the host sends a read signal to the respective cartridge.", "This is signal is processed by and the value indicative of a total amount of consumable used is received by the host.", "The host compares this value to a predetermined value for the cartridge.", "When the two values are close the host can indicate to a user that cartridge is low on toner or ink.", "Alternatively, if the values are close or the same, the host may prevent the printer from using the cartridge.", "In an alternative embodiment, the predetermined value is stored on the cartridge and the comparison is performed at the cartridge.", "In this scenario the comparison value is received by the host and used to determine if the cartridge is low on ink or toner.", "In another embodiment, the “cartridge” is not a print cartridge but another element in the device that has a finite amount use.", "For example, printers use rollers to feed a recording medium through the print zone.", "The rollers may work by using friction to force the recording medium through the printer.", "The surface of the rollers is often a material like plastic or rubber that wears out through the life of the printer.", "These and other features and objects of the invention will be more fully understood from the following detailed description of the embodiments, which should be read in light of the accompanying drawings.", "In this regard, before explaining at least one embodiment of the invention in detail, it is to be understood that the invention is not limited in its application to the details of construction and to the arrangements of the components set forth in the description or illustrated in the drawings.", "The invention is capable of other embodiments and of being practiced and carried out in various ways.", "Also, it is to be understood that the phraseology and terminology employed herein, as well as the abstract, are for the purpose of description and should not be regarded as limiting.", "As such, those skilled in the art will appreciate that the conception upon which this disclosure is based may readily be used as a basis for designing other structures, methods, and systems for carrying out the several purposes of the present invention.", "It is important, therefore, that the claims be regarded as including such equivalent constructions insofar as they do not depart from the spirit and scope of the present invention.", "BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and form a part of the specification, illustrate embodiments of the present invention and, together with the description, serve to explain the principles of the invention; FIG.", "1 illustrates a process for storing an increment command value in a memory module.", "FIG.", "2 illustrates an embodiment for processing a read command for the increment counter.", "FIG.", "3 illustrates an alternative embodiment for processing a read command for the increment counter.", "FIG.", "4 illustrates another alternative embodiment for processing a read command for the increment counter.", "DETAILED DESCRIPTION OF THE DRAWINGS In imaging and printing devices, page counts recorded by non-volatile memory modules (“memory modules”) may be incremented as pages are printed.", "Page counts may include the total number of pages printed by a printer and the total number of pages printed for each of a number of print categories.", "Recording the number of pages for individual print categories permits the recording of page counts for specific types of printing tasks, such as the total number of color pages, monochrome pages, letter size pages, legal size pages, transparencies, etc., that may be printed.", "In addition to recording page counts, non-volatile memory modules may be packaged with reservoirs such as ink or toner cartridges, and the memory modules may contain one or more fields for recording the depletion of the reservoirs.", "It will be appreciated by one of ordinary skill in the art that imaging and printing devices may contain non-volatile memory modules that have one or more counts, resource bit fields, or a combination thereof.", "Increment counters may be used in electronic devices that need to track an amount of use or lifecycle of a replaceable element.", "Also, the counter may be used to track other consumables in a printer, facsimile machine, photocopier, or all-in-machine.", "For example, the memory element may be located on a sheet feeding device and the number of sheets fed from the sheet feeding device may be calculated to determine the remaining life of the sheet feeding mechanism.", "For example, each counter may be stored in 12 byte areas.", "When an increment counter command is received, the counter in the memory module is incremented by the amount specified by the increment counter command.", "A success response is sent to the processor upon completion of the increment.", "When the processor sends a read command to the memory module, the correct stored amount is sent to the processor.", "In the current invention, the increment counter command does not cause the memory module to increment the stored value.", "The counters are still stored in 12 contiguous bytes of the memory module.", "In three bytes of the memory module a base counter value stored.", "The other nine bytes are used as an increment counter storage area consisting of three areas of three contiguous bytes in each area.", "Each of the three storage areas is initialized to contain a logic value “0”.", "The three areas are used to store the last three increment values for the counter command sent by the printer.", "FIG.", "1 illustrates the storage of an increment counter command value in a memory module.", "In operation, the memory module receives an increment counter command from a processer 100.The increment counter storage area is checked to see if there is an empty three byte location within the increment counter storage area 110.If there is an empty location the value indicated by the increment counter command is stored in the empty area 120.Then a success response is sent to the processor.", "If there are not any empty three byte locations within the increment counter storage area a location must be emptied to store the value indicated by the increment counter command.", "This is done by adding all of the values currently stored in increment counter storage area to the current counter base value 130.This new value is the cumulative value for the increment counter and is stored in the base location of increment counter storage area 130.Next, the individual three byte areas of the increment counter storage area are set to a logic state “0” 140.This provides three empty locations in the increment counter storage area.", "The value indicated by the increment counter command is stored one of the empty three byte locations 150.Then a success response is sent to the processor 160.Next, the process for reading for the increment count value will be described.", "FIG.", "2 illustrates one embodiment for processing a read command for the increment counter value.", "A read command is received from the processor 200.The memory module is checked to obtain the value stored in the base location 210.This value is used as the increment counter value and is sent to the processor as the increment counter value 220.An advantage of this embodiment is that the read command is processed quickly.", "FIG.", "3 illustrates an alternative embodiment for processing a read command for the increment counter value.", "In this embodiment, a read command is received 300.The storage areas are checked to see if all of the storage areas are empty 310.If all of the storage areas are empty, the base value stored is sent as increment counter command value 320.If one or more of the storage areas contains a value, the values are added to the base value to calculate a read value 330.The read value is stored in a temporary storage area that is separate from the base value storage area 340.The read value is sent to the processor as the increment counter value 350.The read value is erased from the temporary storage area.", "The temporary storage of the value may be provided in an area of the storage device or in any other memory means such as a RAM.", "An advantage of this embodiment is that this allows for an accurate value to be given in response to a read command without requiring the base value to be changed in response to a read command.", "FIG.", "4 illustrates another alternative embodiment for processing a read command for the increment counter value.", "In this embodiment, a read command is received 400.The storage areas are checked to see if all of the storage areas are empty 410.If all of the storage areas are empty, the base value stored is sent as increment counter value 440.If one or more of the storage areas contains a value, the values are added to the base value to calculate an increment counter value 420.The storage areas are all set to logic “0” and the increment counter value is added to the base value and is stored as the new base value 430.The base value stored is sent to the processor as the increment counter value 440.The many features and advantages of the invention are apparent from the detailed specification.", "Thus, the appended claims are intended to cover all such features and advantages of the invention which fall within the true spirits and scope of the invention.", "Further, since numerous modifications and variations will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation illustrated and described.", "Accordingly, all appropriate modifications and equivalents may be included within the scope of the invention.", "Although this invention has been illustrated by reference to specific embodiments, it will be apparent to those skilled in the art that various changes and modifications may be made which clearly fall within the scope of the invention.", "The invention is intended to be protected broadly within the spirit and scope of the appended claims." ] ]
Patent_15875671
[ [ "NET BUILD PROCESS FOR SEATING SUBASSEMBLY", "A vehicle seat subassembly includes a first bracket and a second bracket rotatably coupled with the first bracket.", "First and second pins are welded to the first bracket, and an alignment plate is welded to the second bracket.", "First and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, when the second bracket is in a reference position with respect to the first bracket." ], [ "1.A vehicle seat subassembly, comprising: a first bracket; a second bracket rotatably coupled with the first bracket; first and second pins welded to the first bracket; and an alignment plate welded to the second bracket, wherein first and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, the first and second bracket defining a reference angle therebetween.", "2.The vehicle seat subassembly of claim 1, further including an engagement plate rotatably coupled with the first pin and a blocking cam rotatably engaged with the second pin.", "3.The vehicle seat subassembly of claim 2, wherein the first reference dimension and the second reference dimension are based on relative configurations of the engagement plate, the blocking cam, and the alignment plate to permit operative engagement thereof under a use condition of the subassembly including rotation of the second bracket out of the reference position with respect to the first bracket.", "4.The vehicle seat subassembly of claim 1, wherein the first reference dimension is between the first pin and a first location feature of the alignment plate and the second reference dimension is between the second pin and a second location feature of the alignment plate.", "5.The vehicle seat subassembly of claim 1, wherein the first bracket and the second bracket are rotatably coupled together by a seatback recliner mechanism.", "6.The vehicle seat subassembly of claim 1, wherein the second bracket is retained in a fixed rotational position with respect to the alignment plate by the alignment plate being welded to the second bracket.", "7.The vehicle seat subassembly of claim 1, further including: an engagement plate assembled with the first pin; and a blocking cam assembled with the second pin.", "8.The vehicle seat subassembly of claim 7, wherein the alignment plate is positioned on the second bracket with respect to the first and second pins based on relative configurations of the engagement plate, the blocking cam, and the alignment plate to facilitate operative engagement thereof under a use condition of the subassembly including rotation of the second bracket with respect to the first bracket.", "9.A vehicle seat, comprising: a cushion; a seatback; and a mounting subassembly, comprising: a first bracket fixedly coupled with the cushion; a second bracket rotatably coupled with the first bracket and fixedly coupled with the seatback; first and second pins welded to the first bracket; and an alignment plate welded to the second bracket, wherein first and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, the first and second bracket defining a reference angle therebetween.", "10.The vehicle seat of claim 9, wherein the mounting subassembly further includes an engagement plate rotatably coupled with the first pin and a blocking cam rotatably engaged with the second pin.", "11.The vehicle seat of claim 10, wherein the first reference dimension and the second reference dimension are based on relative configurations of the engagement plate, the blocking cam, and the alignment plate to permit operative engagement thereof under a use condition of the subassembly including rotation of the second bracket out of the reference position with respect to the first bracket.", "12.The vehicle seat of claim 9, wherein the first reference dimension is between the first pin and a first location feature of the alignment plate and the second reference dimension is between the second pin and a second location feature of the alignment plate.", "13.The vehicle seat of claim 9, further including a seatback recliner mechanism, wherein the first bracket and the second bracket are rotatably coupled together by the seatback recliner mechanism.", "14.The vehicle seat of claim 9, wherein the second bracket is retained in a fixed rotational position with respect to the alignment plate by the alignment plate being welded to the second bracket.", "15.The vehicle seat of claim 9, further including: an engagement plate assembled with the first pin; and a blocking cam assembled with the second pin.", "16.The vehicle seat of claim 15, wherein the alignment plate is positioned on the second bracket with respect to the first and second pins based on relative configurations of the engagement plate, the blocking cam, and the alignment plate to facilitate operative engagement thereof under a use condition of the subassembly including rotation of the second bracket with respect to the first bracket to facilitate rotation of the seatback with respect to the cushion." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Various types of vehicle seats are designed to implement various adjustment modes, including sliding longitudinally within the associated vehicle, along with reclining of the seatback with respect to the base of the seat.", "Vehicle seats intended for certain locations within a vehicle may further be able to implement an easy entry mode, allowing a single action to both move the seat to a forward-most position within the vehicle, while simultaneously angling the seatback forward with respect to the base.", "Various mechanisms and subassemblies can be included in the seat to both achieve such movement and to maintain the seat in the resulting position until a user desires the seat to be returned to the original configuration.", "Such mechanisms may require accurate relative locations of the various components such that the timing of the various relative movements is correct and such that various features engage or disengage, as desired.", "Various assembly methods requiring multiple stages and movement of in-process subassemblies between different fixtures for the assembly of specific components can introduce tolerance stackups, resulting in inaccurate positioning of components and unreliable operation of the mechanism.", "Accordingly, further advances may be desired." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>According to one aspect of the present invention, a vehicle seat subassembly includes a first bracket and a second bracket rotatably coupled with the first bracket.", "First and second pins are welded to the first bracket, and an alignment plate is welded to the second bracket.", "First and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, when the second bracket is in a reference position with respect to the first bracket.", "According to another aspect of the present invention, a vehicle seat includes a cushion, a seatback, and a mounting subassembly.", "The mounting assembly includes a first bracket fixedly coupled with the cushion and a second bracket rotatably coupled with the first bracket and fixedly coupled with the seatback.", "First and second pins are welded to the first bracket, and an alignment plate is welded to the second bracket.", "First and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, the first and second bracket defining a reference angle therebetween.", "These and other aspects, objects, and features of the present invention will be understood and appreciated by those skilled in the art upon studying the following specification, claims, and appended drawings." ], [ "FIELD OF THE INVENTION This application is a division of and claims priority to U.S. patent application Ser.", "No.", "14/967,454, filed Dec. 14, 2015, entitled “NET BUILD PROCESS FOR SEATING SUBASSEMBLY,” the entirety of which is incorporated herein by reference.", "FIELD OF THE INVENTION The present invention generally relates to a method for assembling a vehicle seat component or subassembly.", "In particular, an easy-entry articulating seatback subassembly is assembled using a single base fixture in a net building process.", "BACKGROUND OF THE INVENTION Various types of vehicle seats are designed to implement various adjustment modes, including sliding longitudinally within the associated vehicle, along with reclining of the seatback with respect to the base of the seat.", "Vehicle seats intended for certain locations within a vehicle may further be able to implement an easy entry mode, allowing a single action to both move the seat to a forward-most position within the vehicle, while simultaneously angling the seatback forward with respect to the base.", "Various mechanisms and subassemblies can be included in the seat to both achieve such movement and to maintain the seat in the resulting position until a user desires the seat to be returned to the original configuration.", "Such mechanisms may require accurate relative locations of the various components such that the timing of the various relative movements is correct and such that various features engage or disengage, as desired.", "Various assembly methods requiring multiple stages and movement of in-process subassemblies between different fixtures for the assembly of specific components can introduce tolerance stackups, resulting in inaccurate positioning of components and unreliable operation of the mechanism.", "Accordingly, further advances may be desired.", "SUMMARY OF THE INVENTION According to one aspect of the present invention, a vehicle seat subassembly includes a first bracket and a second bracket rotatably coupled with the first bracket.", "First and second pins are welded to the first bracket, and an alignment plate is welded to the second bracket.", "First and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, when the second bracket is in a reference position with respect to the first bracket.", "According to another aspect of the present invention, a vehicle seat includes a cushion, a seatback, and a mounting subassembly.", "The mounting assembly includes a first bracket fixedly coupled with the cushion and a second bracket rotatably coupled with the first bracket and fixedly coupled with the seatback.", "First and second pins are welded to the first bracket, and an alignment plate is welded to the second bracket.", "First and second datum points along the alignment plate are positioned at first and second reference dimensions from the first pin and the second pin, respectively, the first and second bracket defining a reference angle therebetween.", "These and other aspects, objects, and features of the present invention will be understood and appreciated by those skilled in the art upon studying the following specification, claims, and appended drawings.", "BRIEF DESCRIPTION OF THE DRAWINGS In the drawings: FIG.", "1 is a front elevational view of a vehicle seat subassembly, shown in place on a partial vehicle seat frame; FIGS.", "2A-2D are side views of a vehicle seat in various stages of an easy-entry movement mode facilitated by the subassembly of FIG.", "1; FIG.", "3 is a front elevational view of the vehicle seat subassembly of FIG.", "1 in an easy-entry configuration provided thereby; FIG.", "4 is the vehicle seat subassembly of FIG.", "1 with various components removed to illustrate various relative spatial relationships between other components; FIG.", "5 is a rear elevational detail view of portions of the subassembly of FIG.", "1; FIGS.", "6-8 are front perspective views of an in-process subassembly during various stages of a fabrication process therefor; FIG.", "9 is a front elevational detail view of a fixture used in connection with the subassembly during a step of fabrication thereof; and FIG.", "10 is a flowchart depicting a method for fabricating the subassembly of FIG.", "1.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS For purposes of description herein, the terms “upper,” “lower,” “right,” “left,” “rear,” “front,” “vertical,” “horizontal,” “interior,” “exterior,” and derivatives thereof shall relate to the invention as oriented in FIG.", "1.However, it is to be understood that the invention may assume various alternative orientations, except where expressly specified to the contrary.", "It is also to be understood that the specific devices and processes illustrated in the attached drawing, and described in the following specification are simply exemplary embodiments of the inventive concepts defined in the appended claims.", "Hence, specific dimensions and other physical characteristics relating to the embodiments disclosed herein are not to be considered as limiting, unless the claims expressly state otherwise.", "Additionally, unless otherwise specified, it is to be understood that discussion of a particular feature of component extending in or along a given direction or the like does not mean that the feature or component follows a straight line or axis in such a direction or that it only extends in such direction or on such a plane without other directional components or deviations, unless otherwise specified.", "Referring to FIGS.", "1-9, reference numeral 8 generally designates a vehicle seat subassembly.", "Subassembly 8 includes a first bracket 10 and a second bracket 12 rotatably coupled with the first bracket 10.A first pivot pin 16 and a second pivot pin 18 are welded to the first bracket 10.An alignment plate 24 is welded to the second bracket 12 with a first datum point 32 and a second datum point 34 along alignment plate 24 are positioned at a first reference distance 36 and a second reference distance 38 from the first pivot pin 16 and the second pivot pin 18, respectively, when the second bracket 12 is in a reference position (e.g.", "at reference angle 40) with respect to the first bracket 10.Referring to FIG.", "10, a method for assembling a vehicle seat component, such as subassembly 8, includes positioning the first bracket 10 and the second bracket 12, in a base fixture 14 (FIG.", "6).", "With the first bracket 10 and second bracket 12 in the base fixture 14, the first pivot pin 16 and the second pivot pin 18 are welded into respective apertures 20, 22 in first bracket 10 (FIG.", "7), alignment plate 24 is positioned in a location on the second bracket 12 using a secondary fixture 26 (FIG.", "8) engaging with the first pivot pin 16 and the second pivot pin 18, and alignment plate 24 is welded to the second bracket 12.As explained further herein, the above-described method is useful in producing the disclosed subassembly 8, as in one embodiment, the base fixture 14 can retain second bracket 12 in a position with respect to first bracket 10 such that second bracket 12 is rotationally positioned at the reference angle 40 with respect to first bracket 10.While in such a position, the secondary fixture 26 can be used to place alignment plate 24 in a position such that the first datum point 32 is at the first reference distance 36 from first pivot pin 16 and the second datum point 34 is at the second reference distance 38 from the second pivot pin 18.With additional reference to FIGS.", "2A-2D, the thusly assembled subassembly 8 can be included in a vehicle seat 28, such as a middle-row seat in a three-row seating arrangement in a vehicle having four doors.", "Similarly, vehicle seat 28 can be a driver or front passenger seat in a vehicle with two rows of seating and two doors (i.e.", "a “two-plus-two” vehicle).", "In particular, first bracket 10 may be coupled with a seat base frame 46 or other similar structure (depending on the particular construction of seat 28).", "A seat back frame 50, or similar structure, can be coupled with second bracket 12 such that the rotational movement of second bracket 12 with respect to first bracket 10 can facilitate rotation of seat back 52 with respect to seat base 48.Such rotation can be in one of two modes, the first such mode being regular reclining or declining of seat back 52 with respect to seat base 48, which is implemented by recliner mechanism 41 selectively allowing and preventing such movement upon manipulation of recline lever 54.A second such mode can be in an easy-entry movement, wherein seatback 52 is moved into a maximum forward (i.e.", "declined) position (FIG.", "2B) and in which seat base 48 moves to a forward position (FIGS.", "2C and 2D) along tracks 58, which couple vehicle seat 28 within the vehicle.", "Such a movement mode can be implemented by a user manipulating entry lever 56, such as by pulling upwardly thereon.", "To facilitate such movement, manipulation of entry lever 56 can cause recliner mechanism 41 to enter a secondary mode, wherein second bracket 12 is permitted to freely move forward with respect to first bracket 10, but which rearward movement of second bracket 12 (and thusly, seatback 52) is restricted to movement back to the initial position (FIG.", "2A).", "Similarly, track 58, which may include a sliding mechanism therein that is moveable by a motor or by a selectively releasable mechanism actuatable, for example, by a lever.", "Manipulation of release lever 56 may, accordingly, and through the actuation and interaction between various additional features, including portions of subassembly 8, result in track 58 becoming disengaged from such a mechanism or permit movement of base 48 along a secondary track (either according to various known mechanisms).", "In particular, and with reference to FIG.", "3, upon manipulation of release lever 56 and the accompanying release of second bracket 12 from first bracket 10, engagement plate 42 can be released from an initial position (FIG.", "1), wherein subsequent movement of seatback 52 in the forward (declining) direction, such as from the position shown in FIG.", "2A to the position shown in FIG.", "2B, can cause alignment plate tab 60 to catch engagement plate pin 62 (which may be facilitated by movement of engagement plate 42 into an intermediate position) and move alignment plate 24 in the indicated direction into a release position, as shown in FIG.", "3.The movement of engagement plate into the release position may disengage seat base 48, as described above, for forward movement thereof along track 58, which may be under user force, by a spring force, or a combination thereof.", "Further, as illustrated in FIG.", "1, when engagement plate 42 is in the initial position thereof, it may engage with blocking cam 44 to retain blocking cam 44 in an initial position thereof.", "Accordingly, when engagement plate 42 moves out of its initial position by rotation 70, blocking cam 44 can move, including under a spring-biasing force or the like, out of the initial position thereof by rotation 72.Once blocking cam 44 is released from the initial position thereof and when second bracket 12 is moved by rotation 68 into the forward position shown in FIG.", "3, a block 66 on one end of blocking cam 44 can engage with a notch 64 on alignment plate 24 to retain second bracket 12 and, accordingly, seatback 52 in the forward position (FIGS.", "2A-2D).", "Seat 28 and, accordingly, subassembly 8 may, thusly, remain in the respective positions illustrated in FIGS.", "2D and 3 to facilitate entry into the associated vehicle by passing behind seat 28.When such entry is complete, a user can force seat 28 rearwardly, such as against the spring force previously mentioned, with blocking cam 44 continuing to second bracket 12 in the forward position.", "Once seat 28 has been returned to the initial fore-aft position, such as illustrated in FIG.", "2B, the end 73 of blocking cam 44 opposite bock 66 can contact a catch or other feature that causes movement thereof back to the initial position, which withdraws block 66 from notch 64, thereby allowing second bracket 12 to move back to the initial position of FIG.", "1 and further allowing seatback 52 to move back to the position of FIG.", "2A.", "Movement of second bracket 12 back toward the initial position also allows engagement plate 42 to rotate back to the initial position, which can cause track 58 to re-engage, thereby retaining seat 28 in the initial fore-aft position.", "Based on the above-described relative motion and interaction of components of subassembly 8, it can be seen that the relative positioning of alignment plate 24 on second bracket 12 with respect to engagement plate 42 and blocking cam 44 may be important for proper functioning of subassembly 8 within the easy-entry movement mode of the associated seat 28.In an example, if alignment plate 24 is not properly positioned, as described above, the rotational limits of engagement plate 42 may prevent block 66 from engaging with notch 64 when second bracket 12 is in the forward position of FIG.", "3, thereby preventing seatback 52 from being retained in the corresponding forward position.", "In particular, as shown in FIG.", "4, the distance 61 between first pivot pin 16 and tab 60, as well as the distance 63 between second pivot pin 18 and notch 64, are important for proper operation of the above-described functionality.", "As can be appreciated from the above, the particular values for distances 61 and 63 may depend on the particular configurations of engagement plate 42, blocking cam 44, and alignment plate 24, which may vary according to the construction of subassembly 8.As further illustrated in FIG.", "4, the configuration of alignment plate 24 may relate distances 61 and 63 to reference distances 36 and 38, depending on the relative positioning of datum points 32 and 34 with respect to tab 60 and notch 64.In variations of alignment plate 24 using features with similar functionality, but different construction to tab 60 and notch 64, a similar relationship may be achieved based on the utilized component geometry.", "The interaction of the various components, including of block 66 with notch 64 and pin 62 with tab 60 is shown in further detail in FIG.", "5.With reference to FIGS.", "6-9, a “net build” process for assembling the various components of subassembly 8 is described in greater detail.", "In particular, as shown in FIG.", "6, the process can begin by placing an initial assembly of the first bracket 10 and the second bracket 12, which are rotatably coupled together by recliner mechanism 41 (or at least a portion thereof) into base fixture 14.In this sense, a first step may be to assemble the first bracket 10, second bracket 12, and the recliner mechanism 41, although in some variations, such an initial assembly may already be complete.", "Base fixture 14 is shown in an example form, but can vary in structure according to various considerations.", "In general, base fixture 14 is structured to maintain second bracket 12 in a fixed position with respect to first bracket 10, including in a fixed rotational position about the axis of recliner mechanism 41, which may be in only partial form (thereby preventing locking thereof) or may include some internal play, even when locked, that is outside the range of tolerance for subassembly 8.As previously mentioned, the fixed rotational position may be such that second bracket 12 is at the reference angle 40 with respect to second bracket 12.The reference angle 40 may correspond with, for example, the rearward-most position of second bracket 12 during the intended operation of seat 28 or another position, which may be determined based on assembly and/or tolerance conditions or analysis.", "Further, base fixture 14 can be structured to stably support first bracket 10 and second bracket 12, both in general and relative to each other.", "Additionally, base fixture 14 includes a first alignment aperture 74 and a second alignment aperture 76 respectively adjacent to the first aperture 20 and the second aperture 22 in first bracket 10.Alignment apertures 74 and 76 may respectively receive corresponding portions of first pivot pin 16 and second pivot pin 18 to locate pivot pins 16 and 18 relative to base fixture 14, thereby compensating for variations in the positioning of apertures 20 and 22 in first bracket 10.As such the apertures 20 and 22 in first bracket 10 may be oversized relative to the respective portions of first and second pivot pins 16 and 18 received therein to allow for positioning thereof according to base fixture 14.As shown in FIG.", "7, first pivot pin 16 can be assembled with first bracket 10 by positioning thereof within aperture 20 in first bracket 10 and within alignment aperture 74 of base fixture 14.Similarly, second pivot pin 18 can be assembled with first bracket 10 by positioning thereof within aperture 20 in first bracket 10 and within alignment aperture 76 of base fixture 14.First pivot pin 16 and second pivot pin 18 can then be welded to first bracket 10 to fix the assembly therewith in the particular location dictated by the fit of first bracket 10 and first and second pivot pins 16, 18 with base fixture 14.As shown in FIGS.", "8 and 9, alignment plate 24 can then be assembled with second bracket 12 while the in-process subassembly 8 remains within base fixture 14.Accordingly, the temporary fixation of second bracket 12 within base fixture 14 is not only in a desired position (i.e.", "at the reference angle 40) with respect to first bracket 10, but further, with respect to the locations of pivot pins 16 and 18 dictated by base fixture 14.In this manner, secondary fixture 26 can be assembled onto first pivot pin 16 and second pivot pin 18 to locate alignment plate 24 with respect to the locations of first pivot pin 16 and second pivot pin 18 dictated by base fixture 14.In the example shown in FIGS.", "8 and 9, secondary fixture 26 can engage with alignment plate 24 at the first datum point 32 and the second datum point 34, which may be in the form of locating features along alignment plate 24.In particular, first datum point 32 may be in the form of a two-way locator aperture 86 and second datum point 34 may be in the form of a four-way locator aperture 86.Secondary fixture 26 may include locator pins 88 and 90 that respectively engage with the locator apertures 84 and 86.In particular, a first locator pin 88 can be in the form of an extruded, elongate diamond that fits in a fixed location within locator aperture 84 along the latitudinal and longitudinal axes thereof, while allowing for slight rotation about first datum point 32.Second locator pin 90 can be generally cylindrical so as to fit within location aperture 86, which may be generally round.", "Secondary fixture 26 can include a body 92 having a profile 94 that can generally match that of alignment plate 24.Further, profile 94 can be configured to make partial contact with alignment plate 24 when locator pins 88 and 90 are engaged with locator apertures 84 and 86 (which may be positioned on respective arms 96 and 98) to provide additional locating and/or retention of alignment plate 24 with secondary fixture 26.Such an arrangement may allow for an assembly step wherein secondary fixture 26 is assembled with alignment plate 24 prior to any assembly of alignment plate 24 with second bracket 12 or of secondary fixture 26 with pivot pins 16 and 18.In such a step, the temporarily-assembled alignment plate 24 and secondary fixture 26 can then be assembled with the in-process subassembly 8 by engagement of apertures 74 and 76 of secondary fixture 26 with first pivot pin 16 and second pivot pin 18, respectively.", "This step, by the construction of secondary fixture 26 can bring alignment plate 24 into an appropriate position along surface 82 of second bracket 12 such that first datum point 32 is at reference distance 36 with respect to first pivot pin 16 and second datum point 34 is at reference distance 38 with respect to second pin 18 when second bracket 12 is at the reference angle 40 with respect to the first bracket 10.In a subsequent step, alignment plate 24 is fixed with second bracket 12 by welding, as needed to achieve a desired bond therebetween.", "When the welding is complete, secondary fixture 26 is removed and the completed subassembly 8 is removed from base fixture 14.With alignment plate 24 being positioned on second bracket 12 such that the above-described relationship among features is realized when second bracket 12 is at the reference angle 40 with respect to first bracket 10.As explained above, this relationship can offer improved alignment of engagement plate 42 and blocking cam 44 with alignment plate 24 during operation thereof It is noted that, as can be seen in FIGS.", "6 and 7, the surface 82 of second bracket 12 with which alignment plate 24 is assembled may be free from any features that position alignment plate 24 therewith or otherwise restrict the positioning of alignment plate 24 therealong.", "By this arrangement, the locating of alignment plate 24 on surface 82 is achieves solely by the above-described assembly of secondary fixture 26 on pivot pins 16 and 18.The above described method of assembly may be generally summarized by the process depicted schematically in FIG.", "10, in which after any necessary preliminary steps, the process starts (step 110) by first placing first bracket 10 and second bracket 12 in base fixture 14 (step 112).", "As discussed above, first bracket 10 and second bracket 12 may first be rotatably coupled together, including by way of recliner mechanism 41.Subsequently, pivot pins 16 and 18 are assembled with first bracket 10, such as by engagement with apertures 74 and 76 within base fixture 14, and are welded into place on first bracket 10 (step 114).", "Pivot pins 16 and 18 are then used as anchor points for secondary fixture 26, which is assembled therewith to position alignment plate 24 on second bracket 12 (step 116).", "Alignment plate 24 is then welded to second bracket 12 before removal of secondary fixture 26 (step 118) and removal of the resulting subassembly 120 from base fixture 14.The subassembly 8 is then completed (step 122).", "Additional steps may include assembling engagement plate 42 with first pivot pin 16 and blocking cam 44 with second pivot pin 18.It is to be understood that variations and modifications can be made on the aforementioned structure without departing from the concepts of the present invention, and further it is to be understood that such concepts are intended to be covered by the following claims unless these claims by their language expressly state otherwise.", "For purposes of this disclosure, the term “coupled” (in all of its forms, couple, coupling, coupled, etc.)", "generally means the joining of two components (electrical or mechanical) directly or indirectly to one another.", "Such joining may be stationary in nature or movable in nature.", "Such joining may be achieved with the two components (electrical or mechanical) and any additional intermediate members being integrally formed as a single unitary body with one another or with the two components.", "Such joining may be permanent in nature or may be removable or releasable in nature unless otherwise stated.", "It is also important to note that the construction and arrangement of the elements of the invention as shown in the exemplary embodiments is illustrative only.", "Although only a few embodiments of the present innovations have been described in detail in this disclosure, those skilled in the art who review this disclosure will readily appreciate that many modifications are possible (e.g., variations in sizes, dimensions, structures, shapes and proportions of the various elements, values of parameters, mounting arrangements, use of materials, colors, orientations, etc.)", "without materially departing from the novel teachings and advantages of the subject matter recited.", "For example, elements shown as integrally formed may be constructed of multiple parts or elements shown as multiple parts may be integrally formed, the operation of the interfaces may be reversed or otherwise varied, the length or width of the structures and/or members or connector or other elements of the system may be varied, the nature or number of adjustment positions provided between the elements may be varied.", "It should be noted that the elements and/or assemblies of the system may be constructed from any of a wide variety of materials that provide sufficient strength or durability, in any of a wide variety of colors, textures, and combinations.", "Accordingly, all such modifications are intended to be included within the scope of the present innovations.", "Other substitutions, modifications, changes, and omissions may be made in the design, operating conditions, and arrangement of the desired and other exemplary embodiments without departing from the spirit of the present innovations.", "It will be understood that any described processes or steps within described processes may be combined with other disclosed processes or steps to form structures within the scope of the present invention.", "The exemplary structures and processes disclosed herein are for illustrative purposes and are not to be construed as limiting." ] ]
Patent_15875672
[ [ "VEHICLE AIR CONDITIONER", "There is disclosed a vehicle air conditioner of a heat pump system in which there is prevented or inhibited frosting to an outdoor heat exchanger when heating in a vehicle interior is beforehand performed during plug-in, thereby realizing comfortable heating in the vehicle interior during running and also extending a running distance.", "The vehicle air conditioner includes a heating medium circulating circuit 23 to heat air to be supplied from an air flow passage 3 to a vehicle interior, a controller has frosting estimation means for estimating frosting to an outdoor heat exchanger 7, and when a heating mode is executed in a state where a power is supplied from an external power source to a compressor 2 or a battery which supplies the power to drive the compressor 2, the controller executes the heating by a heating medium circulating circuit 23, in a case where the frosting to the outdoor heat exchanger 7 is predicted on the basis of the estimation of the frosting estimation means." ], [ "1.A vehicle air conditioner comprising: a compressor which compresses a refrigerant; an air flow passage through which air to be supplied to a vehicle interior flows; a radiator which lets the refrigerant radiate heat to heat the air to be supplied from the air flow passage to the vehicle interior; a heat absorber which lets the refrigerant absorb heat to cool the air to be supplied from the air flow passage to the vehicle interior; an outdoor heat exchanger disposed outside the vehicle interior to let the refrigerant radiate heat or absorb heat; and control means, the vehicle air conditioner executing at least a heating mode in which the control means lets the refrigerant discharged from the compressor radiate heat in the radiator, decompresses the refrigerant by which heat has been radiated, and then lets the refrigerant absorb heat in the outdoor heat exchanger, the vehicle air conditioner comprising: auxiliary heating means for heating the air to be supplied from the air flow passage to the vehicle interior, wherein the control means has frosting estimation means for estimating frosting to the outdoor heat exchanger, and when the heating mode is executed in a state where a power is supplied from an external power source to the compressor or a battery which supplies the power to drive the compressor, the control means executes the heating by the auxiliary heating means, in a case where the frosting to the outdoor heat exchanger is predicted on the basis of the estimation of the frosting estimation means.", "wherein the frosting estimation means calculates a required refrigerant evaporation temperature in non-frosting TXObaseQtgt which is a refrigerant evaporation temperature of the outdoor heat exchanger when the required heating capability Qtgt is achieved, and the frosting estimation means predicts that the outdoor heat exchanger is frosted in a case where the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is lower than a frost point Tfrost or a temperature close to the frost point Tfrost.", "2.The vehicle air conditioner according to claim 1, wherein the control means executes the heating by the radiator without performing the heating by the auxiliary heating means, in a case where it is predicted that the outdoor heat exchanger is not frosted, on the basis of the estimation of the frosting estimation means.", "3.The vehicle air conditioner according to claim 1, wherein the frosting estimation means calculates the required refrigerant evaporation temperature in non-frosting TXObaseQtgt on the basis of the outdoor air temperature and the required heating capability Qtgt.", "4.The vehicle air conditioner according to claim 1, wherein the auxiliary heating means is constituted of a heating medium circulating circuit which has a heating medium-air heat exchanger to heat the air to be supplied from the air flow passage to the vehicle interior, an electric heater, and circulating means and which circulates a heating medium heated by the electric heater through the heating medium-air heat exchanger by the circulating means." ], [ "<SOH> Background Art <EOH>Due to actualization of environmental problems in recent years, hybrid cars and electric cars have spread.", "Furthermore, as an air conditioner which is applicable to such a vehicle, there has been developed an air conditioner which includes a compressor to compress and discharge a refrigerant, a radiator (a condenser) disposed on a vehicle interior side to let the refrigerant radiate heat, a heat absorber (an evaporator) disposed on the vehicle interior side to let the refrigerant absorb heat, and an outdoor heat exchanger disposed outside the vehicle interior to let the refrigerant radiate or absorb heat, and which changes and executes respective modes such as a heating mode in which the refrigerant discharged from the compressor radiates heat in the radiator and the refrigerant by which heat has been radiated in this radiator absorbs heat in the outdoor heat exchanger, a dehumidifying mode in which the refrigerant discharged from the compressor radiates heat in the radiator and the refrigerant by which heat has been radiated in the radiator absorbs heat in the heat absorber, and a cooling mode in which the refrigerant discharged from the compressor radiates heat in the outdoor heat exchanger and absorbs heat in the heat absorber (e.g., see Patent Document 1).", "Additionally, an electric car or part of hybrid cars is connected to an external power source (a charger) installed at home or in a power supply facility (a power supply point), whereby a battery can be charged (so-called plug-in).", "When the battery is charged by such plug-in, the present invention can contribute to fuel efficiency improvement especially in the hybrid car." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>" ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present application is a divisional of U.S. patent application Ser.", "No.", "14/913,264, which was filed on Feb. 19, 2016, which is a U.S. National Stage Patent Application under 37 U.S.C.", "§ 371 of International Patent Application No.", "PCT/JP2014/071835, filed on Aug. 21, 2014, which claims the benefit of Japanese Patent Application No.", "JP 2013-173235, filed on Aug. 23, 2013, the disclosures of which are incorporated herein by reference in their entirety.", "TECHNICAL FIELD The present invention relates to an air conditioner of a so-called heat pump system which conditions air in a vehicle interior of a vehicle, and more particularly, it relates to an air conditioner of a hybrid car or an electric car to which a power can be supplied from an external power source.", "Background Art Due to actualization of environmental problems in recent years, hybrid cars and electric cars have spread.", "Furthermore, as an air conditioner which is applicable to such a vehicle, there has been developed an air conditioner which includes a compressor to compress and discharge a refrigerant, a radiator (a condenser) disposed on a vehicle interior side to let the refrigerant radiate heat, a heat absorber (an evaporator) disposed on the vehicle interior side to let the refrigerant absorb heat, and an outdoor heat exchanger disposed outside the vehicle interior to let the refrigerant radiate or absorb heat, and which changes and executes respective modes such as a heating mode in which the refrigerant discharged from the compressor radiates heat in the radiator and the refrigerant by which heat has been radiated in this radiator absorbs heat in the outdoor heat exchanger, a dehumidifying mode in which the refrigerant discharged from the compressor radiates heat in the radiator and the refrigerant by which heat has been radiated in the radiator absorbs heat in the heat absorber, and a cooling mode in which the refrigerant discharged from the compressor radiates heat in the outdoor heat exchanger and absorbs heat in the heat absorber (e.g., see Patent Document 1).", "Additionally, an electric car or part of hybrid cars is connected to an external power source (a charger) installed at home or in a power supply facility (a power supply point), whereby a battery can be charged (so-called plug-in).", "When the battery is charged by such plug-in, the present invention can contribute to fuel efficiency improvement especially in the hybrid car.", "CITATION LIST Patent Documents Patent Document 1: Japanese Patent No.", "3985384 SUMMARY OF THE INVENTION Problems to be Solved by the Invention Here, when a compressor is driven during running, a power of a battery is wasted.", "Therefore, when a vehicle interior is beforehand heated (preliminary air conditioning) before the running in a connected state to an external power source (a plug-in state), it is possible to extend the subsequent running distance.", "However, in the above heating mode, an outdoor heat exchanger functions as an evaporator of a refrigerant.", "Therefore, when the compressor is operated directly or via the battery by the external power source during plug-in to execute the heating mode, water in outdoor air adheres as frost to the outdoor heat exchanger depending on conditions of a temperature/humidity of the outdoor air, and grows therein.", "In a case where frosting to the outdoor heat exchanger occurs in the heating mode, the frost becomes an insulating material, a heat exchange performance with the outdoor air therefore remarkably deteriorates, heat cannot be absorbed from the outdoor air, and a required heating capability cannot be obtained.", "When the running starts in such a state, compressor operation time for the heating during the running lengthens to increase power consumption.", "Additionally, an auxiliary electric heater has to be used to complement the heating capability, and hence, the power consumption increases in any case, thereby causing the problem that the running distance is shortened.", "The present invention has been developed to solve such a conventional technical problem, and an object thereof is to provide a vehicle air conditioner of a so-called heat pump system in which there is prevented or inhibited frosting to an outdoor heat exchanger when heating in a vehicle interior is beforehand performed during plug-in, thereby realizing comfortable heating in the vehicle interior during running and also extending a running distance.", "Means for Solving the Problems A vehicle air conditioner of the present invention includes a compressor which compresses a refrigerant; an air flow passage through which air to be supplied to a vehicle interior flows; a radiator which lets the refrigerant radiate heat to heat the air to be supplied from the air flow passage to the vehicle interior; a heat absorber which lets the refrigerant absorb heat to cool the air to be supplied from the air flow passage to the vehicle interior; an outdoor heat exchanger disposed outside the vehicle interior to let the refrigerant radiate heat or absorb heat; and control means, and the vehicle air conditioner executes at least a heating mode in which this control means lets the refrigerant discharged from the compressor radiate heat in the radiator, decompresses the refrigerant by which heat has been radiated, and then lets the refrigerant absorb heat in the outdoor heat exchanger, and the vehicle air conditioner includes auxiliary heating means for heating the air to be supplied from the air flow passage to the vehicle interior, the vehicle air conditioner being characterized in that the control means has frosting estimation means for estimating frosting to the outdoor heat exchanger, and when the heating mode is executed in a state where a power is supplied from an external power source to the compressor or a battery which supplies the power to drive the compressor, the control means executes the heating by the auxiliary heating means, in a case where the frosting to the outdoor heat exchanger is predicted on the basis of the estimation of the frosting estimation means.", "The vehicle air conditioner of the invention of claim 2 is characterized in that in the above invention, the control means executes the heating by the radiator in a case where a heating capability by the auxiliary heating means runs short to a required heating capability Qtgt.", "The vehicle air conditioner of the invention of claim 3 is characterized in that in the above invention, the control means compares the required heating capability Qtgt with a maximum heating capability generable by the auxiliary heating means, and complements the shortage of the maximum heating capability from the required heating capability Qtgt by the heating of the radiator.", "The vehicle air conditioner of the invention of claim 4 is characterized in that in the above invention, the control means controls the compressor so that a refrigerant evaporation temperature in the outdoor heat exchanger is lower than an outdoor air temperature and a difference between the temperatures is within a predetermined value.", "The vehicle air conditioner of the invention of claim 5 is characterized in that in the above respective inventions, the control means executes the heating by the radiator without performing the heating by the auxiliary heating means, in a case where it is predicted that the outdoor heat exchanger is not frosted, on the basis of the estimation of the frosting estimation means.", "The vehicle air conditioner of the invention of claim 6 is characterized in that in the above respective inventions, the frosting estimation means calculates a maximum heating capability predicted value without frosting TGQhpNfst which is a target value of the maximum heating capability generable by the radiator in a range in which the outdoor heat exchanger is not frosted, and the frosting estimation means predicts that the outdoor heat exchanger is frosted in a case where the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the required heating capability Qtgt or a value close to the required heating capability Qtgt.", "The vehicle air conditioner of the invention of claim 7 is characterized in that in the above invention, the frosting estimation means calculates the maximum heating capability predicted value without frosting TGQhpNfst on the basis of an outdoor air temperature, or time, solar radiation, a rainfall, a location and weather conditions in addition to the outdoor air temperature.", "The vehicle air conditioner of the invention of claim 8 is characterized in that in the inventions of claim 1 to claim 5, the frosting estimation means calculates a required refrigerant evaporation temperature in non-frosting TXObaseQtgt which is a refrigerant evaporation temperature of the outdoor heat exchanger when the required heating capability Qtgt is achieved, and the frosting estimation means predicts that the outdoor heat exchanger is frosted in a case where the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is lower than a frost point Tfrost or a temperature close to the frost point Tfrost.", "The vehicle air conditioner of the invention of claim 9 is characterized in that in the above invention, the frosting estimation means calculates the required refrigerant evaporation temperature in non-frosting TXObaseQtgt on the basis of the outdoor air temperature and the required heating capability Qtgt.", "The vehicle air conditioner of the invention of claim 10 is characterized in that in the above respective inventions, the auxiliary heating means is constituted of a heating medium circulating circuit which has a heating medium-air heat exchanger to heat the air to be supplied from the air flow passage to the vehicle interior, an electric heater, and circulating means and which circulates a heating medium heated by the electric heater through the heating medium-air heat exchanger by the circulating means.", "Advantageous Effect of the Invention According to the present invention, a vehicle air conditioner includes a compressor which compresses a refrigerant; an air flow passage through which air to be supplied to a vehicle interior flows; a radiator which lets the refrigerant radiate heat to heat the air to be supplied from the air flow passage to the vehicle interior; a heat absorber which lets the refrigerant absorb heat to cool the air to be supplied from the air flow passage to the vehicle interior; an outdoor heat exchanger disposed outside the vehicle interior to let the refrigerant radiate heat or absorb heat; and control means, and the vehicle air conditioner executes at least a heating mode in which this control means lets the refrigerant discharged from the compressor radiate heat in the radiator, decompresses the refrigerant by which heat has been radiated, and then lets the refrigerant absorb heat in the outdoor heat exchanger, and the vehicle air conditioner includes auxiliary heating means for heating the air to be supplied from the air flow passage to the vehicle interior, the vehicle air conditioner being characterized in that the control means has frosting estimation means for estimating frosting to the outdoor heat exchanger, and when the heating mode is executed in a state where a power is supplied from an external power source to the compressor or a battery which supplies the power to drive the compressor, the control means executes the heating by the auxiliary heating means, in a case where the frosting to the outdoor heat exchanger is predicted on the basis of the estimation of the frosting estimation means.", "Therefore, when the vehicle interior is beforehand heated (preliminary air conditioning) during so-called plug-in, the auxiliary heating means performs vehicle interior heating while preventing or inhibiting the frosting to the outdoor heat exchanger, and it is possible to decrease loads during the subsequent running.", "In consequence, it is possible to extend a running distance of an electric car or a hybrid car while maintaining the vehicle interior after start of running at a comfortable temperature.", "In this case, as in the invention of claim 2, the control means executes the heating by the radiator in a case where a heating capability by the auxiliary heating means runs short to a required heating capability Qtgt, so that it is possible to achieve so-called preliminary air conditioning (the heating) in a cold season or at night without hindrance.", "Additionally, in a case where the heating capability by the auxiliary heating means satisfies the required heating capability Qtgt, the compressor is not operated, and hence, the frosting to the outdoor heat exchanger can securely be prevented.", "Furthermore, as in the invention of claim 3, the control means compares the required heating capability Qtgt with a maximum heating capability generable by the auxiliary heating means, and complements the shortage of the maximum heating capability from the required heating capability Qtgt by the heating of the radiator, so that it is possible to precisely achieve the complementing of the heating capability by the radiator.", "Also in this case, as in the invention of claim 4, the control means controls the compressor so that a refrigerant evaporation temperature in the outdoor heat exchanger is lower than an outdoor air temperature and a difference between the temperatures is within a predetermined value, so that the frosting to the outdoor heat exchanger can effectively be prevented or inhibited.", "Additionally, as in the invention of claim 5, the control means executes the heating by the radiator without performing the heating by the auxiliary heating means, in a case where it is predicted that the outdoor heat exchanger is not frosted, on the basis of the estimation of the frosting estimation means, so that it is possible to achieve saving of power consumption for the heating during so-called plug-in without hindrance.", "Further, as in the invention of claim 6, the frosting estimation means calculates a maximum heating capability predicted value without frosting TGQhpNfst which is a target value of the maximum heating capability generable by the radiator in a range in which the outdoor heat exchanger is not frosted, and the frosting estimation means predicts that the outdoor heat exchanger is frosted in a case where the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the required heating capability Qtgt or a value close to the required heating capability Qtgt, so that also in a case where a so-called frost point at which the outdoor heat exchanger is frosted cannot be detected, it is possible to effectively prevent or inhibit the frosting to the outdoor heat exchanger during the plug-in.", "In this case, as in the invention of claim 7, the frosting estimation means calculates the maximum heating capability predicted value without frosting TGQhpNfst on the basis of an outdoor air temperature, or time, solar radiation, a rainfall, a location and weather conditions in addition to the outdoor air temperature.", "In consequence, the maximum heating capability predicted value without frosting TGQhpNfst at which the outdoor heat exchanger is not frosted can precisely be estimated.", "That is, as a result, the frost point can precisely be estimated, thereby making it possible to further effectively prevent or inhibit the frosting to the outdoor heat exchanger during the plug-in.", "On the other hand, as in the invention of claim 8, the frosting estimation means calculates a required refrigerant evaporation temperature in non-frosting TXObaseQtgt which is a refrigerant evaporation temperature of the outdoor heat exchanger when the required heating capability Qtgt is achieved, and the frosting estimation means predicts that the outdoor heat exchanger is frosted in a case where the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is lower than a frost point Tfrost or a temperature close to the frost point Tfrost.", "In consequence, it is possible to effectively prevent or inhibit the frosting to the outdoor heat exchanger during the plug-in on the basis of the frost point at which the outdoor heat exchanger is frosted.", "In this case, as in the invention of claim 9, the frosting estimation means calculates the required refrigerant evaporation temperature in non-frosting TXObaseQtgt on the basis of the outdoor air temperature and the required heating capability Qtgt.", "In consequence, it is possible to precisely estimate the required refrigerant evaporation temperature in non-frosting TXObaseQtgt which achieves the required heating capability Qtgt when the outdoor heat exchanger is not frosted, and it is possible to further effectively prevent or inhibit the frosting to the outdoor heat exchanger during the plug-in.", "Further, as in the invention of claim 10, the auxiliary heating means is constituted of a heating medium circulating circuit which has a heating medium-air heat exchanger to heat the air to be supplied from the air flow passage to the vehicle interior, an electric heater, and circulating means and which circulates a heating medium heated by the electric heater through the heating medium-air heat exchanger by the circulating means, thereby making it possible to achieve electrically safer vehicle interior heating.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a constitutional view of a vehicle air conditioner of one embodiment to which the present invention is applied; FIG.", "2 is a block diagram of an electric circuit of a controller of the vehicle air conditioner of FIG.", "1; FIG.", "3 is an enlarged view of an air flow passage portion of FIG.", "1; FIG.", "4 is a flowchart to explain an operation of the controller of FIG.", "2; FIG.", "5 is a flowchart of frosting prediction of the controller of FIG.", "2 when a frost point cannot be detected; FIG.", "6 is a diagram showing a relation between a maximum heating capability predicted value without frosting of a radiator at which an outdoor heat exchanger of FIG.", "1 is not frosted and an outdoor air temperature; FIG.", "7 is a flowchart of the frosting prediction of the controller of FIG.", "2 when the frost point can be detected; FIG.", "8 is a constitutional view of a vehicle air conditioner of another embodiment to which the present invention is applied; FIG.", "9 is a constitutional view of a vehicle air conditioner of still another embodiment to which the present invention is applied; FIG.", "10 is a constitutional view of a vehicle air conditioner of a further embodiment to which the present invention is applied; FIG.", "11 is a constitutional view of a vehicle air conditioner of a further embodiment to which the present invention is applied; FIG.", "12 is a constitutional view of a vehicle air conditioner of a further embodiment to which the present invention is applied; FIG.", "13 is a constitutional view of a vehicle air conditioner of a further embodiment to which the present invention is applied; and FIG.", "14 is a constitutional view of a vehicle air conditioner of a still further embodiment to which the present invention is applied.", "MODE FOR CARRYING OUT THE INVENTION Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.", "Embodiment 1 FIG.", "1 shows a constitutional view of a vehicle air conditioner 1 of one embodiment of the present invention.", "A vehicle of the embodiment to which the present invention is applied is an electric car (EV) which does not have an engine (an internal combustion engine), and runs by driving an electric motor for running by a power charged (plug-in) in a battery from an external power source (which is not shown), and the vehicle air conditioner 1 of the present invention is also driven by the power of the battery.", "That is, in the electric car in which heating cannot be performed by waste heat of the engine, the vehicle air conditioner 1 of the embodiment performs the heating by a heat pump operation in which a refrigerant circuit is used, and further selectively executes respective operation modes of dehumidifying and heating, cooling and dehumidifying, cooling, and the like.", "It is to be noted that the vehicle is not limited to the electric car, and the present invention is also effective for a so-called hybrid car in which the engine is used together with the electric motor for the running and in which so-called plug-in to charge the battery from the external power source is possible.", "The vehicle air conditioner 1 of the embodiment performs air conditioning (heating, cooling, dehumidifying, and ventilation) in a vehicle interior of the electric car, and there are successively connected, by a refrigerant pipe 13, an electric compressor 2 of an electric system which compresses a refrigerant, a radiator 4 disposed in an air flow passage 3 of an HVAC unit 10 in which air in the vehicle interior is passed and circulated, to let the high-temperature high-pressure refrigerant discharged from the compressor 2 flow into the radiator via a refrigerant pipe 13G and radiate heat in the vehicle interior, an outdoor expansion valve 6 constituted of an electric valve which decompresses and expands the refrigerant during the heating, an outdoor heat exchanger 7 which performs heat exchange between the refrigerant and outdoor air to function as the radiator during the cooling and function as an evaporator during the heating, an indoor expansion valve 8 constituted of an electric valve which decompresses and expands the refrigerant, a heat absorber 9 disposed in the air flow passage 3 to let the refrigerant absorb heat from interior and exterior of the vehicle during the cooling and during the dehumidifying, an evaporation capability control valve 11 which adjusts an evaporation capability in the heat absorber 9, an accumulator 12 and the like, so that a refrigerant circuit R is constituted.", "It is to be noted that in the outdoor heat exchanger 7, an outdoor blower 15 is disposed.", "The outdoor blower 15 forcibly passes the outdoor air through the outdoor heat exchanger 7, thereby performing the heat exchange between the outdoor air and the refrigerant, and consequently, the outdoor air is passed through the outdoor heat exchanger 7 also when the vehicle is stopped (i.e., a velocity VSP is 0 km/h).", "In addition, the outdoor heat exchanger 7 has a receiver drier portion 14 and a subcooling portion 16 successively on a refrigerant downstream side, a refrigerant pipe 13A extended out from the outdoor heat exchanger 7 is connected to the receiver drier portion 14 via a solenoid valve (an opening/closing valve) 17 opened during the cooling, and an outlet of the subcooling portion 16 is connected to the indoor expansion valve 8 via a check valve 18.It is to be noted that the receiver drier portion 14 and the subcooling portion 16 structurally constitute a part of the outdoor heat exchanger 7, and an indoor expansion valve 8 side of the check valve 18 is a forward direction.", "In addition, a refrigerant pipe 13B between the check valve 18 and the indoor expansion valve 8 is disposed in a heat exchange relation with a refrigerant pipe 13C extended out from the evaporation capability control valve 11 positioned on an outlet side of the heat absorber 9, and both the pipes constitute an internal heat exchanger 19.In consequence, the refrigerant flowing through the refrigerant pipe 13B into the indoor expansion valve 8 is cooled (subcooled) by the low-temperature refrigerant flowing out from the heat absorber 9 through the evaporation capability control valve 11.In addition, the refrigerant pipe 13A extended out from the outdoor heat exchanger 7 is branched, and this branched refrigerant pipe 13D communicates to be connected to the refrigerant pipe 13C on the downstream side of the internal heat exchanger 19 via a solenoid valve (an opening/closing valve) 21 to be opened during the heating.", "Furthermore, a refrigerant pipe 13E on an outlet side of the radiator 4 is branched before the outdoor expansion valve 6, and this branched refrigerant pipe 13F communicates to be connected to the refrigerant pipe 13B on the downstream side of the check valve 18 via a solenoid valve (an opening/closing valve) 22 to be opened during the dehumidifying.", "In addition, a bypass pipe 13J is connected in parallel with the outdoor expansion valve 6, and in the bypass pipe 13J, there is disposed a solenoid valve (an opening/closing valve) 20 which is opened in a cooling mode and bypasses the outdoor expansion valve 6 to pass the refrigerant.", "Furthermore, the refrigerant pipe 13G on a discharge side of the compressor 2 is branched, this branched refrigerant pipe 13H is opened in a defrosting mode (a defrosting operation) of the outdoor heat exchanger 7, and the refrigerant pipe communicates to be connected to a refrigerant pipe 13I between a parallel circuit of the outdoor expansion valve 6 with the bypass pipe 13J and the outdoor heat exchanger 7 via a solenoid valve (an opening/closing valve) 24 and a check valve 45 disposed so that the high-temperature refrigerant (a hot gas) discharged from the compressor 2 directly flows into the outdoor heat exchanger 7.The solenoid valve 24 constitutes defrosting means.", "It is to be noted that a refrigerant pipe 13I direction of the check valve 45 is a forward direction.", "Additionally, in the air flow passage 3 on an air upstream side of the heat absorber 9, respective suction ports such as an outdoor air suction port and an indoor air suction port are formed (represented by a suction port 25 in FIG.", "1), and in the suction port 25, a suction changing damper 26 is disposed to change the air to be introduced into the air flow passage 3 to indoor air which is air in the vehicle interior (an indoor air circulating mode) and outdoor air which is air outside the vehicle interior (an outdoor air introducing mode).", "Further, on an air downstream side of the suction changing damper 26, an indoor blower (a blower fan) 27 is disposed to supply the introduced indoor air or outdoor air to the air flow passage 3.Additionally, in FIG.", "1, 23 indicates a heating medium circulating circuit as auxiliary heating means disposed in the vehicle air conditioner 1 of the embodiment.", "The heating medium circulating circuit 23 includes a circulating pump 30 constituting circulating means, a heating medium heating electric heater (shown as an auxiliary HTR in the drawing) 35, and a heating medium-air heat exchanger 40 disposed in the air flow passage 3 on an air downstream side of the radiator 4 to the flow of the air of the air flow passage 3, and these components are successively annularly connected to one another by a heating medium pipe 23A.", "It is to be noted that as the heating medium to be circulated in the heating medium circulating circuit 23, for example, water, a refrigerant such as HFO-1234yf, a coolant or the like is employed.", "Further, when the circulating pump 30 is operated and the heating medium heating electric heater 35 is energized to generate heat, the heating medium heated by the heating medium heating electric heater 35 is circulated through the heating medium-air heat exchanger 40.That is, the heating medium-air heat exchanger 40 of the heating medium circulating circuit 23 becomes a so-called heater core, and complements the heating in the vehicle interior.", "When the heating medium circulating circuit 23 is employed, electric safety of a passenger can improve.", "Additionally, in the air flow passage 3 on the air upstream side of the radiator 4, an air mix damper 28 is disposed to adjust a degree of flow of the indoor air or the outdoor air through the radiator 4.Furthermore, in the air flow passage 3 on the air downstream side of the radiator 4, each outlet of foot, vent or defroster (represented by an outlet 29 in FIG.", "1) is formed, and in the outlet 29, an outlet changing damper 31 is disposed to perform changing control of blowing of the air from each outlet mentioned above.", "Next, in FIG.", "2, 32 is a controller (ECU) as control means and frosting estimation means constituted of a microcomputer, and an input of the controller 32 is connected to respective outputs of an outdoor air temperature sensor 33 which detects an outdoor air temperature of the vehicle, an outdoor air humidity sensor 34 which detects an outdoor air humidity of the vehicle, an HVAC suction temperature sensor 36 which detects a temperature of the air to be sucked from the suction port 25 to the air flow passage 3, an indoor air temperature sensor 37 which detects a temperature of the air in the vehicle interior (the indoor air), an indoor air humidity sensor 38 which detects a humidity of the air in the vehicle interior, an indoor air CO2 concentration sensor 39 which detects a carbon dioxide concentration in the vehicle interior, an outlet temperature sensor 41 which detects a temperature of the air blown out from the outlet 29 into the vehicle interior, a discharge pressure sensor 42 which detects a pressure of the refrigerant discharged from the compressor 2, a discharge temperature sensor 43 which detects a temperature of the refrigerant discharged from the compressor 2, a suction pressure sensor 44 which detects a suction refrigerant pressure of the compressor 2, a radiator temperature sensor 46 which detects a temperature of the radiator 4 (the temperature of the air passed through the radiator 4 or the temperature of the radiator 4 itself), a radiator pressure sensor 47 which detects a refrigerant pressure of the radiator 4 (the pressure in the radiator 4 or the pressure of the refrigerant which has just flowed out from the radiator 4), a heat absorber temperature sensor 48 which detects a temperature of the heat absorber 9 (the temperature of the air passed through the heat absorber 9 or the temperature of the heat absorber 9 itself), a heat absorber pressure sensor 49 which detects a refrigerant pressure of the heat absorber 9 (the pressure in the heat absorber 9 or the pressure of the refrigerant which has just flowed out from the heat absorber 9), a solar radiation sensor 51 of, e.g., a photo sensor system to detect a solar radiation amount into the vehicle, a velocity sensor 52 to detect a moving speed of the vehicle (a velocity), an air conditioning operating portion 53 to set the changing of the predetermined temperature or the operation mode, an outdoor heat exchanger temperature sensor 54 which detects a temperature of the outdoor heat exchanger 7 (the temperature of the refrigerant which has just flowed out from the outdoor heat exchanger 7 or the temperature of the outdoor heat exchanger 7 itself), and an outdoor heat exchanger pressure sensor 56 which detects the refrigerant pressure of the outdoor heat exchanger 7 (the pressure of the refrigerant in the outdoor heat exchanger 7 or the refrigerant which has just flowed out from the outdoor heat exchanger 7).", "Additionally, the input of the controller 32 is further connected to respective outputs of a heating medium heating electric heater temperature sensor 50 which detects a temperature of the heating medium heating electric heater 35 of the heating medium circulating circuit 23 (the temperature of the heating medium immediately after the heating medium is heated by the heating medium heating electric heater 35 or a temperature of an unshown electric heater itself included in the heating medium heating electric heater 35), and a heating medium-air heat exchanger temperature sensor 55 which detects a temperature of the heating medium-air heat exchanger 40 (the temperature of the air passed through the heating medium-air heat exchanger 40 or the temperature of the heating medium-air heat exchanger 40 itself).", "On the other hand, an output of the controller 32 is connected to the compressor 2, the outdoor blower 15, the indoor blower (the blower fan) 27, the suction changing damper 26, the air mix damper 28, the outlet changing damper 31, the outdoor expansion valve 6, the indoor expansion valve 8, the respective solenoid valves 22, 17, 21, 20 and 24, the circulating pump 30, the heating medium heating electric heater 35, and the evaporation capability control valve 11.Further, the controller 32 controls these components on the basis of the outputs of the respective sensors and the setting input by the air conditioning operating portion 53.Next, an operation of the vehicle air conditioner 1 of the embodiment having the abovementioned constitution will be described.", "The controller 32 changes and executes respective roughly divided operation modes such as a heating mode, a dehumidifying and heating mode, an internal cycle mode, a dehumidifying and cooling mode, and a cooling mode.", "First, the flow of the refrigerant in each operation mode will be described.", "(1) Flow of Refrigerant of Heating Mode When the heating mode is selected by the controller 32 or a manual operation to the air conditioning operating portion 53, the controller 32 opens the solenoid valve 21 and closes the solenoid valve 17, the solenoid valve 22, the solenoid valve 20 and the solenoid valve 24.Further, the compressor 2 and the respective blowers 15 and 27 are operated, and the air mix damper 28 has a state where the air blown out from the indoor blower 27 is passed through the radiator 4 and the heating medium-air heat exchanger 40.In consequence, a high-temperature high-pressure gas refrigerant discharged from the compressor 2 flows into the radiator 4.The air in the air flow passage 3 is passed through the radiator 4, and hence the air in the air flow passage 3 is heated by the high-temperature refrigerant in the radiator 4, whereas the refrigerant in the radiator 4 has the heat taken by the air and is cooled to condense and liquefy.", "The refrigerant liquefied in the radiator 4 flows out from the radiator 4, and then flows through the refrigerant pipe 13E to reach the outdoor expansion valve 6.It is to be noted that an operation and a function of the heating medium circulating circuit 23 will be described later.", "The refrigerant flowing into the outdoor expansion valve 6 is decompressed therein and then flows into the outdoor heat exchanger 7.The refrigerant flowing into the outdoor heat exchanger 7 evaporates, and the heat is pumped up from the outdoor air passed by running or the outdoor blower 15.That is, the refrigerant circuit R becomes a heat pump (shown by HP in the drawing), and the outdoor heat exchanger 7 functions as an evaporator of the refrigerant.", "Further, the low-temperature refrigerant flowing out from the outdoor heat exchanger 7 flows through the refrigerant pipe 13D and the solenoid valve 21 to flow from the refrigerant pipe 13C into the accumulator 12 in which gas liquid separation is performed, and then the gas refrigerant is sucked into the compressor 2, thereby repeating this circulation.", "The air heated in the radiator 4 is blown out from the outlet 29 through the heating medium-air heat exchanger 40, and hence the heating in the vehicle interior is performed.", "The controller 32 controls a number of revolution of the compressor 2 on the basis of a high pressure of the refrigerant circuit R which is detected by the discharge pressure sensor 42 or the radiator pressure sensor 47, also controls a valve position of the outdoor expansion valve 6 on the basis of the temperature of the radiator 4 which is detected by the radiator temperature sensor 46 and the refrigerant pressure of the radiator 4 which is detected by the radiator pressure sensor 47, and controls a subcool degree of the refrigerant in the outlet of the radiator 4.", "(2) Flow of Refrigerant of Dehumidifying and Heating Mode Next, in the dehumidifying and heating mode, the controller 32 opens the solenoid valve 22 in the above state of the heating mode.", "In consequence, a part of the condensed refrigerant flowing through the radiator 4 and the refrigerant pipe 13E is distributed, and flows through the solenoid valve 22 to flow from the refrigerant pipes 13F and 13B through the internal heat exchanger 19, thereby reaching the indoor expansion valve 8.The refrigerant is decompressed in the indoor expansion valve 8 and then flows into the heat absorber 9 to evaporate.", "Water in the air blown out from the indoor blower 27 coagulates to adhere to the heat absorber 9 by a heat absorbing operation at this time, and hence the air is cooled and dehumidified.", "The refrigerant evaporated in the heat absorber 9 flows through the evaporation capability control valve 11 and the internal heat exchanger 19 to join the refrigerant from the refrigerant pipe 13D in the refrigerant pipe 13C, and then flows through the accumulator 12 to be sucked into the compressor 2, thereby repeating this circulation.", "The air dehumidified in the heat absorber 9 is reheated in a process of passing the radiator 4, and hence the dehumidifying and heating in the vehicle interior are performed.", "The controller 32 controls the number of revolution of the compressor 2 on the basis of the high pressure of the refrigerant circuit R which is detected by the discharge pressure sensor 42 or the radiator pressure sensor 47, and also controls the valve position of the outdoor expansion valve 6 on the basis of the temperature of the heat absorber 9 which is detected by the heat absorber temperature sensor 48.", "(3) Flow of Refrigerant of Internal Cycle Mode Next, in the internal cycle mode, the controller 32 shuts off the outdoor expansion valve 6 in the above state of the dehumidifying and heating mode (a shut off position), and also closes the solenoid valve 21.The outdoor expansion valve 6 and the solenoid valve 21 are closed, whereby inflow of the refrigerant into the outdoor heat exchanger 7 and outflow of the refrigerant from the outdoor heat exchanger 7 are obstructed, and hence all the condensed refrigerant flowing through the radiator 4 and the refrigerant pipe 13E flows through the solenoid valve 22 to the refrigerant pipe 13F.", "Further, the refrigerant flowing through the refrigerant pipe 13F flows from the refrigerant pipe 13B through the internal heat exchanger 19 to reach the indoor expansion valve 8.The refrigerant is decompressed in the indoor expansion valve 8 and then flows into the heat absorber 9 to evaporate.", "The water in the air blown out from the indoor blower 27 coagulates to adhere to the heat absorber 9 by the heat absorbing operation at this time, and hence the air is cooled and dehumidified.", "The refrigerant evaporated in the heat absorber 9 flows through the evaporation capability control valve 11, the internal heat exchanger 19, the refrigerant pipe 13C and the accumulator 12 to be sucked into the compressor 2, thereby repeating this circulation.", "The air dehumidified in the heat absorber 9 is reheated in the process of passing the radiator 4, and hence the dehumidifying and heating in the vehicle interior are performed.", "However, in this internal cycle mode, the refrigerant is circulated between the radiator 4 (heat radiation) and the heat absorber 9 (heat absorption) which are present in the air flow passage 3 on an indoor side, and hence the heat is not pumped up from the outdoor air, but a heating capability for consumed power of the compressor 2 is exerted.", "The whole amount of the refrigerant flows through the heat absorber 9 which exerts a dehumidifying operation, and hence as compared with the above dehumidifying and heating mode, a dehumidifying capability is high, but the heating capability lowers.", "The controller 32 controls the number of revolution of the compressor 2 on the basis of the temperature of the heat absorber 9 or the abovementioned high pressure of the refrigerant circuit R. At this time, the controller 32 selects a smaller compressor target number of revolution from compressor target numbers of revolution obtained by calculations from the temperature of the heat absorber 9 or the high pressure, to control the compressor 2.", "(4) Flow of Refrigerant of Dehumidifying and Cooling Mode Next, in the dehumidifying and cooling mode, the controller 32 opens the solenoid valve 17 and closes the solenoid valve 21, the solenoid valve 22, the solenoid valve 20 and the solenoid valve 24.Further, the compressor 2 and the respective blowers 15 and 27 are operated, and the air mix damper 28 has the state where the air blown out from the indoor blower 27 is passed through the radiator 4 and the heating medium-air heat exchanger 40.In consequence, the high-temperature high-pressure gas refrigerant discharged from the compressor 2 flows into the radiator 4.Through the radiator 4, the air in the air flow passage 3 is passed, and hence the air in the air flow passage 3 is heated by the high-temperature refrigerant in the radiator 4, whereas the refrigerant in the radiator 4 has the heat taken by the air and is cooled to condense and liquefy.", "The refrigerant flowing out from the radiator 4 flows through the refrigerant pipe 13E to reach the outdoor expansion valve 6, and flows through the outdoor expansion valve 6 controlled so that the valve tends to be open, to flow into the outdoor heat exchanger 7.The refrigerant flowing into the outdoor heat exchanger 7 is cooled by the running therein or the outdoor air passed by the outdoor blower 15, to condense.", "The refrigerant flowing out from the outdoor heat exchanger 7 flows from the refrigerant pipe 13A through the solenoid valve 17 to successively flow into the receiver drier portion 14 and the subcooling portion 16.Here, the refrigerant is subcooled.", "The refrigerant flowing out from the subcooling portion 16 of the outdoor heat exchanger 7 flows through the check valve 18 to enter the refrigerant pipe 13B, and flows through the internal heat exchanger 19 to reach the indoor expansion valve 8.The refrigerant is decompressed in the indoor expansion valve 8 and then flows into the heat absorber 9 to evaporate.", "The water in the air blown out from the indoor blower 27 coagulates to adhere to the heat absorber 9 by the heat absorbing operation at this time, and hence the air is cooled and dehumidified.", "The refrigerant evaporated in the heat absorber 9 flows through the evaporation capability control valve 11, the internal heat exchanger 19 and the refrigerant pipe 13C to reach the accumulator 12, and flows therethrough to be sucked into the compressor 2, thereby repeating this circulation.", "The air cooled and dehumidified in the heat absorber 9 is reheated in the process of passing the radiator 4 (a radiation capability is lower than that during the heating), and hence the dehumidifying and cooling in the vehicle interior are performed.", "The controller 32 controls the number of revolution of the compressor 2 on the basis of the temperature of the heat absorber 9 which is detected by the heat absorber temperature sensor 48, also controls the valve position of the outdoor expansion valve 6 on the basis of the abovementioned high pressure of the refrigerant circuit R, and controls a refrigerant pressure (a radiator pressure Pci) of the radiator 4.", "(5) Flow of Refrigerant of Cooling Mode Next, in the cooling mode, the controller 32 opens the solenoid valve 20 in the above state of the dehumidifying and cooling mode (in this case, the outdoor expansion valve 6 may have any valve position including a fully open position (the valve position is set to an upper limit of controlling)), and the air mix damper 28 has a state where the air is not passed through the radiator 4 and the heating medium-air heat exchanger 40.In consequence, the high-temperature high-pressure gas refrigerant discharged from the compressor 2 flows into the radiator 4.The air in the air flow passage 3 is not passed through the radiator 4, the refrigerant therefore only passes the radiator, and the refrigerant flowing out from the radiator 4 flows through the refrigerant pipe 13E to reach the solenoid valve 20 and the outdoor expansion valve 6.At this time, the solenoid valve 20 is opened and hence the refrigerant bypasses the outdoor expansion valve 6 to pass the bypass pipe 13J, and flows into the outdoor heat exchanger 7 as it is, in which the refrigerant is cooled by the running therein or the outdoor air passed through the outdoor blower 15, to condensate and liquefy.", "The refrigerant flowing out from the outdoor heat exchanger 7 flows from the refrigerant pipe 13A through the solenoid valve 17 to successively flow into the receiver drier portion 14 and the subcooling portion 16.Here, the refrigerant is subcooled.", "The refrigerant flowing out from the subcooling portion 16 of the outdoor heat exchanger 7 flows through the check valve 18 to enter the refrigerant pipe 13B, and flows through the internal heat exchanger 19 to reach the indoor expansion valve 8.The refrigerant is decompressed in the indoor expansion valve 8 and then flows into the heat absorber 9 to evaporate.", "The water in the air blown out from the indoor blower 27 coagulates to adhere to the heat absorber 9 by the heat absorbing operation at this time, so that the air is cooled.", "The refrigerant evaporated in the heat absorber 9 flows through the evaporation capability control valve 11, the internal heat exchanger 19 and the refrigerant pipe 13C to reach the accumulator 12, and flows therethrough to be sucked into the compressor 2, thereby repeating this circulation.", "The air cooled and dehumidified in the heat absorber 9 does not pass the radiator 4 but is blown out from the outlet 29 into the vehicle interior, and hence cooling in the vehicle interior is performed.", "In this cooling mode, the controller 32 controls the number of revolution of the compressor 2 on the basis of the temperature of the heat absorber 9 which is detected by the heat absorber temperature sensor 48.", "(6) Heating Mode During Vehicle Running and Auxiliary Heating by Heating Medium Circulating Circuit (Auxiliary Heating Means) in the Heating Mode Next, there will be described control of the compressor 2 and the outdoor expansion valve 6 in the heating mode during the running of the vehicle (the electric car), and the auxiliary heating by the heating medium circulating circuit 23 in the heating mode.", "(6-1) Control of Compressor and Outdoor Expansion Valve During Vehicle Running The controller 32 calculates a target outlet temperature TAO from Equation (I) mentioned below.", "The target outlet temperature TAO is a target value of a temperature of air blown out from the outlet 29 to the vehicle interior.", "TAO=(Tset−Tin)×K+Tbal(f(Tset,SUN,Tam)) (I), in which Tset is a predetermined temperature in the vehicle interior which is set by the air conditioning operating portion 53, Tin is a temperature of the air in the vehicle interior which is detected by the indoor air temperature sensor 37, K is a coefficient, and Tbal is a balance value calculated from the predetermined temperature Tset, a solar radiation amount SUN detected by the solar radiation sensor 51, and an outdoor air temperature Tam detected by the outdoor air temperature sensor 33.Further, in general, the lower the outdoor air temperature Tam is, the higher the target outlet temperature TAO becomes, and the higher the outdoor air temperature Tam is, the lower the target outlet temperature becomes.", "The controller 32 calculates a target radiator temperature TCO from the target outlet temperature TAO, and next calculates a target radiator pressure PCO on the basis of the target radiator temperature TCO.", "Further, on the basis of the target radiator pressure PCO and the refrigerant pressure (the radiator pressure) Pci of the radiator 4 which is detected by the radiator pressure sensor 47, the controller 32 calculates a number of revolution Nc of the compressor 2, and operates the compressor 2 at the number of revolution Nc.", "That is, the controller 32 controls the refrigerant pressure Pci of the radiator 4 in accordance with the number of revolution Nc of the compressor 2.Additionally, the controller 32 calculates a target radiator subcool degree TGSC of the radiator 4 on the basis of the target outlet temperature TAO.", "On the other hand, the controller 32 calculates the subcool degree (a radiator subcool degree SC) of the refrigerant in the radiator 4 on the basis of the radiator pressure Pci and a temperature (a radiator temperature Tci) of the radiator 4 which is detected by the radiator temperature sensor 46.Further, on the basis of the radiator subcool degree SC and the target radiator subcool degree TGSC, the controller calculates a target valve position (a target outdoor expansion valve position TGECCV) of the outdoor expansion valve 6.Further, the controller 32 controls the valve position of the outdoor expansion valve 6 into the target outdoor expansion valve position TGECCV.", "The controller 32 performs the calculation in such a direction as to raise the target radiator subcool degree TGSC, when the target outlet temperature TAO becomes higher, but the present invention is not limited to this embodiment, and the section may perform the calculation on the basis of an after-mentioned difference (a capability difference) between a required heating capability Qtgt and a heating capability Qhp, the radiator pressure Pci, or a difference (a pressure difference) between the target radiator pressure PCO and the radiator pressure Pci.", "In this case, the controller 32 lowers the target radiator subcool degree TGSC, when the capability difference becomes smaller, the pressure difference becomes smaller, an air volume of the indoor blower 27 becomes smaller or the radiator pressure Pci becomes smaller.", "(6-2) Control of Heating Medium Circulating Circuit During Vehicle Running Additionally, in a case where the controller 32 judges that the heating capability by the radiator 4 runs short in this heating mode, the controller energizes the heating medium heating electric heater 35 to generate heat, and operates the circulating pump 30, thereby executing the heating by the heating medium circulating circuit 23.When the circulating pump 30 of the heating medium circulating circuit 23 is operated and the heating medium heating electric heater 35 is energized, the heating medium (the high-temperature heating medium) heated by the heating medium heating electric heater 35 as described above is circulated through the heating medium-air heat exchanger 40, and hence, the air passed through the radiator 4 of the air flow passage 3 is heated.", "FIG.", "3 shows temperatures and the like of the respective components in the air flow passage 3 at this time.", "In this drawing, Ga is a mass air volume of the air flowing into the air flow passage 3, Te is a temperature of the heat absorber 9 which is detected by the heat absorber temperature sensor 48 (the temperature of the air flowing out from the heat absorber 9), Ga×SW is a value obtained by multiplying the mass air volume Ga by an opening of the air mix damper 28, THhp is a temperature of the air passed through the radiator 4 which is detected by the radiator temperature sensor 46 (an approximate radiator average temperature), TH is a temperature of the air passed through the heating medium-air heat exchanger 40 which is detected by the heating medium-air heat exchanger temperature sensor 55, and in the heating mode, a target value of the temperature of the air blown out from the heating medium-air heat exchanger 40 through the outlet 29 to the vehicle interior is the target radiator temperature TCO.", "It is to be noted that, when the heating medium circulating circuit 23 does not operate, TH=THhp.", "Next, control of the heating medium circulating circuit 23 in the heating mode during the running of the vehicle will be described.", "The controller 32 calculates the required heating capability Qtgt as the heating capability of the radiator 4 which is required, by use of Equation (II) mentioned below, and the controller predicts and calculates a maximum heating capability predicted value without frosting TGQhpNfst which is a target value of a maximum heating capability generable by the radiator 4 in a range in which the outdoor heat exchanger 7 is not frosted, i.e., a target value of the maximum heating capability generable by the radiator 4 without frosting the outdoor heat exchanger 7 in a case where a heat pump operation is performed to let the refrigerant radiate heat in the radiator 4 and evaporate the refrigerant in the outdoor heat exchanger 7 under an environment where the vehicle is placed at present, by use of Equation (III).", "Qtgt=(TCO−Te)×Cpa×ρ×Qair (II) TGQhpNfst=f(Tam) (III), in which Tam is the abovementioned outdoor air temperature detected by the outdoor air temperature sensor 33, Te is the temperature of the heat absorber 9 which is detected by the heat absorber temperature sensor 48, Cpa is specific heat [kj/kg·K] of the air flowing into the radiator 4, p is a density (a specific volume) [kg/m3] of the air flowing into the radiator 4, and Qair is a volume [m3/h] of the air passing the radiator 4 (estimated from a blower voltage BLV of the indoor blower 27 or the like).", "It is to be noted that in Equation (II), the temperature of the air flowing into the radiator 4 or the temperature of the air flowing out from the radiator 4 may be employed in place of or in addition to TCO or Te.", "Additionally, in Equation (III), the maximum heating capability predicted value without frosting TGQhpNfst may be corrected with reference to respective environmental conditions or external information such as time, the solar radiation amount detected by the solar radiation sensor 51, a rainfall, a location and a meteorological phenomenon, in addition to the outdoor air temperature Tam.", "FIG.", "6 shows a relation between the maximum heating capability predicted value without frosting TGQhpNfst and the outdoor air temperature (a tendency of a change of the maximum heating capability predicted value without frosting).", "The maximum heating capability Qhp generable by the radiator 4 increases in proportion to a rise of the outdoor air temperature Tam.", "Further, when the outdoor air temperature at which the outdoor heat exchanger 7 is not frosted is about +5° C. and when the vehicle air conditioner is operated with the maximum heating capability Qhp as it is at +5° C. or less, the outdoor heat exchanger 7 is frosted, and hence, as shown by a broken line in FIG.", "6, there is a tendency that the maximum heating capability predicted value without frosting TGQhpNfst decreases at a larger angle than the maximum heating capability Qhp, with drop of the outdoor air temperature.", "The controller 32 calculates the maximum heating capability predicted value without frosting TGQhpNfst in accordance with Equation (III), and then calculates a target heating capability TGQHTR of the heating medium circulating circuit 23.The target heating capability TGQHTR of the heating medium circulating circuit 23 is calculated in accordance with Equation (IV) mentioned below.", "TGQHTR=Qtgt−TGQhpNfst (IV) That is, the shortage of the maximum heating capability predicted value without frosting TGQhpNfst from the required heating capability Qtgt is defined as the target heating capability TGQHTR of the heating medium circulating circuit 23.Next, the controller 32 compares the maximum heating capability predicted value without frosting TGQhpNfst with the required heating capability Qtgt, and in a case where the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the required heating capability Qtgt (TGQhpNfst<Qtgt), the controller defines a target heating capability Qhpr of the radiator 4 as the maximum heating capability predicted value without frosting TGQhpNfst (Qhpr=TGQhpNfst), and the controller operates the compressor 2 and the other devices of the refrigerant circuit R so that the radiator 4 generates the maximum heating capability predicted value without frosting TGQhpNfst.", "Furthermore, on the basis of outputs of the heating medium heating electric heater temperature sensor 50 and the heating medium-air heat exchanger temperature sensor 55, the controller 32 controls the energization to the heating medium heating electric heater 35 and the operation of the circulating pump 30 so that the target heating capability TGQHTR of the heating medium circulating circuit 23=the required heating capability Qtgt−the target heating capability Qhpr of the radiator 4 (the target heating capability Qhpr=the maximum heating capability predicted value without frosting TGQhpNfst).", "That is, the controller 32 complements the shortage of the maximum heating capability predicted value without frosting TGQhpNfst to the required heating capability Qtgt with the heating by the heating medium-air heat exchanger 40 of the heating medium circulating circuit 23.In consequence, comfortable heating of the vehicle interior can be achieved, and the frosting to the outdoor heat exchanger 7 can be prevented.", "On the other hand, for example, when the outdoor air temperature is comparatively high and the maximum heating capability predicted value without frosting TGQhpNfst is the required heating capability Qtgt or more (Qtgt≤TGQhpNfst), the controller 32 stops the heating by the heating medium circulating circuit 23 (stops the circulating pump 30 and does not energize the heating medium heating electric heater 35 to stop HTR: TGQHTR=0), and operates the compressor 2 and the other devices of the refrigerant circuit R so that the radiator 4 generates the required heating capability Qtgt (Qhpr=Qtgt).", "In consequence, unnecessary heating by the heating medium circulating circuit 23 is avoided to prevent increase of power consumption.", "(7) Preliminary Air Conditioning During Plug-in (Heating Mode) Next, there will be described control of the heating medium circulating circuit 23 and the refrigerant circuit R when the above heating mode is defined during the plug-in of the vehicle (the electric car) and the vehicle interior is preliminarily air-conditioned (heated), with reference to FIG.", "4 and FIG.", "5.The controller 32 can execute the heating mode also during the plug-in in which the vehicle is connected to the external power source and the battery is charged.", "In this case, in step S1 of FIG.", "4, the controller 32 judges whether or not the vehicle is plugged in at present and whether or not there is a heating required by a user (an input operation to start the heating mode).", "First, in a case where the vehicle is not plugged in or a case where there is no heating required, the controller advances from the step S1 to step S13 to judge whether or not the outdoor heat exchanger 7 is frosted, and in a case where the outdoor heat exchanger is not frosted, the controller stops the operation of the vehicle air conditioner 1 in step S14.Additionally, in a case where the outdoor heat exchanger 7 is frosted, the controller advances to step S15, thereby shifting to the defrosting mode to execute a defrosting operation of the outdoor heat exchanger 7.It is to be noted that the frosting judgment of the outdoor heat exchanger 7 in the step S13 and the defrosting mode in the step S15 will be described later in detail.", "On the other hand, in a case where the vehicle is plugged in at present and there is the heating required by the user, the controller 32 advances from the step S1 to step S2, reads data from each sensor, and judges whether or not the outdoor heat exchanger 7 is frosted, in step S3 in the same manner as in the step S13.During running before the vehicle is plugged in, water in the outdoor air adheres as frost to the outdoor heat exchanger 7 in the heating mode.", "When this frost grows, heat exchange between the outdoor heat exchanger 7 and the outdoor air to be passed is remarkably obstructed, and an air conditioning performance deteriorates.", "(7-1) Frosting Judgment of Outdoor Heat Exchanger In the step S3 (similarly to the step S13), the controller 32 judges (estimates) a frosting state to the outdoor heat exchanger 7 by frosting estimation means as its function.", "Next, a judgment example of the frosting state of the outdoor heat exchanger 7 will be described.", "The controller 32 judges the frosting state of the outdoor heat exchanger 7 on the basis of a present refrigerant evaporation temperature TXO of the outdoor heat exchanger 7 which can be obtained from the outdoor heat exchanger pressure sensor 56 and a refrigerant evaporation temperature TXObase of the outdoor heat exchanger 7 in non-frosting when the outdoor air has a low humidity environment and the outdoor heat exchanger 7 is not frosted.", "In this case, the controller 32 determines the refrigerant evaporation temperature TXObase of the outdoor heat exchanger 7 in the non-frosting by use of Equation (V) mentioned below.", "TXObase =  f  ( Tam , NC , BLV , VSP ) =  k   1 × Tam + k   2 × NC + k   3 × BLV + k   4 × VSP , ( V ) in which Tam which is a parameter of Equation (V) is the above outdoor air temperature which can be obtained from the outdoor air temperature sensor 33, NC is the number of revolution of the compressor 2, BLV is the blower voltage of the indoor blower 27, VSP is a velocity which can be obtained from the velocity sensor 52, and k1 to k4 are coefficients which are beforehand obtained by experiments.", "The outdoor air temperature Tam is an index indicating a suction air temperature of the outdoor heat exchanger 7, and when the outdoor air temperature Tam (the suction air temperature of the outdoor heat exchanger 7) becomes lower, TXObase tends to be lower.", "Therefore, the coefficient k1 is a positive value.", "It is to be noted that the index indicating the suction air temperature of the outdoor heat exchanger 7 is not limited to the outdoor air temperature Tam.", "Additionally, the number of revolution NC of the compressor 2 is an index indicating a refrigerant flow rate in the refrigerant circuit R, and when the number of revolution NC is higher (the refrigerant flow rate is larger), TXObase tends to be lower.", "Therefore, the coefficient k2 is a negative value.", "Additionally, the blower voltage BLV is an index indicating the volume of the air to be passed through the radiator 4, and when the blower voltage BLV is higher (the volume of the air to be passed through the radiator 4 is larger), TXObase tends to be lower.", "Therefore, the coefficient k3 is a negative value.", "It is to be noted that the index indicating the volume of the air to be passed through the radiator 4 is not limited to this index, and may be a blower air volume of the indoor blower 27 or an opening SW of the air mix damper 28.Additionally, the velocity VSP is an index indicating the velocity of the air to be passed through the outdoor heat exchanger 7, and when the velocity VSP is lower (the velocity of the air to be passed through the outdoor heat exchanger 7 is lower), TXObase tends to be lower.", "Therefore, the coefficient k4 is a positive value.", "It is to be noted that during the plug-in, the velocity VSP is 0, and hence, in this case, an outdoor fan voltage FANVout of the outdoor blower 15 is substituted as the index indicating the velocity of the air to be passed through the outdoor heat exchanger 7.Additionally, in the embodiment, as the parameters of Equation (V), the outdoor air temperature Tam, the number of revolution NC of the compressor 2, the blower voltage BLV of the indoor blower 27 and the velocity VSP are used, but a load of the vehicle air conditioner 1 may be added as another parameter to these parameters.", "It is considered that indexes indicating this load are the target outlet temperature TAO, the number of revolution NC of the compressor 2, the blower air volume of the indoor blower 27, an inlet air temperature of the radiator 4 and the radiator temperature Tci of the radiator 4, and when the load is larger, TXObase tends to be lower.", "Furthermore, aging deterioration (the number of years of operation or the number of times of operation) of the vehicle may be added to the parameters.", "Additionally, the parameters of Equation (V) are not limited to all of the above parameters, and one of the parameters or any combination thereof may be used.", "Next, the controller 32 calculates a difference ΔTXO (ΔTXO=TXObase−TXO) between the refrigerant evaporation temperature TXObase in non-frosting which can be obtained by substituting a present value of each parameter into Equation (V) and the present refrigerant evaporation temperature TXO, and the controller judges that the outdoor heat exchanger 7 is frosted, when there continues for, e.g., predetermined frosting state estimation time, a state where the refrigerant evaporation temperature TXO is lower than the refrigerant evaporation temperature TXObase in non-frosting and the difference ΔTXO is larger than a predetermined frosting detection threshold value ΔT1 (ΔTXO>ΔT1).", "(7-2) Defrosting Mode of Outdoor Heat Exchanger In a case where the outdoor heat exchanger 7 is frosted in the step S3 (similarly to the step S13), the controller advances to step S10 (similarly to the step S15) to execute the defrosting mode.", "In the defrosting mode of the step S10 (the step S15), the controller 32 opens the solenoid valve 24 and the solenoid valve 21, and closes the solenoid valve 22 and the solenoid valve 17.Further, the defrosting operation is performed to operate the compressor 2 by the power from the external power source or the power from the battery charged by the external power source.", "In consequence, the high-temperature high-pressure gas refrigerant (a hot gas) discharged from the compressor 2 flows through the solenoid valve 24 and the refrigerant pipe 13H, and directly flows into the outdoor heat exchanger 7 from the refrigerant pipe 13I through the check valve 45.Consequently, the outdoor heat exchanger 7 is heated, and hence, the frost is molten and removed.", "The refrigerant flowing out from the outdoor heat exchanger 7 flows from the refrigerant pipe 13A through the solenoid valve 21 to enter the refrigerant pipe 13D, and flows through the refrigerant pipe 13B to be sucked into the compressor 2.Further, in a case where predetermined time elapses from the start of the defrosting mode, the controller 32 ends the defrosting mode to return to the step S1, and resets to the heating mode.", "(7-3) Frosting Prediction of Outdoor Heat Exchanger On the other hand, in a case where it is judged in the step S3 that the difference ΔTXO is the frosting detection threshold value ΔT1 or less (ΔTXO≤ΔT1) and that the outdoor heat exchanger 7 is not frosted, the controller 32 advances to step S4 to judge whether or not it is predicted that the outdoor heat exchanger 7 is frosted this time.", "FIG.", "5 shows one example of a flowchart of the frosting prediction in the step S4.First in step S16 of FIG.", "5, the controller 32 calculates the maximum heating capability predicted value without frosting TGQhpNfst by the calculation of Equation (III) mentioned above.", "Next, the controller calculates the required heating capability Qtgt by (II) mentioned above, and in step S17, the controller judges whether or not the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the required heating capability Qtgt−α1 (TGQhpNfst<(Qtgt−α1)).", "This α1 is a value to impart a margin to the frosting, and Qtgt−α1 is a value smaller than the required heating capability Qtgt but close thereto.", "It is to be noted that in a case where the margin is not required, α1=0 is defined and the judgment in the step S17 may be performed with TGQhpNfst<Qtgt.", "Further, in a case where the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the close value (Qtgt−α1) to the required heating capability in this embodiment, the controller advances to step S18 to predict that the outdoor heat exchanger 7 is frosted, when the heating is performed in the radiator 4 by the operation of the compressor 2.It is to be noted that in the case of TGQhpNfst (Qtgt−α1), the controller advances to step S19 to predict that the outdoor heat exchanger is not frosted.", "Returning to FIG.", "4, in a case where the controller 32 predicts in the step S18 that the outdoor heat exchanger is frosted, the controller advances from the step S4 to step S5 to calculate the required heating capability Qtgt by Equation (II) mentioned above again, and takes in a heating medium circulating circuit maximum heating capability QmaxHTR which is the maximum heating capability generable by the heating medium circulating circuit 23 in step S6.It is to be noted that the heating medium circulating circuit maximum heating capability QmaxHTR is beforehand set in the controller 32.Next, in step S7, the controller 32 compares the required heating capability Qtgt with the heating medium circulating circuit maximum heating capability QmaxHTR.", "For example, in a case where the heating medium circulating circuit maximum heating capability QmaxHTR runs short to the required heating capability Qtgt in a cold season or the like (Qtgt>QmaxHTR), the controller advances to step S8 to calculate the target heating capability Qhpr of the radiator 4 which is a required heating capability for the radiator 4 by Equation (VI) mentioned below.", "Qhpr=Qtgt−QmaxHTR (VI).", "That is, Equation (VI) obtains the shortage of the heating medium circulating circuit maximum heating capability QmaxHTR to the required heating capability Qtgt as the target heating capability Qhpr of the radiator 4.Further, the controller 32 performs the heating of the vehicle interior by generated heat from the heating medium-air heat exchanger 40 of the heating medium circulating circuit 23 and the radiator 4 in step S9.In this case, the controller controls the energization to the heating medium heating electric heater 35 and the operation of the circulating pump 30 so that the target heating capability of the heating medium circulating circuit 23 is TGQHTR=the heating medium circulating circuit maximum heating capability QmaxHTR, and the controller also operates the compressor 2 and the other devices of the refrigerant circuit R so that the radiator 4 generates the target heating capability Qhpr (Qtgt−QmaxHTR).", "That is, the controller 32 complements the shortage of the heating medium circulating circuit maximum heating capability QmaxHTR to the required heating capability Qtgt with the heating by the radiator 4 of the refrigerant circuit R. Consequently, preliminary air conditioning (the heating) of the vehicle interior is securely achieved.", "Additionally, at this time, the controller 32 limits the number of revolution of the compressor 2 to operate the compressor so that the refrigerant evaporation temperature TXO of the outdoor heat exchanger 7 is lower than the outdoor air temperature Tam and so that a difference therebetween is within a predetermined value A (a positive value) ((Tam−TXO)≤A).", "That is, when the refrigerant evaporation temperature TXO of the outdoor heat exchanger 7 is not excessively lower than the outdoor air temperature Tam and the heating by the heating medium circulating circuit 23 is complemented with the heating by the radiator 4, the frosting to the outdoor heat exchanger 7 is prevented.", "It is to be noted that in a case where the required heating capability Qtgt cannot be satisfied due to this limit to the number of revolution of the compressor 2, an operation of lengthening preliminary air conditioning (heating) time to raise the temperature of the vehicle interior may be performed.", "On the other hand, in a case where the heating medium circulating circuit maximum heating capability QmaxHTR satisfies the required heating capability Qtgt (Qtgt QmaxHTR) in the step S7, the controller advances to step S11, only performs the heating by the heating medium circulating circuit 23, and stops the compressor 2 of the refrigerant circuit R. In this case, the controller 32 defines the target heating capability TGQHTR of the heating medium circulating circuit 23 as the required heating capability Qtgt as in Equation (VII) mentioned below to control the energization to the heating medium heating electric heater 35 and the operation of the circulating pump 30.TGQHTR=Qtgt (VII) Additionally, in a case where it is predicted in the step S19 of FIG.", "5 that the outdoor heat exchanger is not frosted, the controller 32 advances from the step S4 of FIG.", "4 to step S12 to define the target heating capability Qhpr of the radiator 4=the required heating capability Qtgt, thereby operating the compressor 2, and executes the heating of the vehicle interior with a usual heat pump operation by the radiator 4.In this case, the heating by the heating medium circulating circuit 23 is stopped (the heating medium heating electric heater 35 and the circulating pump 30 are not energized).", "As described above, when the heating mode is executed in the so-called plug-in state where the power is supplied from the external power source to the compressor 2 or to the battery which supplies the power to drive the compressor 2, the controller 32 executes the heating by the heating medium circulating circuit 23, in a case where the frosting to the outdoor heat exchanger 7 is predicted.", "Therefore, when the vehicle interior is beforehand heated (preliminary air conditioning) during the plug-in, the heating medium circulating circuit 23 performs the vehicle interior heating while preventing or inhibiting the frosting to the outdoor heat exchanger 7, and it is possible to decrease loads during the subsequent running.", "In consequence, it is possible to extend a running distance of the vehicle (the electric car or the hybrid car) while maintaining the vehicle interior after the start of the running at a comfortable temperature.", "In this case, the controller 32 executes the heating by the radiator 4 in a case where the heating capability by the heating medium circulating circuit 23 runs short to the required heating capability Qtgt, so that it is possible to achieve so-called preliminary air conditioning (the heating) in a cold season or at night without hindrance.", "Additionally, in a case where the heating medium circulating circuit maximum heating capability QmaxHTR by the heating medium circulating circuit 23 satisfies the required heating capability Qtgt, the compressor 2 is not operated, and hence, the frosting to the outdoor heat exchanger 7 can securely be prevented.", "Furthermore, the controller 32 compares the required heating capability Qtgt with the heating medium circulating circuit maximum heating capability QmaxHTR generable by the heating medium circulating circuit 23, and complements the shortage of the heating medium circulating circuit maximum heating capability QmaxHTR from the required heating capability Qtgt by the heating (Qhpr) of the radiator 4, so that it is possible to precisely achieve the complementing of the heating capability by the radiator 4.Also in this case, the controller 32 controls the number of revolution of the compressor 2 so that the refrigerant evaporation temperature TXO in the outdoor heat exchanger 7 is lower than the outdoor air temperature Tam and a difference between the temperatures is within the predetermined value A, so that the frosting to the outdoor heat exchanger 7 can effectively be prevented or inhibited.", "Additionally, the controller 32 executes the heating by the radiator 4 without performing the heating by the heating medium circulating circuit 23, in a case where it is predicted that the outdoor heat exchanger 7 is not frosted, so that saving of the power consumption for the heating during the plug-in can be achieved by the heat pump operation without hindrance.", "Further, the controller 32 calculates the maximum heating capability predicted value without frosting TGQhpNfst which is the target value of the maximum heating capability generable by the radiator 4 in the range in which the outdoor heat exchanger 7 is not frosted, and the controller predicts that the outdoor heat exchanger 7 is frosted in the case where the maximum heating capability predicted value without frosting TGQhpNfst is smaller than the value close to the required heating capability Qtgt (or the required heating capability Qtgt), so that also in a case where a so-called frost point at which the outdoor heat exchanger 7 is frosted cannot be detected or a case where the frost point is not detected, it is possible to effectively prevent or inhibit the frosting to the outdoor heat exchanger 7 during the plug-in.", "In this case, the controller 32 calculates the maximum heating capability predicted value without frosting TGQhpNfst on the basis of the outdoor air temperature Tam, or time, solar radiation, a rainfall, a location and weather conditions in addition to the outdoor air temperature.", "In consequence, the maximum heating capability predicted value without frosting TGQhpNfst at which the outdoor heat exchanger 7 is not frosted can precisely be estimated.", "That is, as a result, the frost point can precisely be estimated, thereby making it possible to further effectively prevent or inhibit the frosting to the outdoor heat exchanger 7 during the plug-in.", "Additionally, in the embodiment, the auxiliary heating means is constituted of the heating medium circulating circuit 23 which has the heating medium-air heat exchanger 40 to heat the air to be supplied from the air flow passage to the vehicle interior, the heating medium heating electric heater 35, and the circulating pump 30 and which circulates the heating medium heated by the heating medium heating electric heater 35 through the heating medium-air heat exchanger 40 by the circulating pump 30, thereby making it possible to achieve electrically safer vehicle interior heating.", "Embodiment 2 Next, FIG.", "7 shows a flowchart of another embodiment of frosting prediction in the step S4 of FIG.", "4 which is shown in FIG.", "5.It is to be noted that another control is the same as in FIG.", "1 to FIG.", "6.", "(7-4) Another Example of Frosting Prediction of Outdoor Heat Exchanger In this case, first in step S20 of FIG.", "7, a controller 32 predicts and calculates a required refrigerant evaporation temperature in non-frosting TXObaseQtgt which is a refrigerant evaporation temperature of an outdoor heat exchanger 7 to achieve a required heating capability Qtgt in non-frosting of the outdoor heat exchanger 7, i.e., when the outdoor heat exchanger 7 is not frosted, by use of Equation (VIII) mentioned below.", "TXObaseQtgt=f(Tam,Qtgt) (VIII), in which Tam is the abovementioned outdoor air temperature detected by an outdoor air temperature sensor 33.Additionally, in this embodiment, the controller 32 calculates a frost point Tfrost as a temperature of outdoor air around the outdoor heat exchanger 7 at which the outdoor heat exchanger 7 is frosted (a temperature at which a steam pressure in the outdoor air equals a saturated steam pressure of ice) from the outdoor air temperature Tam of a vehicle which is detected by the outdoor air temperature sensor 33 and an outdoor air humidity of the vehicle which is detected by an outdoor air humidity sensor 34.A calculating method of the frost point Tfrost is usual, and hence, the description thereof is omitted.", "Further, it is judged in step S21 whether or not the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is smaller than the frost point Tfrost+α2 (TXObaseQtgt<(Tfrost+α2)).", "This α2 is a value to impart a margin to the frosting, and Tfrost+α2 is a value higher than or close to the frost point Tfrost.", "It is to be noted that in a case where the margin is not required, α2=0 may be defined to judge TXObaseQtgt<Tfrost in the step S21.Further, in this embodiment, in a case where the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is smaller than the value (Tfrost+α2) close to the frost point, the controller advances to step S22 to predict that the outdoor heat exchanger 7 is frosted when heating is performed in a radiator 4 by an operation of a compressor 2.It is to be noted that in the case of TXObaseQtgt (Tfrost+α2), the controller advances to step S23 to predict that the outdoor heat exchanger is not frosted.", "In this way, the controller 32 calculates the required refrigerant evaporation temperature in non-frosting TXObaseQtgt which is the refrigerant evaporation temperature of the outdoor heat exchanger when the required heating capability Qtgt is achieved, and predicts that the outdoor heat exchanger 7 is frosted in a case where the required refrigerant evaporation temperature in non-frosting TXObaseQtgt is lower than the frost point Tfrost or a temperature close to the frost point Tfrost.", "In consequence, on the basis of the frost point Tfrost at which the outdoor heat exchanger 7 is frosted, it is possible to effectively prevent or inhibit the frosting to the outdoor heat exchanger 7 during plug-in.", "In this case, the controller 32 calculates the required refrigerant evaporation temperature in non-frosting TXObaseQtgt on the basis of the outdoor air temperature Tam and the required heating capability Qtgt, and hence, it is possible to precisely estimate the required refrigerant evaporation temperature in non-frosting TXObaseQtgt to achieve the required heating capability Qtgt when the outdoor heat exchanger 7 is not frosted, and it is possible to further effectively prevent or inhibit the frosting to the outdoor heat exchanger 7 during the plug-in.", "Embodiment 3 Next, FIG.", "8 shows another constitutional view of the vehicle air conditioner 1 of the present invention.", "In this embodiment, in an outdoor heat exchanger 7, a receiver drier portion 14 and a subcooling portion 16 are not disposed, and a refrigerant pipe 13A extended out from the outdoor heat exchanger 7 is connected to a refrigerant pipe 13B via a solenoid valve 17 and a check valve 18.In addition, a refrigerant pipe 13D branched from the refrigerant pipe 13A is similarly connected to a refrigerant pipe 13C on a downstream side of an internal heat exchanger 19 via a solenoid valve 21.The other constitution is similar to the example of FIG.", "1.The present invention is also effective in the vehicle air conditioner 1 of a refrigerant circuit R in which the outdoor heat exchanger 7 which does not have the receiver drier portion 14 and the subcooling portion 16 is employed in this manner.", "Embodiment 4 Next, FIG.", "9 shows still another constitutional view of the vehicle air conditioner 1 of the present invention.", "It is to be noted that a refrigerant circuit R of this embodiment is similar to FIG.", "8.Additionally, in this case, a heating medium-air heat exchanger 40 of a heating medium circulating circuit 23 is disposed on an upstream side of a radiator 4 to flow of air of an air flow passage 3, which is a downstream side of an air mix damper 28.The other constitution is similar to FIG.", "8.In this case, the heating medium-air heat exchanger 40 is positioned on the upstream side of the radiator 4 in the air flow passage 3, and hence during an operation of the heating medium circulating circuit 23, the air is heated by the heating medium-air heat exchanger 40, and then flows into the radiator 4.The present invention is also effective in the vehicle air conditioner 1 in which the heating medium-air heat exchanger 40 is disposed on the upstream side of the radiator 4 in this manner, and especially in this case, any problems do not occur due to a low temperature of a heating medium in the heating medium circulating circuit 23.In consequence, coordinated heating with the radiator 4 is facilitated, and a so-called preliminary operation to heat the heating medium in advance is not required, but the air passed through the heating medium-air heat exchanger 40 flows into the radiator 4, and hence a temperature difference from the radiator 4 decreases, which causes the danger that a heat exchange efficiency deteriorates.", "On the other hand, when the heating medium-air heat exchanger 40 is disposed on the downstream side of the radiator 4 to the flow of the air of the air flow passage 3 as shown in FIG.", "1 and FIG.", "8, the air heated by the heating medium-air heat exchanger 40 does not flow into the radiator 4, and the temperature difference between the temperature of the radiator 4 and that of the air can be acquired to prevent deterioration of a heat exchange performance in the radiator 4, as compared with the case that the heating medium-air heat exchanger 40 is disposed on the upstream side of the radiator as shown in FIG.", "9.Embodiment 5 Next, FIG.", "10 shows a further constitutional view of the vehicle air conditioner 1 of the present invention.", "Basic constitutions of a refrigerant circuit R and a heating medium circulating circuit 23 of this embodiment are similar to those of FIG.", "1, but in the heating medium circulating circuit 23, a heating medium-refrigerant heat exchanger 70 is disposed.", "The heating medium-refrigerant heat exchanger 70 performs heat exchange between a heating medium pipe 23A extended out from a circulating pump 30 and a refrigerant pipe 13E extended out from a radiator 4 of the refrigerant circuit R, and in the heating medium-refrigerant heat exchanger 70, a heating medium discharged from the circulating pump 30 is subjected to a heating operation from a refrigerant flowing out from the radiator 4.In consequence, heat can be collected from the refrigerant passed through the radiator 4 by the heating medium circulating through the heating medium circulating circuit 23.Thus, in the heating medium circulating circuit 23, there is disposed the heating medium-refrigerant heat exchanger 70 which collects heat from the refrigerant passed through the radiator 4, and hence the heat which the refrigerant passed through the radiator 4 has is collected by the heating medium flowing in the heating medium circulating circuit 23 and conveyed to a heating medium-air heat exchanger 40, so that it is possible to more efficiently support the heating.", "Embodiment 6 Next, FIG.", "11 shows a further constitutional view of the vehicle air conditioner 1 of the present invention.", "A refrigerant circuit R and a heating medium circulating circuit 23 of this embodiment are similar to those of FIG.", "10, but a heating medium-air heat exchanger 40 of the heating medium circulating circuit 23 is disposed on an upstream side of a radiator 4 and a downstream side of an air mix damper 28 to flow of air of an air flow passage 3.Also according to such a constitution, heat which a refrigerant flowing out from the radiator 4 has is collected by a heating medium flowing in the heating medium circulating circuit 23 in a heating medium-refrigerant heat exchanger 70, and conveyed to the heating medium-air heat exchanger 40, so that it is possible to more efficiently support the heating.", "Embodiment 7 Next, FIG.", "12 shows a still further constitutional view of the vehicle air conditioner 1 of the present invention.", "Pipe constitutions of a refrigerant circuit R and a heating medium circulating circuit 23 of this embodiment are basically similar to those of FIG.", "1, but a radiator 4 is not disposed in an air flow passage 3, and is disposed outside the air flow passage.", "Instead, a heating medium-refrigerant heat exchanger 74 in this case is disposed in a heat exchange relation in the radiator 4.The heating medium-refrigerant heat exchanger 74 is connected to a heating medium pipe 23A between a circulating pump 30 of the heating medium circulating circuit 23 and a heating medium heating electric heater 35, and the heating medium-air heat exchanger 40 of the heating medium circulating circuit 23 is disposed in the air flow passage 3.According to such a constitution, there is performed heat exchange between a heating medium discharged from the circulating pump 30 and a refrigerant flowing through the radiator 4, and the heating medium is heated by the refrigerant, next heated by the heating medium heating electric heater 35 (in a case where the heater is energized to generate heat), and then radiates heat in a heating medium-air heat exchanger 40, thereby heating air to be supplied from the air flow passage 3 into a vehicle interior.", "Also in the vehicle air conditioner 1 of such a constitution, when a heating capability by the radiator 4 runs short, the heating medium heating electric heater 35 is energized to heat the heating medium flowing in the heating medium pipe 23A, so that it is possible to support the heating and achieve electrically safer heating in the vehicle interior, as compared with a case where the electric heater is disposed in the air flow passage 3 as described later.", "Embodiment 8 It is to be noted that, in the above respective embodiments, a heating medium circulating circuit 23 is employed as auxiliary heating means, but the auxiliary heating means may be constituted of a usual electric heater (e.g., a PTC heater) 73.FIG.", "13 shows a constitutional example corresponding to FIG.", "1 in this case, and FIG.", "14 shows a constitutional example corresponding to FIG.", "8.In FIG.", "13 and FIG.", "14, the heating medium circulating circuit 23 of FIG.", "1 and FIG.", "8 is replaced with the electric heater 73 in this case.", "The other constitution and control are basically similar, and a controller 32 controls energization of the electric heater 73 in place of the circulating pump 30 and the heating medium heating electric heater 35 of the heating medium circulating circuit 23, to complement a heating capability of a radiator 4 by heat generated by the electric heater in the same manner as described above, so that detailed descriptions are omitted.", "Thus, air to be supplied into a vehicle interior may be heated by the electric heater 73, and such a constitution is advantageously simplified as compared with the case that the heating medium circulating circuit 23 is used.", "Needless to say, the electric heater 73 may be disposed on an air upstream side of a radiator 4 of FIG.", "13 or FIG.", "14 as in the case of FIG.", "9, and in this case, there is the effect that it is possible to eliminate the disadvantage that the temperature of the air to be supplied to the vehicle interior lowers in an initial stage of start of energization to the electric heater 73.It is to be noted that in the embodiments, the controller 32 as the frosting estimating means of the outdoor heat exchanger 7 estimates the frosting to the outdoor heat exchanger 7 on the basis of the frost point Tfrost and the refrigerant evaporation temperature TXO of the outdoor heat exchanger 7 (the step S4 of FIG.", "4), but the invention is not limited to the embodiments, and the estimating may be performed by a procedure similar to that of the case of Tfrost and TXO, on the basis of the refrigerant evaporation temperature TXO (or the refrigerant evaporation pressure PXO) of the outdoor heat exchanger 7 and, for example, the refrigerant evaporation temperature TXObase of the outdoor heat exchanger 7 in non-frosting (or the refrigerant evaporation pressure PXObase).", "In addition, for example, an actual heating capability which is the actual heating capability of the radiator 4 is compared with a heating capability in non-frosting which is the heating capability of the radiator 4 when the outdoor heat exchanger 7 is not frosted, and it may be estimated that the outdoor heat exchanger 7 is frosted, when the actual heating capability is lower than the heating capability in non-frosting.", "Additionally, in the embodiments, the present invention is applied to the vehicle air conditioner 1 which changes and executes the respective operation modes of the heating mode, the dehumidifying and heating mode, the dehumidifying and cooling mode and the cooling mode, but the present invention is not limited to the embodiments, and the present invention is also effective for a vehicle air conditioner which only performs the heating mode.", "Furthermore, the constitution or each numeric value of the refrigerant circuit R described in the above respective embodiments are not limited to the embodiments, and needless to say, they can be changed without departing from the gist of the present invention.", "DESCRIPTION OF REFERENCE NUMERALS 1 vehicle air conditioner 2 compressor 3 air flow passage 4 radiator 6 outdoor expansion valve 7 outdoor heat exchanger 8 indoor expansion valve 9 heat absorber 11 evaporation capability control valve 17, 20, 21, 22 and 24 solenoid valve 23 heating medium circulating circuit (auxiliary heating means) 26 suction changing damper 27 indoor blower (blower fan) 28 air mix damper 30 circulating pump (circulating means) 32 controller (control means) 35 heating medium heating electric heater (electric heater) 40 heating medium-air heat exchanger R refrigerant circuit" ] ]
Patent_15875674
[ [ "COMMUNICATION SYSTEM, COMMUNICATION APPARATUS AND COMMUNICATION METHOD AS WELL AS PROGRAM", "A communication system, a communication apparatus, a communication method, and a program for acquiring the advantage of a plurality of communication protocols is provided.", "A random number and a first communication information including first identification information of a communication apparatus is sent to another communication apparatus by using near field communication.", "Second communication information is received by the communication apparatus from the other communication apparatus by using near field communication.", "The second communication information includes second identification information of the other communication apparatus necessary to a second communication.", "The second communication is performed between the communication apparatus and the other communication apparatus by using the second communication information received by using the near field communication." ], [ "1.A communication apparatus comprising: a carrier wave generator configured to generate a first electromagnetic wave using an antenna; a modulator configured to modulate the first electromagnetic wave for transmitting a first message to an external communication device; a load modulator configured to modulate a second electromagnetic wave generated by the external communication device for transmitting a second message to the external communication device; and a controller configured to cause the communication apparatus to: transmit the first message when the second electromagnetic wave is not detected and, transmit the second message when the second electromagnetic wave is detected, wherein the first message is a first inquiry for identification information of the external communication device, wherein the communication apparatus is configured to receive a first response in response to the first inquiry.", "2.The communication apparatus according to claim 1, wherein the second message includes a second response associated with a second inquiry for identification information of the communication apparatus.", "3.The communication apparatus according to claim 1, wherein as a result of the communication apparatus transmitting the first message or the second message, mutual authentication is executed between the communication apparatus and the external communication device.", "4.The communication apparatus according to claim 1, wherein the controller is configured to control the carrier wave generator not to generate the first electromagnetic wave when the second electromagnetic wave is detected.", "5.The communication apparatus according to claim 1, wherein the second message includes a second response associated with a second inquiry for identification information of the communication apparatus, and wherein the communication apparatus is configured to receive the second inquiry from the external communication device.", "6.The communication apparatus according to claim 5, wherein the first response includes a first random number and the second response includes a second random number.", "7.The communication apparatus according to claim 1, wherein the communication apparatus is configured to perform a near field communication with the external communication device when the external communication device is near the communication apparatus.", "8.The communication apparatus according to claim 1, wherein a transmission rate of the communication apparatus is lower than the external communication device.", "9.The communication apparatus according to claim 1, wherein the communication apparatus is further configured to receive protocol information indicative of a communication protocol which is available by the external communication device.", "10.The communication apparatus according to claim 1, wherein the controller is configured to cause the communication apparatus to transmit a third message when the second electromagnetic wave is not detected and receive a third response to the third message from the external communication device.", "11.The communication apparatus according to claim 10, wherein the third response is transmitted from the external communication device.", "12.The communication apparatus according to claim 1, wherein the controller is configured to cause the communication apparatus to transmit a third message when the second electromagnetic wave is not detected and the third message is a third inquiry for available protocol information indicative of a communication protocol which is available by the external communication device.", "13.A communication method for a communication apparatus, the communication method comprising: generating a first electromagnetic wave by a carrier wave generator using an antenna; modulating the first electromagnetic wave for transmitting a first message to an external communication device by a modulator, wherein the first message is a first inquiry for identification information of the external communication device; modulating, by a load modulator, a second electromagnetic wave generated by the external communication device for transmitting a second message to the external communication device; transmitting the first message when the second electromagnetic wave is not detected; transmitting the second message when the second electromagnetic wave is detected; and receiving a first response in response to the first inquiry.", "14.The communication method according to claim 13, wherein the second message includes a second response associated with a second inquiry for identification information of the communication apparatus.", "15.The communication method according to claim 13, wherein as a result of transmitting the first message or the second message, mutual authentication is executed between the communication apparatus and the external communication device.", "16.The communication method according to claim 13, further comprising controlling the carrier wave generator not to generate the first electromagnetic wave when the second electromagnetic wave is detected.", "17.The communication method according to claim 13, wherein the second message includes a second response associated with a second inquiry for identification information of the communication apparatus, wherein the second inquiry is received from the external communication device.", "18.The communication method according to claim 17, wherein the first response includes a first random number and the second response includes a second random number.", "19.The communication method according to claim 13, further comprising performing a near field communication with the external communication device when the external communication device is near the communication apparatus.", "20.The communication method according to claim 13, wherein a transmission rate of the communication apparatus is lower than the external communication device." ], [ "<SOH> BACKGROUND <EOH>The present disclosure relates to a communication system, a communication apparatus, and a communication method as well as to a program, and in particular, to a communication system, a communication apparatus, and a communication method as well as to a program capable of acquiring the advantages of a plurality of communication protocols.", "Recently, wireless communications, for example, Wireless Local Area Network (WLAN), Bluetooth (registered trademark) (hereinafter, appropriately abbreviated as BT communication), an integrated circuit (IC) card system, and the like have become a focus of attention.", "In, for example, the IC card system among these wireless communications, a reader/writer generates an electromagnetic wave to thereby form a so-called radio frequency (RF) field (magnetic field).", "Then, when an IC card is brought into vicinity of the reader/writer, the IC card is supplied with power by electromagnetic induction as well as data is transmitted between the IC card and the reader/writer.", "There are specifications called a type A and a type B as the specification of the IC card embodied at present.", "The type A is employed as MIFARE system of Philips, and data is transmitted from a reader/writer to an IC card by encoding data by Miller, and data is transmitted from the IC card to the reader/writer by encoding data by Manchester.", "Further, the type A employs 106 kilobyte per second (kbps) as a data transmission rate.", "In the type B, data is transmitted from a reader/writer to an IC card by encoding data by NRZ, and data is transmitted from the IC card to the reader/writer by encoding data by NRZ-L. Further, the type B employs 106 kbps as a data transmission rate.", "Further, as the IC card, there is proposed a system for selecting a communication protocol to be used from a plurality of communication protocols and executing a communication by the selected communication protocol (refer to, for example, Japanese Unexamined Patent Application Publication No.", "06-276249).", "Incidentally, in the IC card system disclosed in Japanese Unexamined Patent Application Publication No.", "06-276249, although a communication can be executed by a plurality of communication protocols, after a communication protocol to be used is selected, the communication is executed by the selected communication protocol.", "Accordingly, after the communication protocol is selected, the communication cannot be executed by the other communication protocols.", "In contrast, a communication protocol, which executes a communication by specifying, for example, an IC card as a communication opponent, is employed in, for example, the IC card system.", "Further, a communication protocol, which can transmit data at a speed higher than that of the current IC card system, is employed in, for example, BT communication.", "Accordingly, if a communication protocol can be switched to a communication protocol for executing BT communication in, for example, the IC card system after an IC card as a communication opponent is specified, data can be transmitted at high speed.", "More specifically, in this case, the advantages of a plurality of communication protocols can be obtained in that a communication opponent can be specified by the communication protocol employed in the IC card system, and further data can be transmitted at high speed by the communication protocol for executing BT communication." ], [ "<SOH> SUMMARY <EOH>The present system, method, apparatus, and program are made in view of the above circumstances and can execute a communication capable of acquiring the advantages of a plurality of communication protocols.", "A communication system according to an embodiment has a plurality of communication apparatuses is characterized in that each of the plurality of communication apparatuses comprises a first communication mean for executing a communication between each communication apparatus and other communication apparatus by a first communication protocol, an exchange means for exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching means for switching the communication between each communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication mean for executing the communication by the second communication protocol between each communication apparatus and the other communication mean based on the communication information exchanged by the exchange means.", "A communication apparatus according to an embodiment is characterized by comprising a first communication mean for executing a communication between the communication apparatus and the other communication apparatus by a first communication protocol, an acquisition means for acquiring the information of a communication protocol available by the other communication apparatus through the communication executed by the first communication protocol, an exchange means for exchanging communication information necessary to a communication executed using a second communication protocol included in the communication protocol available by the other communication apparatus between each communication apparatus and the other communication apparatus by the communication executed using the first communication protocol, a switching means for switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed using the second communication protocol, and a second communication mean for executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged by the exchange means.", "A communication method according to an embodiment is characterized by comprising a first communication step of executing a communication between a communication apparatus and other communication apparatus by a first communication protocol, an acquisition step of acquiring the information of a communication protocol available by the other communication apparatus by the communication executed by the first communication protocol, an exchange step of exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching step of switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication step of executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged at the exchange step.", "A program according to an embodiment is characterized by comprising a first communication step of executing a communication between a communication apparatus and other communication apparatus by a first communication protocol, an acquisition step of acquiring the information of a communication protocol available by the other communication apparatus by the communication executed by the first communication protocol, an exchange step of exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching step of switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication step of executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged at the exchange step.", "In an embodiment, the communication is executed between the communication apparatus and the other communication apparatus by the first communication protocol, thereby the information of the communication protocol available by the other communication apparatus is acquired by the communication executed by the first communication protocol.", "Further, the communication information necessary to the communication executed by the second communication protocol included in the communication protocols available by the other communication apparatus is exchanged between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol.", "Then, the communication between the communication apparatus and the other communication apparatus is switched from the communication executed by the first communication protocol to the communication executed by the second communication protocol, thereby the communication by the second communication protocol is executed based on the communication information.", "Additional features and advantages are described herein, and will be apparent from the following Detailed Description and the figures." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present application is a continuation of U.S. patent application Ser.", "No.", "15/058,616, filed Mar.", "2, 2016, which is a continuation of U.S. patent application Ser.", "No.", "14/630,822, filed Feb. 25, 2015, which is a continuation of U.S. patent application Ser.", "No.", "13/527,093, filed Jun.", "19, 2012, which is a continuation of U.S. patent application Ser.", "No.", "10/557,272, filed on Feb. 24, 2006, which is a U.S. national phase of International Application Serial No.", "PCT/JP04/008169 filed Jun.", "4, 2004, which claims priority to Japanese Application 2003-162427 filed Jun.", "6, 2003, the entire contents of each of which are hereby incorporated by reference herein.", "BACKGROUND The present disclosure relates to a communication system, a communication apparatus, and a communication method as well as to a program, and in particular, to a communication system, a communication apparatus, and a communication method as well as to a program capable of acquiring the advantages of a plurality of communication protocols.", "Recently, wireless communications, for example, Wireless Local Area Network (WLAN), Bluetooth (registered trademark) (hereinafter, appropriately abbreviated as BT communication), an integrated circuit (IC) card system, and the like have become a focus of attention.", "In, for example, the IC card system among these wireless communications, a reader/writer generates an electromagnetic wave to thereby form a so-called radio frequency (RF) field (magnetic field).", "Then, when an IC card is brought into vicinity of the reader/writer, the IC card is supplied with power by electromagnetic induction as well as data is transmitted between the IC card and the reader/writer.", "There are specifications called a type A and a type B as the specification of the IC card embodied at present.", "The type A is employed as MIFARE system of Philips, and data is transmitted from a reader/writer to an IC card by encoding data by Miller, and data is transmitted from the IC card to the reader/writer by encoding data by Manchester.", "Further, the type A employs 106 kilobyte per second (kbps) as a data transmission rate.", "In the type B, data is transmitted from a reader/writer to an IC card by encoding data by NRZ, and data is transmitted from the IC card to the reader/writer by encoding data by NRZ-L. Further, the type B employs 106 kbps as a data transmission rate.", "Further, as the IC card, there is proposed a system for selecting a communication protocol to be used from a plurality of communication protocols and executing a communication by the selected communication protocol (refer to, for example, Japanese Unexamined Patent Application Publication No.", "06-276249).", "Incidentally, in the IC card system disclosed in Japanese Unexamined Patent Application Publication No.", "06-276249, although a communication can be executed by a plurality of communication protocols, after a communication protocol to be used is selected, the communication is executed by the selected communication protocol.", "Accordingly, after the communication protocol is selected, the communication cannot be executed by the other communication protocols.", "In contrast, a communication protocol, which executes a communication by specifying, for example, an IC card as a communication opponent, is employed in, for example, the IC card system.", "Further, a communication protocol, which can transmit data at a speed higher than that of the current IC card system, is employed in, for example, BT communication.", "Accordingly, if a communication protocol can be switched to a communication protocol for executing BT communication in, for example, the IC card system after an IC card as a communication opponent is specified, data can be transmitted at high speed.", "More specifically, in this case, the advantages of a plurality of communication protocols can be obtained in that a communication opponent can be specified by the communication protocol employed in the IC card system, and further data can be transmitted at high speed by the communication protocol for executing BT communication.", "SUMMARY The present system, method, apparatus, and program are made in view of the above circumstances and can execute a communication capable of acquiring the advantages of a plurality of communication protocols.", "A communication system according to an embodiment has a plurality of communication apparatuses is characterized in that each of the plurality of communication apparatuses comprises a first communication mean for executing a communication between each communication apparatus and other communication apparatus by a first communication protocol, an exchange means for exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching means for switching the communication between each communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication mean for executing the communication by the second communication protocol between each communication apparatus and the other communication mean based on the communication information exchanged by the exchange means.", "A communication apparatus according to an embodiment is characterized by comprising a first communication mean for executing a communication between the communication apparatus and the other communication apparatus by a first communication protocol, an acquisition means for acquiring the information of a communication protocol available by the other communication apparatus through the communication executed by the first communication protocol, an exchange means for exchanging communication information necessary to a communication executed using a second communication protocol included in the communication protocol available by the other communication apparatus between each communication apparatus and the other communication apparatus by the communication executed using the first communication protocol, a switching means for switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed using the second communication protocol, and a second communication mean for executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged by the exchange means.", "A communication method according to an embodiment is characterized by comprising a first communication step of executing a communication between a communication apparatus and other communication apparatus by a first communication protocol, an acquisition step of acquiring the information of a communication protocol available by the other communication apparatus by the communication executed by the first communication protocol, an exchange step of exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching step of switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication step of executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged at the exchange step.", "A program according to an embodiment is characterized by comprising a first communication step of executing a communication between a communication apparatus and other communication apparatus by a first communication protocol, an acquisition step of acquiring the information of a communication protocol available by the other communication apparatus by the communication executed by the first communication protocol, an exchange step of exchanging communication information necessary to a communication executed by a second communication protocol included in the communication protocols available by the other communication apparatus between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol, a switching step of switching the communication between the communication apparatus and the other communication apparatus from the communication executed by the first communication protocol to the communication executed by the second communication protocol, and a second communication step of executing the communication by the second communication protocol between the communication apparatus and the other communication apparatus based on the communication information exchanged at the exchange step.", "In an embodiment, the communication is executed between the communication apparatus and the other communication apparatus by the first communication protocol, thereby the information of the communication protocol available by the other communication apparatus is acquired by the communication executed by the first communication protocol.", "Further, the communication information necessary to the communication executed by the second communication protocol included in the communication protocols available by the other communication apparatus is exchanged between the communication apparatus and the other communication apparatus by the communication executed by the first communication protocol.", "Then, the communication between the communication apparatus and the other communication apparatus is switched from the communication executed by the first communication protocol to the communication executed by the second communication protocol, thereby the communication by the second communication protocol is executed based on the communication information.", "Additional features and advantages are described herein, and will be apparent from the following Detailed Description and the figures.", "BRIEF DESCRIPTION OF THE FIGURES FIG.", "1 is a view showing an example of an arrangement of an embodiment of a communication system.", "FIG.", "2 is a view explaining a passive mode.", "FIG.", "3 is a view explaining an active mode.", "FIG.", "4 is a block diagram showing an example of an arrangement of a portion of communication apparatuses 1 to 3 for executing a NFC communication.", "FIG.", "5 is a view showing a corresponding relation between the communication protocols of the communication apparatuses 1 to 3 and an OSI hierarchy model.", "FIG.", "6 is a view showing a format of NFCIP-DL PDU.", "FIG.", "7 is a view showing a format of an NFCIP-DL header.", "FIG.", "8 is a view showing a format of Data of AVAILABLE_MEDIA Request.", "FIG.", "9 is a view showing a format of Data of AVAILABLE_MEDIA Response.", "FIG.", "10 is a view showing a format of Data of MEDIA_HANDOVER Request.", "FIG.", "11 is a view showing a format of Data of MEDIA_HANDOVER Response.", "FIG.", "12 is a view showing a communication phase by NFCIP-DL.", "FIG.", "13 is a flowchart explaining processing executed by an initiator.", "FIG.", "14 is a flowchart explaining processing executed by a target.", "FIG.", "15 is a block diagram of examples of functional arrangements of the communication apparatuses 1 and 2.FIG.", "16 is a flowchart explaining processing executed by the communication apparatuses 1 and 2.FIG.", "17 is a block diagram showing an example of an arrangement of an embodiment of a computer.", "DETAILED DESCRIPTION Embodiments will be described below in detail with reference to the drawings.", "FIG.", "1 shows an example of an arrangement of a communication system to which the present embodiment is applied (system is composed of a plurality of apparatuses coupled with each other logically, and it does not matter whether or not the apparatuses having respective arrangements are accommodated in the same cabinet).", "In FIG.", "1, the communication system is composed of three communication apparatuses 1, 2, and 3.Each of the communication apparatuses 1, 2, and 3 can execute a communication by a plurality of protocols.", "Note that communication apparatuses constituting the communication system are not limited to the communication apparatuses 1, 2, and 3, and the communication system may be composed of two or four or more communication apparatuses.", "It is needless to say that the communication system shown in FIG.", "1 can be employed as an IC card system in which at least one of the communication apparatuses 1, 2, and 3 is arranged as a reader/writer and at least one of the other communication apparatuses 1, 2, and 3 is arranged as an IC card.", "Further, the communication system may employ the respective communication apparatuses 1, 2, and 3 as mobile terminals having a communication function such as a personal digital assistant (PDA), personal computer (PC), mobile phone, wrist watch, pen, and the like.", "That is, the communication apparatuses 1, 2, and 3 are not limited to the IC card, the reader/writer, and the like of the IC card system.", "Further, the communication system can be arranged so as to include, for example, an IC card and a reader/writer constituting a conventional IC card system, in addition to the communication apparatuses 1, 2, and 3.In this case, however, each of the communication apparatuses 1, 2, and 3 has a function for executing a wireless communication, and a plurality of communication protocols include Near Field Communication (NFC).", "NFC is a communication protocol for a near field communication executed by electromagnetic induction using a single frequency carrier wave, and, for example, 13.56 MHz and the like of an Industrial Scientific Medical (ISM) is employed as the frequency of the carrier wave.", "The near field communication means a communication which is possible even if a distance between apparatuses communicating with each other is within several tens of centimeters and includes a communication executed by apparatuses whose cabinets are in contact with each other.", "In NFC, a communication can be executed by two communication modes.", "The two communication modes include a passive mode and an active mode.", "When an attention is paid to, for example, a communication between the communication apparatuses 1 and 2 of the communication apparatuses 1, 2, and 3, in the passive mode, one of the communication apparatuses 1 and 2, for example, the communication apparatus 1 transmits data to the communication apparatus 2 as the other communication apparatus by modulating (a carrier wave corresponding to) the electromagnetic wave generated by the communication apparatus 1 likewise the IC card system described above.", "The communication apparatus 2 transmits data to the communication apparatus 1 by load modulating (the carrier wave corresponding to) the electromagnetic wave generated by the communication apparatus 1.In contrast, in the active mode, any of the communication apparatuses 1 and 2 transmits data by modulating (a carrier wave corresponding to) the electromagnetic wave generated by it.", "When the near filed communication is executed by electromagnetic induction, an apparatus, which begins a communication by outputting an electromagnetic wave first, that is, which takes the initiative of the communication, is called an initiator.", "The initiator executes the near field communication by transmitting a command (request) to a communication opponent, and the communication opponent returns a response to the command, and the communication opponent which returns the response to the command from the initiator is called a target.", "When it is supposed, for example, that the communication apparatus 1 begins to output an electromagnetic wave and to communicate with the communication apparatus 2, the communication apparatus 2 acts as the target and the communication apparatus 2 acts as the target as shown in FIGS.", "2 and 3.As shown in FIG.", "2, in the passive mode, the communication apparatus 1 as the initiator transmits data to the communication apparatus 2 as the target by continuously outputting an electromagnetic wave and modulating the electromagnetic wave output thereby.", "Then, the communication apparatus 2 transmits data to the communication apparatus 1 by load modulating the electromagnetic wave output by the communication apparatus 1 as the initiator.", "In contrast, as shown in FIG.", "3, when the communication apparatus 1 as the initiator transmits data, it transmits the data to the communication apparatus 2 as the target by beginning to output an electromagnetic wave by itself and modulating it.", "After the transmission of the data is finished, the communication apparatus 1 stops outputting the electromagnetic wave.", "When the communication apparatus 2 as the target transmits data, it also transmits the data to the communication apparatus 2 as the target by beginning to output an electromagnetic wave by itself and modulating it.", "After the transmission of the data is finished, the communication apparatus 2 stops outputting the electromagnetic wave.", "Next, FIG.", "4 shows an example of an arrangement of a portion, which executes an NFC communication, of the communication apparatus 1 in FIG.", "1.Note that since portions, which execute the NFC communication, of the other communication apparatuses 2 and 3 of FIG.", "1 are arranged similarly to the communication apparatus 1 of FIG.", "4, the explanation of them is omitted.", "An antenna 11 constitutes a closed loop coil and outputs an electromagnetic wave by changing a current flowing in the coil.", "Further, a current flows in the antenna 11 in such a manner that magnetic flux passing through the coil as the antenna 11 changes.", "A receiver 12 receives the current flowing in the antenna 11, tunes and detects the current, and outputs it to a demodulator 13.The demodulator 13 demodulates a signal supplied from the receiver 12 and supplies it to a decoder 14.The decoder 14 decodes, for example, Manchester Code as the signal supplied from the demodulator 13 and supplies data obtained as a result of decode to a data processor 15.The data processor 15 executes predetermined processing based on the data supplied from the decoder 14.Further, the data processor 15 supplies data, which is to be transmitted to other apparatus, to an encoder 16.The encoder 16 encodes the data supplied from the data processor 15 to, for example, Manchester Code and the like and supplies it to a selector 17.The selector 17 selects any one of a modulator 19 and a load modulator 20 and outputs the signal supplied from the encoder 16 to the selected modulator.", "The selector 17 selects the modulator 19 or the load modulator 20 under the control of a controller 21.When a communication mode is the passive mode and the communication apparatus 1 acts as the target, the controller 21 makes the selector 17 to select the load modulator 20.Further, when the communication method is the active mode or when the construction mode is the passive mode as well as the communication apparatus 1 acts as the initiator, the controller 21 makes the selector 17 to select the modulator 19.Accordingly, in a case in which the communication method is the passive mode and the communication apparatus 1 acts as the target, the signal output from the encoder 16 is supplied to the load modulator 20 through the selector 17.In the other cases, however, the signal is supplied to the modulator 19 through the selector 17.An electromagnetic wave output unit 18 flows a current to the antenna 11 to cause the antenna 11 to radiate (the electromagnetic wave) of a carrier wave having a predetermined single frequency.", "The modulator 19 modulates the carrier wave as the current, which is flown in the antenna 11 by the electromagnetic wave output unit 18, according to the signal supplied from the selector 17.With this operation, the antenna 11 radiates an electromagnetic wave obtained by modulating the carrier wave according to the data output from the data processor 15 to the encoder 16.The load modulator 20 changes impedance when the coil as the antenna 11 is observed from the outside according to the signal supplied from the selector 17.When an RF field (magnetic field) is formed in the vicinity of the antenna 11 by an electromagnetic wave output by other apparatus as a carrier wave, the RF field in the vicinity of the antenna 11 also changes as the impedance when the coil as the antenna 11 is observed changes.", "With this arrangement, the carrier wave as the electromagnetic wave output from the other apparatus is modulated according to the signal supplied from the selector 17, thereby the data output from the data processor 15 to the encoder 16 is transmitted to the other apparatus that outputs the electromagnetic wave.", "Here, amplitude modulation (ASK (Amplitude Shift Keying)), for example, can be employed as a modulation system in the modulator 19 and the load modulator 20.However, the modulation system in the modulator 19 and the load modulator 20 is not limited to ASK, and Phase Shift Keying (PSK), Quadrature Amplitude Modulation (QAM), and the like can be also employed.", "Further, a degree of modulation of amplitude is not limited to the values from 8% to 30%, 50%, 100% and the like, and an appropriate value may be selected.", "The controller 21 controls the respective blocks constituting the communication apparatus 1.A power supply 22 supplies necessary power to the respective blocks constituting the communication apparatus 1.Note that, in FIG.", "4, lines showing that the controller 21 controls the respective blocks constituting the communication apparatus 1 and lines showing that the power supply 22 supplies power to the respective blocks constituting the communication apparatus 1 are omitted to prevent the figure from being made complex.", "In the case described above, the decoder 14 and the encoder 16 processes Manchester Code.", "However, it is possible for the decoder 14 and the encoder 16 to select and process one of a plurality of types of codes such as a modified mirror code, NRZ, and the like, in addition to Manchester Code.", "Further, when the communication apparatus 1 operates only as the target of the passive mode, the communication apparatus 1 can be arranged without providing the selector 17, electromagnetic wave output unit 18, and the modulator 19.Further, in this case, the power supply 22 obtains power from, for example, an external electromagnetic wave received by the antenna 11.As described above, the communication apparatuses 1, 2, and 3 are arranged such that they can execute communications by a plurality of communication protocols, and a communication executed by the arrangement of FIG.", "4 using NFC is one of the communications executed by the plurality of communication protocols.", "As the plurality of communication protocols, there can be employed, for example, International Organization for Standardization/International Electrotechnical Commission (ISO/IEC) 14443 that regulates a communication by an IC card, ISO/IEC 15693 that regulates a communication of Radio Frequency Tag (RF tag), Bluetooth, and further WLAN, and other communication protocols, in addition to the NFC.", "FIG.", "5 shows a corresponding relation between the communication protocols of the communication apparatuses 1 to 3 and an OSI hierarchy model.", "In the communication apparatuses 1, 2, and 3, for example, Internet Applications (for example, Hyper Text Transfer Protocol (HTTP), File Transfer Protocol (FTP), and the like), and other arbitrary applications can be employed to seventh Application Layer as an uppermost layer, sixth Presentation Layer, and a fifth Session Layer.", "Transmission Control Protocol (TCP), User Datagram Protocol (UDP), and the like, for example, can be employed to fourth Transport Layer.", "Internet Protocol (IP) and the like, for example, can be employed to third Network Layer.", "Second Data Link Layer can be divided into upper Logical Link Control Layer (LLC layer) and lower Media Access Control Layer (MAC layer).", "In the communication apparatuses 1, 2, and 3, Near Field Communication Interface and Protocol Data Link (NFCIP-DL) is employed to the LLC layer.", "Here, NFCIP-DL is a communication protocol of a part of NFC and can be controlled from the upper layer thereof through Service Access Point (SAP).", "In NFCIP-DL, the information of a communication protocol that can be used by a communication opponent (hereinafter, appropriately called available protocol information) is obtained through NFCIP-1 to be described later.", "Further, NFCIP-DL exchanges information (hereinafter, appropriately called communication information), which is necessary to execute a communication by a communication protocol of MAC layer (and further a physical layer) switched from NFCIP-1 therethrough in response to a request from the upper layer, between it and a communication apparatus that executes a communication by NFCIP-1.Then, NFCIP-DL switches (handovers) the communication protocol from NFCIP-1 to a communication protocol corresponding to the exchanged communication information in response to the request from the upper layer.", "Note that the communication protocol switched from NFCIP-1 is selected from available communication protocols represented by the available protocol information.", "As described above, when NFCIP-DL is mounted on the communication apparatuses 1, 2, and 3, the apparatuses can switch (handover) the communication protocol of MAC layer (and further the physical layer).", "NFCIP-1, ISO/IEC 14443-2, ISO/IEC 14443-3, and ISO/IEC 14443-4 employed to the IC card, ISO/IEC 15693-2employed to the RF tag, Bluetooth, and further WLAN and other protocols, for example, can be employed to MAC layer.", "Note that it is assumed in the embodiment that a plurality of communication protocols including NFCIP-1 are employed to the MAC layer in, for example, the communication apparatuses 1, 2, and 3.Here, NFCIP-1 is a communication protocol of a part of NFC and detects whether or not an RF field exists in the vicinity, and when no RF field is detected, NFCIP-1 outputs an electromagnetic wave (forms the RF field by itself).", "Further, when a communication opponent exists in the RF field, that is, when NFCIP-1 is brought into vicinity of the communication opponent, NFCIP-1 obtains Identification (ID) composed of random numbers from the communication opponent and communicates with the communication opponent by specifying it by ID.", "That is, according to NFCIP-1, when a plurality of apparatuses exist in the vicinity of it, a user can specify an apparatus acting as a communication protocol from the plurality of apparatuses and communicates with the apparatus without executing an operation for selecting the apparatus acting as the communication opponent from the plurality of the apparatus.", "Specifically, in, for example, WLAN composed of a plurality of computers, when one computer transmits and receives data to and from the other one computer, the other one computer must be specified by a user in such as manner that the user selects the icon representing the other one computer, to and from which the data is transmitted and received, from a plurality of icons displayed on a screen to represent the plurality of computers constituting WLAN.", "In this case, when WLAN is composed of many computers, it is burdensome for the user to search the icon representing the other one computer.", "In contrast, in NFCIP-1, when it is located in the vicinity of a communication opponent, it obtains ID, which is composed of random numbers, of the communication opponent and communicates with the communication opponent by specifying it by ID.", "Therefore, according to NFCIP-1, since the user can execute a communication by specifying a communication opponent only by, for example, causing the communication apparatus 1 (2 or 3) to approach over an apparatus which he or she desires to select as a communication opponent, the user need not execute the burdensome job as described above.", "A device and the like, which are necessary to a communication executed by a communication protocol employed in MAC layer by the communication apparatuses 1 to 3, are employed to the first physical layer.", "That is, in NFCIP-1, ISO/IEC 22050, for example, which regulates devices dedicated for a communication by NFC (NFC Devices) and Cartridge Memory Device, can be employed to the physical layer.", "In ISO/IEC 14443-2, ISO/IEC 14443-3, and ISO/IEC 14443-4, for example, ISO/IEC 14443, which regulates compatible IC Cards, can be employed to the physical layer.", "In ISO/IEC 15693-2, a device dedicated to a communication by the RF tag can be employed to the physical layer.", "Further, in Bluetooth, devices dedicated to a communication by Bluetooth (Bluetooth devices) can be employed to the physical layer.", "Further, in the communication protocol of MAC layer of WLAN and the like, other devices dedicated to a communication by the communication protocol can be employed to the physical layer.", "Next, FIG.", "6 shows a format of data transmitted and received to and from NFCIP-DL as a part of the NFC.", "In NFCIP-DL, the data is transmitted and received in a unit called Protocol data Unit (NFCIP-DL PDU).", "NFCIP-DL PDU has the same format as a packet transmitted and received in Point to Point Protocol (PPP), thereby affinity can be improved between NFCIP-DL and PPP.", "NFCIP-DL PDU has Start Mark, Address, Control, Protocol, NFCIP-DL header, Data, CRC, and End Mark sequentially disposed from the leading end thereof.", "Start Mark has, for example, 1 byte of 7Eh (h shows that a value in front of it is a hexadecimal numeral) disposed therein as a start mark showing the start of NFCIP DL PDU.", "Address has, for example, 1 byte of FFh disposed therein as predetermined data.", "Control also has, for example, 1 byte of 03h disposed therein as predetermined data.", "In NFCIP-DL, the data disposed in Start Mark, Address, and Control is the same as that in PPP.", "Protocol has, for example, 2 bytes of 0001h disposed therein.", "When 0001h is disposed in Protocol in PPP, it is assumed that the data disposed in Data has no particular meaning.", "However, when 0001h is disposed in Protocol in NFCIP-DL, the packet (PDU) is handled as NFCIP-DL PDU.", "NFCIP-DL header has 6-bytes of header information disposed therein which will be explained below with reference to FIG.", "7.Data has necessary data disposed therein.", "CRC has Cyclic Redundancy Checking (CRC) code disposed therein which is determined for Address, Control, Protocol, NFCIP-DL header, and Data.", "End Mark has, for example, 1 byte of 7Eh as an end mark showing the end of NFCIP-DL PDU.", "The end mark is the same as that in PPP.", "FIG.", "7 shows a format of the header information disposed in NFCIP-DL header.", "The header information is composed of 6 bytes as described above.", "Codes 4Eh, 46h, and 43h, which show the characters N, F, C of NFC, are disposed in Byte 1, Byte 2, and Byte 3 from the leading end of the header information.", "A value showing the version of NFC is disposed in Byte 4.Note that, in FIG.", "7, the value showing the version of NFC is 21h.", "Byte 5 is Reserved for Future Use (RFU) and has 00h disposed therein in FIG.", "7.Byte 6 has Directive Code disposed therein which shows various types of requests and responses to them.", "That is, as explained in FIG.", "4, in NFC, a communication is executed between the initiator and the target in such a manner that the initiator transmits a request and the target returns a response to the request.", "Directive Code as a code showing the request and the response is disposed in the sixth byte.", "As described above, in NFCIP-DL, available protocol information as the information of a communication protocol that can be used by a communication opponent is obtained, and communication information, which is necessary to execute a communication by a certain communication protocol included in the available communication protocols represented by the available protocol information, is exchanged.", "Then, NFCIP-DL switches (handovers) the communication protocol from NFCIP-1 to a communication protocol corresponding to the exchanged communication information.", "AVAILABLE_MEDIA Request is transmitted from the initiator to the target to request the available protocol information.", "AVAILABLE_MEDIA Request is transmitted from the initiator to the target to request the available protocol information.", "AVAILABLE_MEDIA Response is transmitted from the target to the initiator as a response to AVAILABLE_MEDIA Request.", "Further, MEDIA_HANDOVER Request is transmitted from the initiator to the target to request to switch (handover) a communication protocol.", "MEDIA_HANDOVER Response is transmitted from the target to the initiator as a response to MEDIA_HANDOVER Request.", "In AVAILABLE_MEDIA Response, a directive code is set to, for example, 22h.", "Further, when the information of all the communication protocols that can be used by the communication opponent (here, target) is requested, 01h is disposed to Data.", "Further, when usability of a particular communication protocol is requested to the communication opponent in AVAILABLE_MEDIA Response, information showing the particular communication protocol is disposed to Data.", "More specifically, FIG.", "8 shows a format of Data of NFCIP-DL PDU when the usability of the particular communication protocol is requested to the communication opponent in AVAILABLE_MEDIA Request.", "Length of PDU Data (n), which shows the data length of Data, is disposed to the leading end of Data.", "Media Pack Count, which shows the number of Media Parameter Packs to be described later, is disposed behind the data length.", "Then, Media Parameter Packs as many as the number shown by Media Pack Count are disposed behind Media Pack Count.", "Media Parameter Pack is composed of Media Code and Attribute disposed in this order.", "One byte of media code showing the communication protocol is disposed to Media Code, and information as to the communication protocol represented by a media code disposed to Media Code is disposed to Attribute.", "In AVAILABLE_MEDIA Response, when, for example, availability of two communication protocols, that is, Institute of Electrical and Electronics Engineers (IEEE) 802.11 that regulates WLAN and Bluetooth are requested to the communication opponent, two Media Parameter Packs, that is, Media Parameter Pack, in which a media code representing IEEE 802.11 is disposed, and Media Parameter Pack, in which a media code representing Bluetooth is disposed, are disposed behind Media Pack Count.", "In AVAILABLE_MEDIA Response as the response to AVAILABLE_MEDIA Request, the directive code is set to, for example, 23h.", "Further, in AVAILABLE_MEDIA Response, information showing a communication protocol that can be used by an apparatus (here, the target as an apparatus that receives AVAILABLE_MEDIA Request) is disposed in Data.", "More specifically, FIG.", "9 shows a format of Data of NFCIP-DL PDU as AVAILABLE_MEDIA Response.", "Length of PDU Data (n) is disposed to the leading end of Data likewise the case shown in FIG.", "8.Further, Current Phase, Status, and Error Code are sequentially disposed behind Length of PDU Data (n).", "Here, Current Phase shows that NFCIP-DL PDU belongs to any of the phases of NFCIP-DL described later.", "Status shows the present state (status) of NFCIP-DL PDU, and Error Code shows a code corresponding to an error that occurs in any processing.", "Media Pack Count is disposed behind Error Code.", "Media Pack Count shows the number of Media Parameter Packs disposed behind it.", "Media Parameter Packs as many as the number shown by Media Pack Count are disposed behind Media Pack Count to show each communication protocol that can be used by NFCIP-DL PDU.", "Note that since the arrangement of Media Parameter Pack in FIG.", "9 is the same as that described in FIG.", "8, the explanation thereof is omitted.", "The initiator, which has transmitted AVAILABLE_MEDIA Request, receives AVAILABLE_MEDIA Response transmitted by the target in response to AVAILABLE_MEDIA Request and recognizes a communication protocol that can be used by the target referring to Media Parameter Pack in AVAILABLE_MEDIA Response.", "Note that when 01h is set to Data of AVAILABLE_MEDIA Request from the initiator, the target transmits AVAILABLE_MEDIA Response, which has Media Parameter Pack as to all the communication protocols that can be used by it, to the initiator.", "Further, Data of AVAILABLE_MEDIA Request from the initiator is as shown in FIG.", "8, the target transmits AVAILABLE_MEDIA Response, which has information whether or not it can use the respective communication protocols corresponding to Media Parameter Pack of AVAILABLE_MEDIA request, to the initiator.", "In MEDIA_HANDOVER Request, the directive code is set to, for example, 24h.", "Further, information of a communication protocol handovered from NFCIP-1 is disposed to Data.", "More specifically, FIG.", "10 shows a format of Data of NFCIP-DL PDU as MEDIA_HANDOVER Request.", "Length of PDU Data (11n), which shows the data length of Data, is disposed to the leading end of Data.", "Media Parameter Pack as to a communication protocol handovered from NFCIP-1 is disposed behind the data length.", "Note that since the arrangement of the Media Parameter Pack in FIG.", "10 is the same as that described in FIG.", "8, the explanation thereof is omitted.", "In MEDIA_HANDOVER Response as the response to MEDIA_HANDOVER Request, the directive code is set to, for example, 25h.", "Further, predetermined data is disposed to DATA in MEDIA_HANDOVER Response.", "More specifically, FIG.", "11 shows a format of Data of NFCIP-DL PDU as MEDIA_HANDOVER Response.", "Length of PDU Data (04h), Current Phase, Status, and Error Code are sequentially disposed to Data from the leading end thereof.", "Since Length of PDU Data, Current Phase, Status, and Error Code are the same as those explained in FIG.", "9, the explanation thereof is omitted.", "AVAILABLE_MEDIA Request and AVAILABLE_MEDIA Response are transmitted between the initiator and the target by NFCIP-1, thereby the initiator recognizes a communication protocol that can be used by the target.", "Further, MEDIA_HANDOVER Request and MEDIA_HANDOVER Response are transmitted between the initiator and the target by NFCIP-1, thereby the initiator and the target handovers from a communication by NFCIP-1 to a communication by a certain communication protocol recognized by the initiator.", "Thereafter, the initiator and the target execute a communication by the communication protocol after the handover.", "Next, a communication phase by NFCIP-DL will be explained with reference to FIG.", "12.A communication by NFCIP-DL has six phases, that is, Idling phase P1, Link establishment-waiting phase P2, Link establishment phase P3, Authentication phase P4, Network layer protocol phase P5, and Link termination phase P6.In the communication by NFCIP-DL, first, a process goes to Idling phase P1 as an initial phase.", "In Idling phase P1, detection of the RF field described above and the like are executed.", "In Idling phase P1, when it is requested, for example, to detect an apparatus that can make an NFC communication, the process goes to Link establishment-waiting phase P2, in which the apparatus that can make the NFC communication begins to be searched.", "Note that the process may go to Idling phase P1, Link establishment phase P3, or Link termination phase P6 from Link establishment-waiting phase P2.When, for example, the apparatus that can make the NFC communication is detected in Link establishment-waiting phase P2, the process goes to Link establishment phase P3.In Link establishment phase P3, ID composed of a random number (hereinafter, appropriately referred to as NFC ID) is recognized in Link establishment phase P3 to recognize an apparatus as a communication opponent of the NFC communication, and a link is established to the communication opponent whose NFC ID is recognized.", "Note that the process may go to Idling phase P1, Authentication phase P4, or Link termination phase P6 from Link establishment phase P3.When the link is established to the communication protocol whose NFC ID is recognized, the process goes to Authentication phase P4.In Authentication phase P4, mutual authentication is executed between the apparatus and the communication opponent whose NFC ID recognized.", "Note that the process may go to Idling phase P1, Network layer protocol phase PS, or Link termination phase P6 from Authentication phase P4.Further, Link termination phase P6 may be skipped.", "When, for example, the mutual authentication is succeeded between the apparatus and, for example, the communication opponent whose NFC ID is recognized in Authentication phase P4, the process goes to Network layer protocol phase P5.In Network layer protocol phase P5, necessary data is exchanged (transmitted) bet the apparatus and the communication opponent whose NFC ID is recognized.", "Note that the process may go to Idling phase P1 or Link termination phase P6 from Network layer protocol phase P5.In Network layer protocol phase PS, when, for example, it is requested to terminate the NFC communication, the process goes to Link termination phase P6.In Link termination phase P6, the link to the communication opponent whose NFC ID is recognized is interrupted, and the process goes to Idling phase P1.Next, processing between the initiator and the target will be explained with respect to FIGS.", "13 and 14 when an NFCIP-1 communication is started between the initiator and the target and thereafter the communication protocol of MAC Layer (and further Physical layer) is handovered from NFCIP-1 to other communication protocol.", "First, processing executed by the initiator will be explained.", "First, the initiator is placed in an idle state at step S1.Thereafter, the initiator goes from step Si to step S2, at which the initiator forms the RF field and executes polling for requesting NFC ID, and then the initiator goes to step S3.At step S3, the initiator determines whether or not there is a response to the polling from the target.", "When it is determined at step S3 that there is no response to the polling at step 53, the initiator returns to step S2 and repeats the same processing thereafter.", "Further, when it is determined at step S3 that there is the response to the polling, the initiator goes to step S4 at which it requests NFC ID to the target from which the response is returned and receives NFC ID transmitted from the target in response to the request.", "The initiator specifies the target as the communication opponent by NFC ID.", "Thereafter, the initiator goes from step S4 to step S5 at which it executes mutual authentication between it and the target recognized as the communication opponent, further exchanges a transaction ID and a transaction key therebetween, and the initiator goes to step S6.Note that after the transaction ID and the transaction key are exchanged, the initiator and the target encrypt data, which is transmitted therebetween thereafter, using the transaction ID and the transaction key as a cryptographic key.", "At step S6, the initiator requests the target a communication protocol that can be used by the target and receives the available protocol information of the available communication protocol transmitted from the target in response to the request.", "That is, at step S6, the initiator transmits AVAILABLE_MEDIA Request to the target and receives AVAILABLE_MEDIA Response as a response to AVAILABLE_MEDIA Request from the target.", "With this operation, the initiator recognizes the available communication protocol of the target.", "Thereafter, the initiator selects a desired communication protocol (hereinafter, appropriately referred to as desired protocol) from the communication protocols that can be used by the initiator and the target and goes from step S6 to 57.At step S7, the initiator exchanges communication information, which is necessary to a communication by the desired protocol, between it and the target and goes to step S8.At step S8, the initiator switches (handovers) the communication protocol of MAC Layer (and further Physical Layer) from NFCIP-1 to the desired protocol.", "That is, at step S8, the initiator transmits MEDIA_HANDOVER Request to the target and receives AVAILABLE_MEDIA Response as a response to MEDIA_HANDOVER Request from the target.", "Then, the initiator switches the communication protocol of MAC Layer (and further Physical Layer) from NFCIP-1 to the desired protocol.", "Thereafter, the initiator goes from step S8 to S9 at which it terminates the NFC communication.", "After the termination of the NFC communication, the initiator makes a communication by the desired protocol based on the communication information obtained at step S7 and returns to step Si after the termination of the communication.", "Next, processing executed by the target will be explained with reference to a flowchart of FIG.", "14.First, the target is placed in an idle state at step S21.Thereafter, when, for example, the target receives polling from the initiator, it goes from step S21 to S22 at which it transmits a response to the polling to the initiator and goes to step S23.At step S23, the initiator waits for a request for an NFC ID from the initiator, creates NFC ID by a random number, transmits it to the initiator, and goes to step S24.Here, for example, the request for the NFC ID from the initiator includes the NFC ID of the initiator, and the target specifies the initiator acting as a communication opponent by the NFC ID of the initiator.", "Thereafter, the target goes from step S23 to step S24 at which it executes mutual authentication between it and the initiator specified as the communication opponent by the NFC ID and further exchanges a transaction ID and a transaction key between it at the time of mutual authentication and goes to step S25.Note that, as explained in FIG.", "13, after the transaction ID and the transaction key are exchanged, the target and the initiator encrypt data, which is transmitted therebetween thereafter, using the transaction ID and the transaction key as a cryptographic key.", "At step S25, the target waits for transmission of a request for an available communication protocol from the initiator and transmits the available protocol information of a communication protocol, which can be used by the target, to the initiator.", "That is, at step S25, the target receives AVAILABLE_MEDIA Request from the initiator and transmits AVAILABLE_MEDIA Response as a response to AVAILABLE_MEDIA Request to the initiator as available protocol information.", "Thereafter, the target goes from step S25 to S26 at which it exchanges communication information, which is necessary to the communication by the desired protocol explained in FIG.", "13, between it and the initiator and goes to step S27.At step S27, the target switches (handovers) the communication protocol of MAC Layer (and further Physical Layer) from NFCIP-1 to the desired protocol.", "That is, at step S27, the target receives MEDIA_HANDOVER Request from the initiator and transmits MEDIA_HANDOVER Response as a response to MEDIA_HANDOVER Request to the initiator.", "Then, the target switches the communication protocol of MAC Layer (and further Physical Layer) from NFCIP-1 to the desired protocol.", "Thereafter, the target goes from step S27 to S28 at which it terminates the NFC communication.", "After the termination of the NFC communication, the target makes a communication by the desired protocol based on the communication information obtained at step S26 and returns to step S21 after the termination of the communication.", "Next, when it is assumed, for example, that the communication apparatuses 1 and 2 can make both of a communication by NFC and a communication (BT communication) by Bluetooth, processing will be explained which is executed by the communication apparatuses 1 and 2 to execute the NFC communication first and then to handover the NFC communication to the BT communication.", "Note that FIG.", "15 shows an example of functional arrangements of the communication apparatuses 1 and 2.More specifically, in FIG.", "15, the communication apparatus 1 has an NFC communication unit 51 and a BT communication unit 52, and the communication apparatus 2 has an NFC communication unit 61 and a BT communication unit 62.The NFC communication units 51 and 62 execute the NFC communication, and the BT communication units 52 and 62 execute the BT communication.", "FIG.", "16 is a flowchart explaining processing executed by the communication apparatuses 1 and 2 when the NFC communication is executed first using the communication apparatuses 1 and 2 as the initiator and the target, and thereafter the NFC communication is handovered to the BT communication.", "First, the NFC communication unit 51 as the initiator executes polling at step S51, and NFC communication unit 61 as the target receives the polling at step S52 and transmits a response to the polling to the NFC communication unit 51 at step S52.When the response to the polling is transmitted from the NFC communication unit 61, the NFC communication unit 51 receives the response and requests an NFC ID to the NFC communication unit 61.The NFC communication unit 61 receives the request for the NFC ID from the NFC communication unit 51 and transmits the NFC ID thereof to the NFC communication unit 51 in response to the request.", "The NFC communication unit 51 receives the NFC ID from the NFC communication unit 61 and specifies the NFC communication unit 61 (communication apparatus 2) as a communication opponent by the NFC ID.", "Note that the request for the NFC ID transmitted from the NFC communication unit 51 to the NFC communication unit 61 includes the NFC ID of the NFC communication unit 51, and the NFC communication unit 61 specifies the NFC communication unit 51 (communication apparatus 1) as a communication opponent by the NFC ID.", "Thereafter, mutual authentication is executed between the NFC communication units 51 and 61 by transmitting data for mutual authentication therebetween at step S55, and further a transaction ID and a transaction key are exchanged at the time.", "Thereafter, data is transmitted between the NFC communication units 51 and 61 after it is encrypted using the transaction ID and the transaction key as a cryptographic key.", "Note that the mutual authentication may be skipped (may not be executed) as described above.", "When the mutual authentication is succeeded at step S55, the NFC communication unit 51 goes to step S56 at which it transmits a request for available protocol information that is can be used by the communication apparatus 2 (AVAILABLE_MEDIA Request) to the NFC communication unit 61, and the NFC communication unit 61 receives the request.", "At step S57, the NFC communication unit 61 transmits the available protocol information that can be used by the communication apparatus 2 (AVAILABLE_MEDIA Response) to the NFC communication unit 51, and the NFC communication unit 51 receives the available protocol information.", "In this case, the NFC communication unit 51 recognizes that the communication apparatus 2 can execute the BT communication from the available protocol information received from the NFC communication unit 61.It is assumed that the communication apparatus 1 determines to switch the NFC communication to the BT communication because the transmission rate of the BT communication is higher than that of the NFC communication and thus the BT communication is more advantageous than the NFC communication to transmit a large amount of data between the communication apparatuses 1 and 2.In this case, at step S58, communication information necessary to the BT communication is exchanged between the NFC communication units 51 and 61.The communication information necessary to the BT communication includes, for example, Bluetooth Device (BD) address for specifying a communication opponent in the BT communication.", "That is, the BT communication unit 52 of the communication apparatus 1 and the BT communication unit 62 of the communication apparatus 2 have unique BD addresses, respectively, and the BD address of the BT communication unit 52 is transmitted from the NFC communication unit 51 to the NFC communication unit 61, and the NFC communication unit 61 receives the BD address at step S58.Further, at step S58, the BD address of the BT communication unit 62 is transmitted from the NFC communication unit 61 to the NFC communication unit 51, and the NFC communication unit 51 receives the BD address.", "Thereafter, the NFC communication unit 51 transmits a request for switching the NFC communication to the BT communication (MEDIA_HANDOVER Request) to the NFC communication unit 61, and the NFC communication unit 61 receives the switching request at step S59.Then, the BT communication unit 52 transmits a response (MEDIA_HANDOVER Response) to the switching request from the NFC communication unit 51, and the NFC communication unit 51 receives the response at step S60.Thereafter, the communication apparatus 1 switches (handovers) the NFC communication executed by the NFC communication unit 51 to the BT communication executed by the BT communication unit 52 at step S61.Further, the communication apparatus 2 also switches (handovers) the NFC communication executed by the NFC communication unit 61 to the BT communication executed by the BT communication unit 62 at step S62.The NFC communication units 51 and 61 terminate the NFC communication at step S63.Thereafter, at step S64, the BT communication is executed between the BT communication units 52 and 62 based on the communication information exchanged at step S58.That is, the BT communication unit 52 executes the BT communication by specifying the BT communication unit 62 as a communication opponent by the BD address of the BT communication unit 62 received by the NFC communication unit 51 at step S58.Likewise, the BT communication unit 62 also execute the BT communication by specifying the BT communication unit 52 as a communication opponent by the BD address of the BT communication unit 52 received by the NFC communication unit 61 at step S58.Accordingly, in this case, the BT communication units 52 and 62 can execute the BT communication by specifying the communication opponents without the designation of the communication opponents executed by a user.", "More specifically, when there are many BT devices capable of executing the BT communication, the respective BT devices transmits information between them and the other BT devices and collect information as to the other BT devices.", "In the respective BT devices, icons showing the other BT devices are displayed on screens based on the collected information.", "In this case, when the user intends to transmit data from one BT device #1 of the many BT devices to other one BT device #2, the user must designate the BT device #2 as a communication opponent to which the data is transmitted by searching the icon of the BT device #2 from the icons of the many BT devices displayed on the screen of the BT device #1 and manipulating the icon.", "In contrast, according to the communication system of FIG.", "1, when there exist many communication apparatuses similar to the communication apparatuses 1 to 3, even if it is intended to transmit data from the communication apparatus 1 to the communication apparatus 2, the data can be transmitted from the communication apparatus 1 to the communication apparatus 2 only by approaching the communication apparatus 1 to the communication apparatus 2.More specifically, in the communication apparatuses 1 and 2, when they approach each other, it is recognized that the communication apparatuses 1 and 2 make the BT communication by the NFC communication, and a BD address and the like as information necessary to the BT communication are exchanged between the communication apparatuses 1 and 2.Further, in the communication apparatuses 1 and 2, the NFC communication is switched (handovered) to the BT communication, and the BT communication is executed by specifying the communication opponent based on the BD address.", "Accordingly, the user can acquire the advantages of both the NFC communication and the BT communication.", "More specifically, when, for example, the transmission rate of the BT communication is higher than that of the NFC communication, the user only approaches the communication apparatuses 1 and 2 each other and need not execute a job for specifying other communication opponent and further can transmit data at high speed by BT communication.", "Next, a series of the processings described above may be executed by dedicated hardware or may be executed by software.", "When the series of processings is executed by the software, a program constituting the software is installed on a general-purpose computer, a microcomputer, and the like.", "FIG.", "17 shows an example of an arrangement of a computer on which a program for executing the series of processings is installed.", "The program can be previously recorded in a hard disc 105 and a ROM 103 as a recording medium built in the computer.", "Otherwise, the program may be temporarily or permanently stored (recorded) in a removable recording medium ill such as a flexible disc, compact disc read only memory (CD-ROM), magneto optical (MO) disc, digital versatile disc (DVD), magnetic disc, semiconductor memory, and the like.", "The removable recording medium 111 as described above can be provided as so-called package software.", "It should be noted that the program may be transmitted from a download site to the computer by wireless through a digital broadcasting artificial satellite or to the computer through a fixed-line network such as Local Area Network (LAN), the Internet, and the like, and the computer may receive the thus transferred program by a transmission unit 108 and install it on the hard disc 105 built therein, in addition to that the program is installed on the computer from the removable recording medium ill as described above.", "The computer has a central processing unit (CPU) 102 built therein.", "An input/output interface 110 is connected to the CPU 102 through a bus 101, and when a command is input to the CPU 102 through the input/output interface 110 by a user who manipulates an input unit 107 composed of a keyboard, mouse, microphone, and the like, the CPU 102 executes the program stored in the read only memory (ROM) 103.Otherwise, the CPU 102 loads the program, which is stored in the hard disc 105, the program, which is transferred from the satellite or the network, received by the transmission unit 108, and installed to the hard disc 105, or the program, which is read out from the removable recording medium 111 mounted on a drive 109 and installed to the hard disc 105, to a random access memory (RAM) 104 and executes it.", "With the above operation, the CPU 102 executes processing according to the flowchart described above or processing according to the arrangement of the block diagram.", "Then, the CPU 102 outputs a result of the processing from an output unit 106 composed of a speaker and the like through the input/output interface 110 or transmits it from the transmission unit 108 and further records it to the hard disc 105.In the specification, a processing step for describing the program for causing the computer to execute various types of processings need not always be processed in time series according to a sequence described in the flowchart and includes processings executed in parallel or individually (for example, parallel processings or processings executed by an object).", "Further, the program may be processed by a single computer or may be processed discretely by a plurality of computers.", "Note that, the embodiment is applied to a wireless communication, but may be also applied to a cable communication and to a mixed communication of the wireless communication and the cable communication.", "Further, although the NFC communication is switched to a communication by other communication protocol in the embodiment, it is also possible to switch a communication by an arbitrary communication protocol to a communication by other arbitrary communication protocol, that is, to switch, for example, ISO/IEC 14443-3 to Bluetooth, and the like.", "Further, although the NFC communication is switched to the BT communication in the embodiment, it is also possible to further switch the BT communication to a communication by another communication protocol thereafter.", "As described above, according to the embodiments, there can be executed a communication which can acquire the advantages of a plurality of communication protocols.", "It should be understood by those skilled in the art that various modifications, combinations, sub-combinations and alterations may occur depending on design requirements and other factors insofar as they are within the scope of the appended claims or the equivalents thereof." ] ]
Patent_15875677
[ [ "NOVEL BICYCLIC BROMODOMAIN INHIBITORS", "The invention relates to substituted bicyclic compounds, which are useful for inhibition of BET protein function by binding to bromodomains, pharmaceutical compositions comprising these compounds, and use of the compounds and compositions in therapy." ], [ "1-104.", "(canceled) 105.A compound of Formula IIIb′: or a pharmaceutically acceptable salt thereof, wherein: Rings A and B may be optionally substituted with one or more groups independently selected from deuterium and halogen; X is selected from —CH2— and —CH(CH3)—, wherein one or more hydrogens may be independently replaced with deuterium or halogen; Z is selected from NH2 and amino; and R4 is selected from 4-7 membered carbocycles and heterocycles optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "106.The compound according to claim 105, wherein X is —CH2—, and one or more hydrogens may be independently replaced with deuterium or halogen.", "107.The compound according to claim 105, wherein R4 is selected from cyclopentyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "108.The compound according to claim 106, wherein R4 is selected from cyclopentyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and —thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "109.The compound according to claim 105, wherein R4 is selected from pyridyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and —thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "110.The compound according to claim 106, wherein R4 is selected from pyridyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "111.The compound according to claim 105, wherein R4 is selected from phenyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-04), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "112.The compound according to claim 106, wherein R4 is selected from phenyl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "113.The compound according to claim 105, wherein Z is selected from H2N-MeNH—, EtNH-, PhCH2NH—, MeOCH2CH2NH—, 114.The compound according to claim 112, wherein Z is selected from H2N-MeNH—, EtNH—, PhCH2NH—, MeOCH2CH2NH—, 115.The compound selected from 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "116.The compound selected from 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "117.The compound selected from N,1-Dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "118.The compound selected from 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-3-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "119.The compound selected from 4-(1-Benzyl-2-(pyrrolidin-1-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole and pharmaceutically acceptable salts thereof.", "120.The compound selected from 4-(2-(Azetidin-1-yl)-1-(cyclopentylmethyl)-1H-imidazo[4,5-b]pyridin-6-yI)-3,5-dimethylisoxazole and pharmaceutically acceptable salts thereof.", "121.The compound selected from 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "122.The compound selected from 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine and pharmaceutically acceptable salts thereof.", "123.A method for treating a disease or disorder selected from an autoimmune disease or disorder, an inflammatory disease or disorder, cancer, a benign proliferative or fibrotic disorder, a cardiovascular disease or disorder, a metabolic disease or disorder, HIV, and a neurological disease or disorder, comprising administering a therapeutically effective amount of a compound of claim 105.124.The method of claim 123, wherein the disease or disorder is cancer.", "125.The method of claim 124, wherein the cancer is selected from B-acute lymphocytic leukemia, diffuse large cell lymphoma, multiple myeloma, breast cancer, cervix cancer, colon cancer, medulloblastoma, ovarian cancer, prostate cancer, small cell lung carcinoma, NUT midline carcinoma, B-cell lymphoma, non-small cell lung cancer, head and neck squamous cell carcinoma, diffuse large B cell lymphoma with germinal center phenotype, and T-cell prolymphocytic leukemia.", "126.The method of claim 124, wherein the cancer: (a) exhibits overexpression, translocation, amplification, or rearrangement of a myc family oncoprotein and is selected from B-acute lymphocytic leukemia, Burkitt's lymphoma, Diffuse large cell lymphoma, Multiple myeloma, Primary plasma cell leukemia, Atypical carcinoid lung cancer, Bladder cancer, Breast cancer, Cervix cancer, Colon cancer, Gastric cancer, Glioblastoma, Hepatocellular carcinoma, Large cell neuroendocrine carcinoma, Medulloblastoma, Melanoma, nodular, Melanoma, superficial spreading, Neuroblastoma, esophageal squamous cell carcinoma, Osteosarcoma, Ovarian cancer, Prostate cancer, Renal clear cell carcinoma, Retinoblastoma, Rhabdomyosarcoma, and Small cell lung carcinoma; (b) results from aberrant regulation of BET proteins and is selected from NUT midline carcinoma, B-cell lymphoma, non-small cell lung cancer, esophageal cancer, head and neck squamous cell carcinoma, and colon cancer; (c) relies on pTEFb (Cdk9/cyclin T) and BET proteins to regulate oncogenes and is selected from chronic lymphocytic leukemia and multiple myeloma, follicular lymphoma, diffuse large B cell lymphoma with germinal center phenotype, Burkitt's lymphoma, Hodgkin's lymphoma, anaplastic large cell lymphoma, neuroblastoma and primary neuroectodermal tumor, rhabdomyosarcoma, prostate cancer, and breast cancer; (d) is associated with upregulation of BET responsive genes CDK6, Bcl2, TYRO3, MYB and/or hTERT and is selected from pancreatic cancer, breast cancer, colon cancer, glioblastoma, adenoid cystic carcinoma, T-cell prolymphocytic leukemia, malignant glioma, bladder cancer, medulloblastoma, thyroid cancer, melanoma, multiple myeloma, Barret's adenocarcinoma, hepatoma, prostate cancer, pro-myelocytic leukemia, chronic lymphocytic leukemia, mantle cell lymphoma, diffuse large B-cell lymphoma, small cell lung cancer, and renal carcinoma; and/or (e) is associated with a viral infection selected from Epstein-Barr Virus, hepatitis B virus, hepatitis C virus, Kaposi's sarcoma associated virus, human papilloma virus, Merkel cell polyomavirus, and human cytomegalovirus.", "127.The method of claim 124, wherein the compound is administered in combination with another anticancer agent.", "128.A compound of Formula IIIc′: or a pharmaceutically acceptable salt thereof, wherein: Rings A and B may be optionally substituted with one or more groups independently selected from deuterium, alkyl, and halogen; X is selected from —CH2— and —CH(CH3)—, wherein one or more hydrogens may be independently be replaced with deuterium or halogen; and R4 is selected from 4-7 membered carbocycles and heterocycles optionally substituted with one or more groups selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, and -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "129.The compound according to claim 128, wherein Rings A and B may be optionally substituted with one or more groups independently selected from deuterium, methyl, and halogen.", "130.The compound according to claim 128, wherein X is —CH2—, and one or more hydrogens may be independently replaced with deuterium or halogen.", "131.The compound according to claim 129, wherein X is —CH2—, and one or more hydrogens may be independently replaced with deuterium or halogen.", "132.The compound according to claim 128, wherein R4 is a phenyl group, optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "133.The compound according to claim 131, wherein R4 is a phenyl group, optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, —CN, -thioalkyl(C1-C4), wherein each alkyl(C1-C4), alkoxy(C1-C4), and thioalkyl(C1-C4) may be optionally substituted with halogen.", "134.The compound according to claim 132, wherein R4 is selected from phenyl and 4-methoxyphenyl-.", "135.The compound according to claim 133, wherein R4 is selected from phenyl and 4-methoxyphenyl-.", "136.The compound selected from 4-Amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one and pharmaceutically acceptable salts thereof.", "137.The compound selected from 4-Amino-6-(3,5-dimethylisoxazol-4-yl)-1-(4-methoxybenzyl)-1H-benzo[d]imidazol-2(3H)-one and pharmaceutically acceptable salts thereof.", "138.The compound selected from 4-Amino-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one and pharmaceutically acceptable salts thereof.", "139.The compound selected from 4-Amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-1H-benzo[d]imidazol-2(3H)-one and pharmaceutically acceptable salts thereof.", "140.A method for treating a disease or disorder selected from an autoimmune disease or disorder, an inflammatory disease or disorder, cancer, a benign proliferative or fibrotic disorder, a cardiovascular disease or disorder, a metabolic disease or disorder, HIV, and a neurological disease or disorder, comprising administering a therapeutically effective amount of a compound of claim 128.141.The method of claim 140, wherein the disease or disorder is cancer.", "142.The method of claim 141, wherein the cancer is selected from B-acute lymphocytic leukemia, diffuse large cell lymphoma, multiple myeloma, breast cancer, cervix cancer, colon cancer, ovarian cancer, prostate cancer, small cell lung carcinoma, NUT midline carcinoma, B-cell lymphoma, non-small cell lung cancer, head and neck squamous cell carcinoma, diffuse large B cell lymphoma with germinal center phenotype, and T-cell prolymphocytic leukemia.", "143.The method of claim 141, wherein the cancer: (a) exhibits overexpression, translocation, amplification, or rearrangement of a myc family oncoprotein and is selected from B-acute lymphocytic leukemia, Burkitt's lymphoma, Diffuse large cell lymphoma, Multiple myeloma, Primary plasma cell leukemia, Atypical carcinoid lung cancer, Bladder cancer, Breast cancer, Cervix cancer, Colon cancer, Gastric cancer, Glioblastoma, Hepatocellular carcinoma, Large cell neuroendocrine carcinoma, Medulloblastoma, Melanoma, nodular, Melanoma, superficial spreading, Neuroblastoma, esophageal squamous cell carcinoma, Osteosarcoma, Ovarian cancer, Prostate cancer, Renal clear cell carcinoma, Retinoblastoma, Rhabdomyosarcoma, and Small cell lung carcinoma; (b) results from aberrant regulation of BET proteins and is selected from NUT midline carcinoma, B-cell lymphoma, non-small cell lung cancer, esophageal cancer, head and neck squamous cell carcinoma, and colon cancer; (c) relies on pTEFb (Cdk9/cyclin T) and BET proteins to regulate oncogenes and is selected from chronic lymphocytic leukemia and multiple myeloma, follicular lymphoma, diffuse large B cell lymphoma with germinal center phenotype, Burkitt's lymphoma, Hodgkin's lymphoma, anaplastic large cell lymphoma, neuroblastoma and primary neuroectodermal tumor, rhabdomyosarcoma, prostate cancer, and breast cancer; (d) is associated with upregulation of BET responsive genes CDK6, BcI2, TYRO3, MYB and/or hTERT and is selected from pancreatic cancer, breast cancer, colon cancer, glioblastoma, adenoid cystic carcinoma, T-cell prolymphocytic leukemia, malignant glioma, bladder cancer, medulloblastoma, thyroid cancer, melanoma, multiple myeloma, Barret's adenocarcinoma, hepatoma, prostate cancer, pro-myelocytic leukemia, chronic lymphocytic leukemia, mantle cell lymphoma, diffuse large B-cell lymphoma, small cell lung cancer, and renal carcinoma; and/or (e) is associated with a viral infection selected from Epstein-Barr Virus, hepatitis B virus, hepatitis C virus, Kaposi's sarcoma associated virus, human papilloma virus, Merkel cell polyomavirus, and human cytomegalovirus.", "144.The method of claim 141, wherein the compound is administered in combination with another anticancer agent." ], [ "This application claims priority from U.S.", "Provisional Patent Application No.", "61/837,841, filed Jun.", "21, 2013, which is hereby incorporated by reference in its entirety.", "The invention provides novel compounds, pharmaceutical compositions containing such compounds, and their use in prevention and treatment of diseases and conditions associated with bromodomain and extra terminal domain (BET) proteins.", "Post-translational modifications (PTMs) of histones are involved in regulation of gene expression and chromatin organization in eukaryotic cells.", "Histone acetylation at specific lysine residues is a PTM that is regulated by histone acetylases (HATs) and deacetylases (HDACs).", "Peserico, A. and C. Simone, “Physical and functional HAT/HDAC interplay regulates protein acetylation balance,” J Biomed Biotechnol, 2011:371832 (2011).", "Small molecule inhibitors of HDACs and HATs are being investigated as cancer therapy.", "Hoshino, I. and H. Matsubara, “Recent advances in histone deacetylase targeted cancer therapy” Surg Today 40(9):809-15 (2010); Vernarecci, S., F. Tosi, and P. Filetici, “Tuning acetylated chromatin with HAT inhibitors: a novel tool for therapy” Epigenetics 5(2):105-11 (2010); Bandyopadhyay, K., et al., “Spermidinyl-CoA-based HAT inhibitors block DNA repair and provide cancer-specific chemo- and radiosensitization,” Cell Cycle 8(17):2779-88 (2009); Arif, M., et al., “Protein lysine acetylation in cellular function and its role in cancer manifestation,”Biochim Biophys Acta 1799(10-12):702-16 (2010).", "Histone acetylation controls gene expression by recruiting protein complexes that bind directly to acetylated lysine via bromodomains.", "Sanchez, R. and M. M. Zhou, “The role of human bromodomains in chromatin biology and gene transcription,” Curr Opin Drug Discov Devel 12(5):659-65 (2009).", "One such family, the bromodomain and extra terminal domain (BET) proteins, comprises Brd2, Brd3, Brd4, and BrdT, each of which contains two bromodomains in tandem that can independently bind to acetylated lysines, as reviewed in Wu, S. Y. and C. M. Chiang, “The double bromodomain-containing chromatin adaptor Brd4 and transcriptional regulation,” J Biol Chem 282(18):13141-5 (2007).", "Interfering with BET protein interactions via bromodomain inhibition results in modulation of transcriptional programs that are often associated with diseases characterized by dysregulation of cell cycle control, inflammatory cytokine expression, viral transcription, hematopoietic differentiation, insulin transcription, and adipogenesis.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012).", "BET inhibitors are believed to be useful in the treatment of diseases or conditions related to systemic or tissue inflammation, inflammatory responses to infection or hypoxia, cellular activation and proliferation, lipid metabolism, fibrosis, and the prevention and treatment of viral infections.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012); Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012).", "Autoimmune diseases, which are often chronic and debilitating, are a result of a dysregulated immune response, which leads the body to attack its own cells, tissues, and organs.", "Pro-inflammatory cytokines including IL-1β, TNF-α, IL-6, MCP-1, and IL-17 are overexpressed in autoimmune disease.", "IL-17 expression defines the T cell subset known as Th17 cells, which are differentiated, in part, by IL-6, and drive many of the pathogenic consequences of autoimmune disease.", "Thus, the IL-6/Th17 axis represents an important, potentially druggable target in autoimmune disease therapy.", "Kimura, A. and T. Kishimoto, “IL-6: regulator of Treg/Th17 balance,” Eur J Immunol 40(7):1830-5 (2010).", "BET inhibitors are expected to have anti-inflammatory and immunomodulatory properties.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012); Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012).", "BET inhibitors have been shown to have a broad spectrum of anti-inflammatory effects in vitro including the ability to decrease expression of pro-inflammatory cytokines such as IL-1β, MCP-1, TNF-α, and IL-6 in activated immune cells.", "Mirguet, O., et al., “From ApoA1 upregulation to BET family bromodomain inhibition: discovery of I-BET151,” Bioorg Med Chem Lett 22(8):2963-7 (2012); Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010); Seal, J., et al., “Identification of a novel series of BET family bromodomain inhibitors: binding mode and profile of I-BET151 (GSK1210151A),” Bioorg Med Chem Lett 22(8):2968-72 (2012).", "The mechanism for these anti-inflammatory effects may involve BET inhibitor disruption of Brd4 co-activation of NF-κB-regulated pro-inflammatory cytokines and/or displacement of BET proteins from cytokine promoters, including IL-6.Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010); Zhang, G., et al., “Down-regulation of NF-kappaB Transcriptional Activity in HlVassociated Kidney Disease by BRD4 Inhibition,”J Biol Chem, 287(34):8840-51 (2012); Zhou, M., et al., “Bromodomain protein Brd4 regulates human immunodeficiency virus transcription through phosphorylation of CDK9 at threonine 29,” J Virol 83(2):1036-44 (2009).", "In addition, because Brd4 is involved in T-cell lineage differentiation, BET inhibitors may be useful in inflammatory disorders characterized by specific programs of T cell differentiation.", "Zhang, W. S., et al., “Bromodomain-Containing-Protein 4 (BRD4) Regulates RNA Polymerase II Serine 2 Phosphorylation in Human CD4+ T Cells,” J Biol Chem (2012).", "The anti-inflammatory and immunomodulatory effects of BET inhibition have also been confirmed in vivo.", "A BET inhibitor prevented endotoxin- or bacterial sepsis-induced death and cecal ligation puncture-induced death in mice, suggesting utility for BET inhibitors in sepsis and acute inflammatory disorders.", "Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010).", "A BET inhibitor has been shown to ameliorate inflammation and kidney injury in HIV-1 transgenic mice, an animal model for HIV-associated nephropathy, in part through inhibition of Brd4 interaction with NF-κB.", "Zhang, G., et al., “Down-regulation of NF-kappaB Transcriptional Activity in HlVassociated Kidney Disease by BRD4 Inhibition,”J Biol Chem, 287(34):8840-51 (2012).", "The utility of BET inhibition in autoimmune disease was demonstrated in a mouse model of multiple sclerosis, where BET inhibition resulted in abrogation of clinical signs of disease, in part, through inhibition of IL-6 and IL-17.R.", "Jahagirdar, S. M. et al., “An Orally Bioavailable Small Molecule RVX-297 Significantly Decreases Disease in a Mouse Model of Multiple Sclerosis,” World Congress of Inflammation, Paris, France (2011).", "These results were supported in a similar mouse model where it was shown that treatment with a BET inhibitor inhibited T cell differentiation into pro-autoimmune Th1 and Th17 subsets in vitro, and further abrogated disease induction by pro-inflammatory Th1 cells.", "Bandukwala, H. S., et al., “Selective inhibition of CD4+ T-cell cytokine production and autoimmunity by BET protein and c-Myc inhibitors,” Proc Natl Acad Sci USA, 109(36):14532-7 (2012).", "BET inhibitors may be useful in the treatment of a variety of chronic autoimmune inflammatory conditions.", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating autoimmune and/or inflammatory diseases by administering one or more compounds of the invention or pharmaceutical compositions comprising one or more of those compounds.", "Examples of autoimmune and inflammatory diseases, disorders, and syndromes that may be treated using the compounds and methods of the invention include but are not limited to, inflammatory pelvic disease, urethritis, skin sunburn, sinusitis, pneumonitis, encephalitis, meningitis, myocarditis, nephritis (Zhang, G., et al., “Down-regulation of NF-kappaB Transcriptional Activity in HIVassociated Kidney Disease by BRD4 Inhibition,” J Biol Chem, 287(34):8840-51 (2012)), osteomyelitis, myositis, hepatitis, gastritis, enteritis, dermatitis, gingivitis, appendicitis, pancreatitis, cholecystitis, agammaglobulinemia, psoriasis, allergy, Crohn's disease, irritable bowel syndrome, ulcerative colitis (Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012)), Sjogren's disease, tissue graft rejection, hyperacute rejection of transplanted organs, asthma, allergic rhinitis, chronic obstructive pulmonary disease (COPD), autoimmune polyglandular disease (also known as autoimmune polyglandular syndrome), autoimmune alopecia, pernicious anemia, glomerulonephritis, dermatomyositis, multiple sclerosis (Bandukwala, H. S., et al., “Selective inhibition of CD4+ T-cell cytokine production and autoimmunity by BET protein and c-Myc inhibitors,” Proc Natl Acad Sci USA, 109(36):14532-7 (2012)), scleroderma, vasculitis, autoimmune hemolytic and thrombocytopenic states, Goodpasture's syndrome, atherosclerosis, Addison's disease, Parkinson's disease, Alzheimer's disease, Type I diabetes (Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012)), septic shock (Zhang, G., et al., “Down-regulation of NF-kappaB Transcriptional Activity in HIVassociated Kidney Disease by BRD4 Inhibition,” J Biol Chem, 287(34):8840-51 (2012)), systemic lupus erythematosus (SLE) (Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012)), rheumatoid arthritis (Denis, G. V., “Bromodomain coactivators in cancer, obesity, type 2 diabetes, and inflammation,” Discov Med 10(55):489-99 (2010)), psoriatic arthritis, juvenile arthritis, osteoarthritis, chronic idiopathic thrombocytopenic purpura, Waldenstrom macroglobulinemia, myasthenia gravis, Hashimoto's thyroiditis, atopic dermatitis, degenerative joint disease, vitiligo, autoimmune hypopituitarism, Guillain-Barre syndrome, Behcet's disease, uveitis, dry eye disease, scleroderma, mycosis fungoides, and Graves' disease.", "BET inhibitors may be useful in the treatment of a wide variety of acute inflammatory conditions.", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating inflammatory conditions including but not limited to, acute gout, giant cell arteritis, nephritis including lupus nephritis, vasculitis with organ involvement, such as glomerulonephritis, vasculitis, including giant cell arteritis, Wegener's granulomatosis, polyarteritis nodosa, Behcet's disease, Kawasaki disease, and Takayasu's arteritis.", "BET inhibitors may be useful in the prevention and treatment of diseases or conditions that involve inflammatory responses to infections with bacteria, viruses, fungi, parasites, and their toxins, such as, but not limited to sepsis, sepsis syndrome, septic shock (Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010)), systemic inflammatory response syndrome (SIRS), multi-organ dysfunction syndrome, toxic shock syndrome, acute lung injury, adult respiratory distress syndrome (ARDS), acute renal failure, fulminant hepatitis, burns, post-surgical syndromes, sarcoidosis, Herxheimer reactions, encephalitis, myelitis, meningitis, malaria, and SIRS associated with viral infections, such as influenza, herpes zoster, herpes simplex, and coronavirus.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012).", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating these inflammatory responses to infections with bacteria, viruses, fungi, parasites, and their toxins described herein.", "Cancer is a group of diseases caused by dysregulated cell proliferation.", "Therapeutic approaches aim to decrease the numbers of cancer cells by inhibiting cell replication or by inducing cancer cell differentiation or death, but there is still significant unmet medical need for more efficacious therapeutic agents.", "Cancer cells accumulate genetic and epigenetic changes that alter cell growth and metabolism, promoting cell proliferation and increasing resistance to programmed cell death, or apoptosis.", "Some of these changes include inactivation of tumor suppressor genes, activation of oncogenes, and modifications of the regulation of chromatin structure, including deregulation of histone PTMs.", "Watson, J. D., “Curing ‘incurable’ cancer,” Cancer Discov 1(6):477-80 (2011); Morin, R. D., et al., “Frequent mutation of histone-modifying genes in non-Hodgkin lymphoma” Nature 476(7360):298-303 (2011).", "One aspect of the invention provides compounds, compositions, and methods for treating human cancer, including, but not limited to, cancers that result from aberrant translocation or overexpression of BET proteins (e.g., NUT midline carcinoma (NMC) (French, C. A., “NUT midline carcinoma,” Cancer Genet Cytogenet 203(1):16-20 (2010) and B-cell lymphoma (Greenwald, R. J., et al., “E mu-BRD2 transgenic mice develop B-cell lymphoma and leukemia,” Blood 103(4):1475-84 (2004)).", "NMC tumor cell growth is driven by a translocation of the Brd4 or Brd3 gene to the nutlin 1 gene.", "Filippakopoulos, P., et al., “Selective inhibition of BET bromodomains,” Nature 468(7327):1067-73 (2010).", "BET inhibition has demonstrated potent antitumor activity in murine xenograft models of NMC, a rare but lethal form of cancer.", "The present disclosure also provides a method for treating human cancers, including, but not limited to, cancers dependent on a member of the myc family of oncoproteins including c-myc, MYCN, and L-myc.", "Vita, M. and M. Henriksson, “The Myc oncoprotein as a therapeutic target for human cancer,” Semin Cancer Biol 16(4):318-30 (2006).", "These cancers include Burkitt's lymphoma, acute myelogenous leukemia, multiple myeloma, and aggressive human medulloblastoma.", "Vita, M. and M. Henriksson, “The Myc oncoprotein as a therapeutic target for human cancer,” Semin Cancer Biol 16(4):318-30 (2006).", "Cancers in which c-myc is overexpressed may be particularly susceptible to BET protein inhibition; it has been shown that treatment of tumors that have activation of c-myc with a BET inhibitor resulted in tumor regression through inactivation of c-myc transcription.", "Dawson, M. A., et al., Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia.", "Nature, 2011.478(7370): p. 529-33; Delmore, J. E., et al., “BET bromodomain inhibition as a therapeutic strategy to target c-Myc,” Cell 146(6):904-17 (2010); Mertz, J.", "A., et al., “Targeting MYC dependence in cancer by inhibiting BET bromodomains,” Proc Natl Acad Sci USA 108(40):16669-74 (2011); Ott, C. J., et al., “BET bromodomain inhibition targets both c-Myc and IL7R in high risk acute lymphoblastic leukemia,” Blood 120(14):2843-52 (2012); Zuber, J., et al., “RNAi screen identifies Brd4 as a therapeutic target in acute myeloid leukaemia,” Nature 478(7370):524-8 (2011).", "Embodiments of the invention include methods for treating human cancers that rely on BET proteins and pTEFb (Cdk9/CyclinT) to regulate oncogenes (Wang, S. and P. M. Fischer, “Cyclin-dependent kinase 9: a key transcriptional regulator and potential drug target in oncology, virology and cardiology,” Trends Pharmacol Sci 29(6):302-13 (2008)), and cancers that can be treated by inducing apoptosis or senescence by inhibiting Bcl2, cyclin-dependent kinase 6 (CDK6) (Dawson, M. A., et al., “Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia,” Nature 478(7370):529-33 (2011)), or human telomerase reverse transcriptase (hTERT).", "Delmore, J. E., et al., “BET bromodomain inhibition as a therapeutic strategy to target c-Myc,” Cell 146(6):904-17 (2010); Ruden, M. and N. Puri, “Novel anticancer therapeutics targeting telomerase,” Cancer Treat Rev (2012).", "BET inhibitors may be useful in the treatment of cancers including, but not limited to, adrenal cancer, acinic cell carcinoma, acoustic neuroma, acral lentiginous melanoma, acrospiroma, acute eosinophilic leukemia, acute erythroid leukemia, acute lymphoblastic leukemia, acute megakaryoblastic leukemia, acute monocytic leukemia, acute myeloid leukemia (Dawson, M. A., et al., “Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia,” Nature 478(7370):529-33 (2011); Mertz, J.", "A., et al., “Targeting MYC dependence in cancer by inhibiting BET bromodomains,” Proc Natl Acad Sci USA 108(40):16669-74 (2011); Zuber, J., et al., “RNAi screen identifies Brd4 as a therapeutic target in acute myeloid leukaemia,” Nature 478(7370):524-8 (2011)), adenocarcinoma, adenoid cystic carcinoma, adenoma, adenomatoid odontogenic tumor, adenosquamous carcinoma, adipose tissue neoplasm, adrenocortical carcinoma, adult T-cell leukemia/lymphoma, aggressive NK-cell leukemia, AIDS-related lymphoma, alveolar rhabdomyosarcoma, alveolar soft part sarcoma, ameloblastic fibroma, anaplastic large cell lymphoma, anaplastic thyroid cancer, angioimmunoblastic T-cell lymphoma, angiomyolipoma, angiosarcoma, astrocytoma, atypical teratoid rhabdoid tumor, B-cell acute lymphoblastic leukemia (Ott, C. J., et al., “BET bromodomain inhibition targets both c-Myc and IL7R in highrisk acute lymphoblastic leukemia,” Blood 120(14):2843-52 (2012)), B-cell chronic lymphocytic leukemia, B-cell prolymphocytic leukemia, B-cell lymphoma (Greenwald, R. J., et al., “E mu-BRD2 transgenic mice develop B-cell lymphoma and leukemia,”.", "Blood 103(4):1475-84 (2004)), basal cell carcinoma, biliary tract cancer, bladder cancer, blastoma, bone cancer, Brenner tumor, Brown tumor, Burkitt's lymphoma (Mertz, J.", "A., et al., “Targeting MYC dependence in cancer by inhibiting BET bromodomains,” Proc Natl Acad Sci USA 108(40):16669-74 (2011)), breast cancer, brain cancer, carcinoma, carcinoma in situ, carcinosarcoma, cartilage tumor, cementoma, myeloid sarcoma, chondroma, chordoma, choriocarcinoma, choroid plexus papilloma, clear-cell sarcoma of the kidney, craniopharyngioma, cutaneous T-cell lymphoma, cervical cancer, colorectal cancer, Degos disease, desmoplastic small round cell tumor, diffuse large B-cell lymphoma, dysembryoplastic neuroepithelial tumor, dysgerminoma, embryonal carcinoma, endocrine gland neoplasm, endodermal sinus tumor, enteropathy-associated T-cell lymphoma, esophageal cancer, fetus in fetu, fibroma, fibrosarcoma, follicular lymphoma, follicular thyroid cancer, ganglioneuroma, gastrointestinal cancer, germ cell tumor, gestational choriocarcinoma, giant cell fibroblastoma, giant cell tumor of the bone, glial tumor, glioblastoma multiforme, glioma, gliomatosis cerebri, glucagonoma, gonadoblastoma, granulosa cell tumor, gynandroblastoma, gallbladder cancer, gastric cancer, hairy cell leukemia, hemangioblastoma, head and neck cancer, hemangiopericytoma, hematological malignancy, hepatoblastoma, hepatosplenic T-cell lymphoma, Hodgkin's lymphoma, non-Hodgkin's lymphoma, invasive lobular carcinoma, intestinal cancer, kidney cancer, laryngeal cancer, lentigo maligna, lethal midline carcinoma, leukemia, Leydig cell tumor, liposarcoma, lung cancer, lymphangioma, lymphangiosarcoma, lymphoepithelioma, lymphoma, acute lymphocytic leukemia, acute myelogenous leukemia (Mertz, J.", "A., et al., “Targeting MYC dependence in cancer by inhibiting BET bromodomains,” Proc Natl Acad Sci USA 108(40):16669-74 (2011)), chronic lymphocytic leukemia, liver cancer, small cell lung cancer, non-small cell lung cancer, MALT lymphoma, malignant fibrous histiocytoma, malignant peripheral nerve sheath tumor, malignant triton tumor, mantle cell lymphoma, marginal zone B-cell lymphoma, mast cell leukemia, mediastinal germ cell tumor, medullary carcinoma of the breast, medullary thyroid cancer, medulloblastoma, melanoma (Miguel F. Segura, et al, “BRD4 is a novel therapeutic target in melanoma,” Cancer Research.", "72(8):Supplement 1 (2012)), meningioma, Merkel cell cancer, mesothelioma, metastatic urothelial carcinoma, mixed Mullerian tumor, mixed lineage leukemia (Dawson, M. A., et al., “Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia,” Nature 478(7370):529-33 (2011)), mucinous tumor, multiple myeloma (Delmore, J. E., et al., “BET bromodomain inhibition as a therapeutic strategy to target c-Myc,” Cell 146(6):904-17 (2010)), muscle tissue neoplasm, mycosis fungoides, myxoid liposarcoma, myxoma, myxosarcoma, nasopharyngeal carcinoma, neurinoma, neuroblastoma, neurofibroma, neuroma, nodular melanoma, NUT-midline carcinoma (Filippakopoulos, P., et al., “Selective inhibition of BET bromodomains,” Nature 468(7327):1067-73 (2010)), ocular cancer, oligoastrocytoma, oligodendroglioma, oncocytoma, optic nerve sheath meningioma, optic nerve tumor, oral cancer, osteosarcoma, ovarian cancer, Pancoast tumor, papillary thyroid cancer, paraganglioma, pinealoblastoma, pineocytoma, pituicytoma, pituitary adenoma, pituitary tumor, plasmacytoma, polyembryoma, precursor T-lymphoblastic lymphoma, primary central nervous system lymphoma, primary effusion lymphoma, primary peritoneal cancer, prostate cancer, pancreatic cancer, pharyngeal cancer, pseudomyxoma peritonei, renal cell carcinoma, renal medullary carcinoma, retinoblastoma, rhabdomyoma, rhabdomyosarcoma, Richter's transformation, rectal cancer, sarcoma, Schwannomatosis, seminoma, Sertoli cell tumor, sex cord-gonadal stromal tumor, signet ring cell carcinoma, skin cancer, small blue round cell tumors, small cell carcinoma, soft tissue sarcoma, somatostatinoma, soot wart, spinal tumor, splenic marginal zone lymphoma, squamous cell carcinoma, synovial sarcoma, Sezary's disease, small intestine cancer, squamous carcinoma, stomach cancer, testicular cancer, thecoma, thyroid cancer, transitional cell carcinoma, throat cancer, urachal cancer, urogenital cancer, urothelial carcinoma, uveal melanoma, uterine cancer, verrucous carcinoma, visual pathway glioma, vulvar cancer, vaginal cancer, Waldenstrom's macroglobulinemia, Warthin's tumor, and Wilms' tumor.", "Thus, one aspect of the inventions provides compounds, compositions, and methods for treating such cancers.", "BET inhibitors may be useful in the treatment of benign proliferative and fibrotic disorders, including benign soft tissue tumors, bone tumors, brain and spinal tumors, eyelid and orbital tumors, granuloma, lipoma, meningioma, multiple endocrine neoplasia, nasal polyps, pituitary tumors, prolactinoma, pseudotumor cerebri, seborrheic keratoses, stomach polyps, thyroid nodules, cystic neoplasms of the pancreas, hemangiomas, vocal cord nodules, polyps, and cysts, Castleman disease, chronic pilonidal disease, dermatofibroma, pilar cyst, pyogenic granuloma, juvenile polyposis syndrome, idiopathic pulmonary fibrosis, renal fibrosis, post-operative stricture, keloid formation, scleroderma, and cardiac fibrosis.", "See e.g., Tang, X et al., “Assessment of Brd4 Inhibition in Idiopathic Pulmonary Fibrosis Lung Fibroblasts and in Vivo Models of Lung Fibrosis,” .", "Am J Pathology in press (2013).", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating such benign proliferative and fibrotic disorders.", "Cardiovascular disease (CVD) is the leading cause of mortality and morbidity in the United States.", "Roger, V. L., et al., “Heart disease and stroke statistics—2012 update: a report from the American Heart Association,” Circulation 125(1):e2-e220 (2012).", "Atherosclerosis, an underlying cause of CVD, is a multifactorial disease characterized by dyslipidemia and inflammation.", "BET inhibitors are expected to be efficacious in atherosclerosis and associated conditions because of aforementioned anti-inflammatory effects as well as ability to increase transcription of ApoA-I, the major constituent of HDL.", "Mirguet, O., et al., “From ApoA1 upregulation to BET family bromodomain inhibition: discovery of I-BET151,” Bioorg Med Chem Lett 22(8):2963-7 (2012); Chung, C. W., et al., “Discovery and characterization of small molecule inhibitors of the BET family bromodomains,” J Med Chem 54(11):3827-38 (2011).", "Accordingly, one aspect of the invention provides compounds, compositions, and methods for treating cardiovascular disease, including but not limited to atherosclerosis.", "Up-regulation of ApoA-I is considered to be a useful strategy in treatment of atherosclerosis and CVD.", "Degoma, E. M. and D. J. Rader, “Novel HDL-directed pharmacotherapeutic strategies,” Nat Rev Cardiol 8(5):266-77 (2011) BET inhibitors have been shown to increase ApoA-I transcription and protein expression.", "Mirguet, O., et al., “From ApoA1 upregulation to BET family bromodomain inhibition: discovery of I-BET151,” Bioorg Med Chem Lett 22(8):2963-7 (2012); Chung, C. W., et al., “Discovery and characterization of small molecule inhibitors of the BET family bromodomains,” J Med Chem 54(11):3827-38 (2011).", "It has also been shown that BET inhibitors bind directly to BET proteins and inhibit their binding to acetylated histones at the ApoA-1 promoter, suggesting the presence of a BET protein repression complex on the ApoA-1 promoter, which can be functionally disrupted by BET inhibitors.", "It follows that, BET inhibitors may be useful in the treatment of disorders of lipid metabolism via the regulation of ApoA-I and HDL such as hypercholesterolemia, dyslipidemia, atherosclerosis (Degoma, E. M. and D. J. Rader, “Novel HDL-directed pharmacotherapeutic strategies,” Nat Rev Cardiol 8(5):266-77 (2011)), and Alzheimer's disease and other neurological disorders.", "Elliott, D. A., et al., “Apolipoproteins in the brain: implications for neurological and psychiatric disorders,” Clin Lipidol 51(4):555-573 (2010).", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating cardiovascular disorders by upregulation of ApoA-1.BET inhibitors may be useful in the prevention and treatment of conditions associated with ischemia-reperfusion injury such as, but not limited to, myocardial infarction, stroke, acute coronary syndromes (Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012)), renal reperfusion injury, organ transplantation, coronary artery bypass grafting, cardio-pulmonary bypass procedures, hypertension, pulmonary, renal, hepatic, gastro-intestinal, or peripheral limb embolism.", "Accordingly, one aspect of the invention provides compounds, compositions, and methods for prevention and treatment of conditions described herein that are associated with ischemia-reperfusion injury.", "Obesity-associated inflammation is a hallmark of type II diabetes, insulin resistance, and other metabolic disorders.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012); Denis, G. V., “Bromodomain coactivators in cancer, obesity, type 2 diabetes, and inflammation,” Discov Med 10(55):489-99 (2010).", "Consistent with the ability of BET inhibitors to inhibit inflammation, gene disruption of Brd2 in mice ablates inflammation and protects animals from obesity-induced insulin resistance.", "Wang, F., et al., “Brd2 disruption in mice causes severe obesity without Type 2 diabetes,” Biochem J 425(1):71-83 (2010).", "It has been shown that Brd2 interacts with PPARγ and opposes its transcriptional function.", "Knockdown of Brd2 in vitro promotes transcription of PPARγ-regulated networks, including those controlling adipogenesis.", "Denis, G. V., et al, “An emerging role for bromodomain-containing proteins in chromatin regulation and transcriptional control of adipogenesis,” FEBS Lett 584(15):3260-8 (2010).", "In addition Brd2 is highly expressed in pancreatic β-cells and regulates proliferation and insulin transcription.", "Wang, F., et al., “Brd2 disruption in mice causes severe obesity without Type 2 diabetes,” Biochem J 425(1):71-83 (2010).", "Taken together, the combined effects of BET inhibitors on inflammation and metabolism decrease insulin resistance and may be useful in the treatment of pre-diabetic and type II diabetic individuals as well as patients with other metabolic complications.", "Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012).", "Accordingly, one aspect of the invention provides compounds, compositions, and methods for treatment and prevention of metabolic disorders, including but not limited to obesity-associated inflammation, type II diabetes, and insulin resistance.", "Host-encoded BET proteins have been shown to be important for transcriptional activation and repression of viral promoters.", "Brd4 interacts with the E2 protein of human papilloma virus (HPV) to enable E2 mediated transcription of E2-target genes.", "Gagnon, D., et al., “Proteasomal degradation of the papillomavirus E2 protein is inhibited by overexpression of bromodomain-containing protein 4,” J Virol 83(9):4127-39 (2009).", "Similarly, Brd2, Brd3, and Brd4 all bind to latent nuclear antigen 1 (LANA1), encoded by Kaposi's sarcoma-associated herpes virus (KSHV), promoting LANA1-dependent proliferation of KSHV-infected cells.", "You, J., et al., “Kaposi's sarcoma-associated herpesvirus latency-associated nuclear antigen interacts with bromodomain protein Brd4 on host mitotic chromosomes,” J Virol 80(18):8909-19 (2006).", "A BET inhibitor has been shown to inhibit the Brd4-mediated recruitment of the transcription elongation complex pTEFb to the Epstein-Barr virus (EBV) viral C promoter, suggesting therapeutic value for EBV-associated malignancies.", "Palermo, R. D., et al., “RNA polymerase II stalling promotes nucleosome occlusion and pTEFb recruitment to drive immortalization by Epstein-Barr virus,” PLoS Pathog 7(10):e1002334 (2011).", "Also, a BET inhibitor reactivated HIV in models of latent T cell infection and latent monocyte infection, potentially allowing for viral eradication by complementary anti-retroviral therapy.", "Zhu, J., et al., “Reactivation of Latent HIV-1 by Inhibition of BRD4,” Cell Rep (2012); Banerjee, C., et al., “BET bromodomain inhibition as a novel strategy for reactivation of HIV-1,” J Leukoc Biol (2012); Bartholomeeusen, K., et al., “BET bromodomain inhibition activates transcription via a transient release of P-TEFb from 7SK snRNP,”J Biol Chem (2012); Li, Z., et al., “The BET bromodomain inhibitor JQ1 activates HIV latency through antagonizing Brd4 inhibition of Tat-transactivation,” Nucleic Acids Res (2012).", "BET inhibitors may be useful in the prevention and treatment of episome-based DNA viruses including, but not limited to, human papillomavirus, herpes virus, Epstein-Barr virus, human immunodeficiency virus (Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012)), adenovirus, poxvirus, hepatitis B virus, and hepatitis C virus.", "Thus, the invention also provides compounds, compositions, and methods for treatment and prevention of episome-based DNA virus infections described herein.", "Some central nervous system (CNS) diseases are characterized by disorders in epigenetic processes.", "Brd2 haplo-insufficiency has been linked to neuronal deficits and epilepsy.", "Velisek, L., et al., “GABAergic neuron deficit as an idiopathic generalized epilepsy mechanism: the role of BRD2 haploinsufficiency in juvenile myoclonic epilepsy,” PLoS One 6(8): e23656 (2011) SNPs in various bromodomain-containing proteins have also been linked to mental disorders including schizophrenia and bipolar disorders.", "Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012).", "In addition, the ability of BET inhibitors to increase ApoA-I transcription may make BET inhibitors useful in Alzheimer's disease therapy considering the suggested relationship between increased ApoA-I and Alzheimer's disease and other neurological disorders.", "Elliott, D. A., et al., “Apolipoproteins in the brain: implications for neurological and psychiatric disorders,” Clin Lipidol 51(4):555-573 (2010).", "Accordingly, one aspect of the invention provides compounds, compositions, and methods for treating such CNS diseases and disorders.", "BRDT is the testis-specific member of the BET protein family which is essential for chromatin remodeling during spermatogenesis.", "Gaucher, J., et al., “Bromodomain-dependent stage-specific male genome programming by Brdt,” EMBO J 31(19):3809-20 (2012); Shang, E., et al., “The first bromodomain of Brdt, a testis-specific member of the BET sub-family of double-bromodomain-containing proteins, is essential for male germ cell differentiation,” Development 134(19):3507-15 (2007).", "Genetic depletion of BRDT or inhibition of BRDT interaction with acetylated histones by a BET inhibitor resulted in a contraceptive effect in mice, which was reversible when small molecule BET inhibitors were used.", "Matzuk, M. M., et al., “Small-Molecule Inhibition of BRDT for Male Contraception,” Cell 150(4): 673-684 (2012); Berkovits, B. D., et al., “The testis-specific double bromodomain-containing protein BRDT forms a complex with multiple spliceosome components and is required for mRNA splicing and 3′-UTR truncation in round spermatids,” Nucleic Acids Res 40(15):7162-75 (2012).", "These data suggest potential utility of BET inhibitors as a novel and efficacious approach to male contraception.", "Thus, another aspect of the invention provides compounds, compositions, and methods for male contraception.", "Monocyte chemotactic protein-1 (MCP-1, CCL2) plays an important role in cardiovascular disease.", "Niu, J. and P. E. Kolattukudy, “Role of MCP-1 in cardiovascular disease: molecular mechanisms and clinical implications,” Clin Sci (Lond) 117(3):95-109 (2009).", "MCP-1, by its chemotactic activity, regulates recruitment of monocytes from the arterial lumen to the subendothelial space, where they develop into macrophage foam cells, and initiate the formation of fatty streaks which can develop into atherosclerotic plaque.", "Dawson, J., et al., “Targeting monocyte chemoattractant protein-1 signalling in disease,” Expert Opin Ther Targets 7(1):35-48 (2003).", "The critical role of MCP-1 (and its cognate receptor CCR2) in the development of atherosclerosis has been examined in various transgenic and knockout mouse models on a hyperlipidemic background.", "Boring, L., et al., “Decreased lesion formation in CCR2−/− mice reveals a role for chemokines in the initiation of atherosclerosis,” Nature 394(6696):894-7 (1998); Gosling, J., et al., “MCP-1 deficiency reduces susceptibility to atherosclerosis in mice that overexpress human apolipoprotein B,” J Clin Invest 103(6):773-8 (1999); Gu, L., et al., “Absence of monocyte chemoattractant protein-1 reduces atherosclerosis in low density lipoprotein receptor-deficient mice,” Mol Cell 2(2):275-81 (1998); Aiello, R. J., et al., “Monocyte chemoattractant protein-1 accelerates atherosclerosis in apolipoprotein E-deficient mice,” Arterioscler Thromb Vasc Biol 19(6):1518-25 (1999).", "These reports demonstrate that abrogation of MCP-1 signaling results in decreased macrophage infiltration to the arterial wall and decreased atherosclerotic lesion development.", "The association between MCP-1 and cardiovascular disease in humans is well-established.", "Niu, J. and P. E. Kolattukudy, “Role of MCP-1 in cardiovascular disease: molecular mechanisms and clinical implications,” Clin Sci (Lond) 117(3):95-109 (2009).", "MCP-1 and its receptor are overexpressed by endothelial cells, smooth muscle cells, and infiltrating monocytes/macrophages in human atherosclerotic plaque.", "Nelken, N. A., et al., “Monocyte chemoattractant protein-1 in human atheromatous plaques,” J Clin Invest 88(4):1121-7 (1991).", "Moreover, elevated circulating levels of MCP-1 are positively correlated with most cardiovascular risk factors, measures of coronary atherosclerosis burden, and the incidence of coronary heart disease (CHD).", "Deo, R., et al., “Association among plasma levels of monocyte chemoattractant protein-1, traditional cardiovascular risk factors, and subclinical atherosclerosis,” J Am Coll Cardiol 44(9):1812-8 (2004).", "CHD patients with among the highest levels of MCP-1 are those with acute coronary syndrome (ACS).", "de Lemos, J.", "A., et al., “Association between plasma levels of monocyte chemoattractant protein-1 and long-term clinical outcomes in patients with acute coronary syndromes,” Circulation 107(5):690-5 (2003).", "In addition to playing a role in the underlying inflammation associated with CHD, MCP-1 has been shown to be involved in plaque rupture, ischemic/reperfusion injury, restenosis, and heart transplant rejection.", "Niu, J. and P. E. Kolattukudy, “Role of MCP-1 in cardiovascular disease: molecular mechanisms and clinical implications,” Clin Sci (Lond) 117(3):95-109 (2009).", "MCP-1 also promotes tissue inflammation associated with autoimmune diseases including rheumatoid arthritis (RA) and multiple sclerosis (MS).", "MCP-1 plays a role in the infiltration of macrophages and lymphocytes into the joint in RA, and is overexpressed in the synovial fluid of RA patients.", "Koch, A. E., et al., “Enhanced production of monocyte chemoattractant protein-1 in rheumatoid arthritis,”J Clin Invest 90(3):772-9 (1992).", "Blockade of MCP-1 and MCP-1 signaling in animal models of RA have also shown the importance of MCP-1 to macrophage accumulation and proinflammatory cytokine expression associated with RA.", "Brodmerkel, C. M., et al., “Discovery and pharmacological characterization of a novel rodent-active CCR2 antagonist, INCB3344,” J Immunol 175(8):5370-8 (2005); Bruhl, H., et al., “Dual role of CCR2 during initiation and progression of collagen-induced arthritis: evidence for regulatory activity of CCR2+ T cells,” J Immunol 172(2):890-8 (2004); Gong, J. H., et al., “An antagonist of monocyte chemoattractant protein 1 (MCP-1) inhibits arthritis in the MRL-Ipr mouse model,”J Exp Med 186(1):131-7 (1997); 65.Gong, J. H., et al., “Post-onset inhibition of murine arthritis using combined chemokine antagonist therapy,” Rheumatology (Oxford 43(1): 39-42 (2004).", "Overexpression of MCP-1, in the brain, cerebrospinal fluid (CSF), and blood, has also been associated with chronic and acute MS in humans.", "Mahad, D. J. and R. M. Ransohoff, “The role of MCP-1 (CCL2) and CCR2 in multiple sclerosis and experimental autoimmune encephalomyelitis (EAE),” Semin Immunol 15(1):23-32 (2003).", "MCP-1 is overexpressed by a variety of cell types in the brain during disease progression and contributes to the infiltration of macrophages and lymphocytes which mediate the tissue damage associated with MS. Genetic depletion of MCP-1 or CCR2 in the experimental autoimmune encephalomyelitis (EAE) mouse model, a model resembling human MS, results in resistance to disease, primarily because of decreased macrophage infiltration to the CNS.", "Fife, B. T., et al., “CC chemokine receptor 2 is critical for induction of experimental autoimmune encephalomyelitis,” J Exp Med 192(6):899-905 (2000); Huang, D. R., et al., “Absence of monocyte chemoattractant protein 1 in mice leads to decreased local macrophage recruitment and antigen-specific T helper cell type 1 immune response in experimental autoimmune encephalomyelitis,” J Exp Med 193(6):713-26 (2001).", "Preclinical data have suggested that small- and large-molecule inhibitors of MCP-1 and CCR2 have potential as therapeutic agents in inflammatory and autoimmune indications.", "Thus, one aspect of the invention provides compounds, compositions, and methods for treating cardiovascular, inflammatory, and autoimmune conditions associated with MCP-1 and CCR2.Accordingly, the invention provides compounds that are useful for inhibition of BET protein function by binding to bromodomains, pharmaceutical compositions comprising one or more of those compounds, and use of these compounds or compositions in the treatment and prevention of diseases and conditions, including, but not limited to, cancer, autoimmune, and cardiovascular diseases.", "The compounds of the invention are defined by Formula la or Formula IIa: or are stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, wherein: A is selected from 5- or 6-membered monocyclic heterocycles fused to ring B; with the proviso that A cannot be substituted or unsubstituted B is a six-membered aromatic carbocycle or heterocycle; Y is selected from N, C, and CH; W1 is selected from N and CR1; W2 is selected from N and CR2; W3 is selected from N and CR3; W4 and W5 are independently selected from N, CH, and C or alternatively, W4 and W5 are both C (see, e.g., Formula Ib and Formula IIb below); W1, W2, and W3 may be the same or different from each other; R1 and R2 are independently selected from hydrogen, deuterium, alkyl, —OH, —NH2, -thioalkyl, alkoxy, ketone, ester, carboxylic acid, urea, carbamate, amino, amide, halogen, carbocycle, heterocycle, sulfone, sulfoxide, sulfide, sulfonamide, and —CN; R3 is selected from hydrogen, —NH2, —CN, —N3, halogen, and deuterium; or alternatively, R3 is selected from —NO2, —OMe, —OEt, —NHC(O)Me, NHSO2Me, cylcoamino, cycloamido, —OH, —SO2Me, —SO2Et, —CH2NH2, —C(O)NH2, and —C(O)OMe; X is selected from —CH2—, —CH2CH2—, —CH2CH2CH2—, —CH2CH2O—, —CH2CH2NH—, —CH2CH2S—, —C(O)—, —C(O)CH2—, —C(O)CH2CH2—, —CH2C(O)—, —CH2CH2C(O)—, —C(O)NH—, —C(O)O—, —C(O)S—, —C(O)NHCH2—, —C(O)OCH2—, —C(O)SCH2—, where one or more hydrogen may independently be replaced with deuterium, halogen, —CF3, ketone, and where S may be oxidized to sulfoxide or sulfone; or alternatively, X may be selected from —NH—, —CH(OH)—, —CH(CH3)—, and hydroxyl methyl, where one or more hydrogen may independently be replaced with deuterium, halogen, —CF3, ketone, and where S may be oxidized to sulfoxide or sulfone; R4 is selected from 4-7 membered carbocycles and heterocycles; or alternatively, R4 is a 3 membered carbocyble or heterocycle; D1 is selected from 5-membered monocyclic carbocycles and heterocycles; or alternatively, D1 is a monocyclic heterocycle, where D1 is attached to the B ring via a carbon atom that is part of a double bond; with the proviso that if R3 is hydrogen and A is a 5-membered ring, then D1 cannot be and with the proviso that if D1 is and R2 and R3 are hydrogen and R1 is —OMe, then the A-B bicyclic ring is not and with the proviso that if if D1 is and each of R1, R2, R3, are hydrogen, then the A-B bicyclic ring is not unless the B ring is substituted; and with the proviso that if each of R1, R2, R3 are hydrogen, then the A-B bicyclic ring is not and with the proviso that if each of R1, R2, R3 are hydrogen, then the A-B bicyclic ring is not In certain embodiments A is a five membered ring.", "In some embodiments Y is N or C. In some embodiments, R1 and R2 are independently selected from hydrogen, deuterium, alkyl, —OH, —NH2, -thioalkyl, alkoxy, ketone, ester, carboxylic acid, urea, carbamate, amino, amide, halogen, sulfone, sulfoxide, sulfide, sulfonamide, and —CN.", "In some embodiments, the compound of Formula Ia is a compound of Formula Ib, i.e., wherein W4 and W5 of Formula I are both C. In some embodiments, the compound of Formula IIa is a compound of Formula IIb, i.e., wherein W4 and W5 of Formula I are both C. In another aspect of the invention, a pharmaceutical composition comprising a compound of Formula Ia, Formula Ib, Formula IIa, and/or Formula IIb, or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof and one or more pharmaceutically acceptable carriers, diluents or excipients is provided.", "In yet another aspect of the invention there is provided a compound of Formula Ia, Formula Ib, Formula IIa, and/or Formula IIb, or a stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, or a pharmaceutical composition comprising such compound, for use in therapy, in particular in the treatment of diseases or conditions for which a bromodomain inhibitor is indicated.", "In yet another aspect of the invention there is provided a compound of Formula Ia, Formula Ib, Formula IIa, and/or Formula IIb, or a stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof in the manufacture of a medicament for the treatment of diseases or conditions for which a bromodomain inhibitor is indicated.", "Definitions As used in the present specification, the following words, phrases and symbols are generally intended to have the meanings as set forth below, except to the extent that the context in which they are used indicates otherwise.", "The following abbreviations and terms have the indicated meanings throughout.", "As used herein, “cardiovascular disease” refers to diseases, disorders and conditions of the heart and circulatory system that are mediated by BET inhibition.", "Exemplary cardiovascular diseases, including cholesterol- or lipid-related disorders, include, but are not limited to, acute coronary syndrome, angina, arteriosclerosis, atherosclerosis, carotid atherosclerosis, cerebrovascular disease, cerebral infarction, congestive heart failure, congenital heart disease, coronary heart disease, coronary artery disease, coronary plaque stabilization, dyslipidemias, dyslipoproteinemias, endothelium dysfunctions, familial hypercholesterolemia, familial combined hyperlipidemia, hypoalphalipoproteinemia, hypertriglyceridemia, hyperbetalipoproteinemia, hypercholesterolemia, hypertension, hyperlipidemia, intermittent claudication, ischemia, ischemia reperfusion injury, ischemic heart diseases, cardiac ischemia, metabolic syndrome, multi-infarct dementia, myocardial infarction, obesity, peripheral vascular disease, reperfusion injury, restenosis, renal artery atherosclerosis, rheumatic heart disease, stroke, thrombotic disorder, transitory ischemic attacks, and lipoprotein abnormalities associated with Alzheimer's disease, obesity, diabetes mellitus, syndrome X, impotence, multiple sclerosis, Parkinson's disease, and inflammatory diseases.", "As used herein, “inflammatory diseases” refers to diseases, disorders, and conditions that are mediated by BET inhibition.", "Exemplary inflammatory diseases, include, but are not limited to, arthritis, asthma, dermatitis, psoriasis, cystic fibrosis, post transplantation late and chronic solid organ rejection, multiple sclerosis, systemic lupus erythematosus, inflammatory bowel diseases, autoimmune diabetes, diabetic retinopathy, diabetic nephropathy, diabetic vasculopathy, ocular inflammation, uveitis, rhinitis, ischemia-reperfusion injury, post-angioplasty restenosis, chronic obstructive pulmonary disease (COPD), glomerulonephritis, Graves disease, gastrointestinal allergies, conjunctivitis, atherosclerosis, coronary artery disease, angina, and small artery disease.", "As used herein, “cancer” refers to diseases, disorders, and conditions that are mediated by BET inhibition.", "Exemplary cancers, include, but are not limited to, chronic lymphocytic leukemia and multiple myeloma, follicular lymphoma, diffuse large B cell lymphoma with germinal center phenotype, Burkitt's lymphoma, Hodgkin's lymphoma, follicular lymphomas and activated, anaplastic large cell lymphoma, neuroblastoma and primary neuroectodermal tumor, rhabdomyosarcoma, prostate cancer, breast cancer, NMC (NUT-midline carcinoma), acute myeloid leukemia (AML), acute B lymphoblastic leukemia (B-ALL), Burkitt's Lymphoma, B-cell lymphoma, melanoma, mixed lineage leukemia, multiple myeloma, pro-myelocytic leukemia (PML), non-Hodgkin's lymphoma, neuroblastoma, medulloblastoma, lung carcinoma (NSCLC, SCLC), and colon carcinoma.", "“Subject” refers to an animal, such as a mammal, that has been or will be the object of treatment, observation, or experiment.", "The methods described herein may be useful for both human therapy and veterinary applications.", "In one embodiment, the subject is a human.", "As used herein, “treatment” or “treating” refers to an amelioration of a disease or disorder, or at least one discernible symptom thereof.", "In another embodiment, “treatment” or “treating” refers to an amelioration of at least one measurable physical parameter, not necessarily discernible by the patient.", "In yet another embodiment, “treatment” or “treating” refers to inhibiting the progression of a disease or disorder, either physically, e.g., stabilization of a discernible symptom, physiologically, e.g., stabilization of a physical parameter, or both.", "In yet another embodiment, “treatment” or “treating” refers to delaying the onset of a disease or disorder.", "For example, treating a cholesterol disorder may comprise decreasing blood cholesterol levels.", "As used herein, “prevention” or “preventing” refers to a reduction of the risk of acquiring a given disease or disorder.", "A dash (“—”) that is not between two letters or symbols is used to indicate a point of attachment for a substituent.", "For example, —CONH2 is attached through the carbon atom.", "By “optional” or “optionally” is meant that the subsequently described event or circumstance may or may not occur, and that the description includes instances where the event or circumstance occurs and instances in which is does not.", "For example, “optionally substituted aryl” encompasses both “aryl” and “substituted aryl” as defined below.", "It will be understood by those skilled in the art, with respect to any group containing one or more substituents, that such groups are not intended to introduce any substitution or substitution patterns that are sterically impractical, synthetically non-feasible and/or inherently unstable.", "As used herein, the term “hydrate” refers to a crystal form with either a stoichiometric or non-stoichiometric amount of water is incorporated into the crystal structure.", "The term “alkenyl” as used herein refers to an unsaturated straight or branched hydrocarbon having at least one carbon-carbon double bond, such as a straight or branched group of 2-8 carbon atoms, referred to herein as (C2-C8)alkenyl.", "Exemplary alkenyl groups include, but are not limited to, vinyl, allyl, butenyl, pentenyl, hexenyl, butadienyl, pentadienyl, hexadienyl, 2-ethylhexenyl, 2-propyl-2-butenyl, and 4-(2-methyl-3-butene)-pentenyl.", "The term “alkoxy” as used herein refers to an alkyl group attached to an oxygen (—O-alkyl-).", "“Alkoxy” groups also include an alkenyl group attached to an oxygen (“alkenyloxy”) or an alkynyl group attached to an oxygen (“alkynyloxy”) groups.", "Exemplary alkoxy groups include, but are not limited to, groups with an alkyl, alkenyl or alkynyl group of 1-8 carbon atoms, referred to herein as (C1-C8)alkoxy.", "Exemplary alkoxy groups include, but are not limited to methoxy and ethoxy.", "The term “alkyl” as used herein refers to a saturated straight or branched hydrocarbon, such as a straight or branched group of 1-8 carbon atoms, referred to herein as (C1-C8)alkyl.", "Exemplary alkyl groups include, but are not limited to, methyl, ethyl, propyl, isopropyl, 2-methyl-1-propyl, 2-methyl-2-propyl, 2-methyl-1-butyl, 3-methyl-1-butyl, 2-methyl-3-butyl, 2,2-dimethyl-1-propyl, 2-methyl-1-pentyl, 3-methyl-1-pentyl, 4-methyl-1-pentyl, 2-methyl-2-pentyl, 3-methyl-2-pentyl, 4-methyl-2-pentyl, 2,2-dimethyl-1-butyl, 3,3-dimethyl-1-butyl, 2-ethyl-1-butyl, butyl, isobutyl, t-butyl, pentyl, isopentyl, neopentyl, hexyl, heptyl, and octyl.", "The term “alkynyl” as used herein refers to an unsaturated straight or branched hydrocarbon having at least one carbon-carbon triple bond, such as a straight or branched group of 2-8 carbon atoms, referred to herein as (C2-C8)alkynyl.", "Exemplary alkynyl groups include, but are not limited to, ethynyl, propynyl, butynyl, pentynyl, hexynyl, methylpropynyl, 4-methyl-1-butynyl, 4-propyl-2-pentynyl, and 4-butyl-2-hexynyl.", "The term “amide” as used herein refers to the form —NRaC(O)(Rb)— or —C(O)NRbRc, wherein Ra, Rb and Rc are each independently selected from alkyl, alkenyl, alkynyl, aryl, arylalkyl, cycloalkyl, haloalkyl, heteroaryl, heterocyclyl, and hydrogen.", "The amide can be attached to another group through the carbon, the nitrogen, Rb, or Rc.", "The amide also may be cyclic, for example Rb and Rc, may be joined to form a 3- to 8-membered ring, such as 5- or 6-membered ring.", "The term “amide” encompasses groups such as sulfonamide, urea, ureido, carbamate, carbamic acid, and cyclic versions thereof.", "The term “amide” also encompasses an amide group attached to a carboxy group, e.g., -amide-COOH or salts such as -amide-COONa, an amino group attached to a carboxy group (e.g., -amino-COOH or salts such as -amino-COONa).", "The term “amine” or “amino” as used herein refers to the form —NRdRe or —N(Rd)Re—, where Rd and Re are independently selected from alkyl, alkenyl, alkynyl, aryl, arylalkyl, carbamate, cycloalkyl, haloalkyl, heteroaryl, heterocycle, and hydrogen.", "The amino can be attached to the parent molecular group through the nitrogen.", "The amino also may be cyclic, for example any two of Rd and Re may be joined together or with the N to form a 3- to 12-membered ring (e.g., morpholino or piperidinyl).", "The term amino also includes the corresponding quaternary ammonium salt of any amino group.", "Exemplary amino groups include alkylamino groups, wherein at least one of Rd or Re is an alkyl group.", "In some embodiments Rd and Re each may be optionally substituted with hydroxyl, halogen, alkoxy, ester, or amino.", "The term “aryl” as used herein refers to a mono-, bi-, or other multi-carbocyclic, aromatic ring system.", "The aryl group can optionally be fused to one or more rings selected from aryls, cycloalkyls, and heterocyclyls.", "The aryl groups of this present disclosure can be substituted with groups selected from alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, nitro, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide, and thioketone.", "Exemplary aryl groups include, but are not limited to, phenyl, tolyl, anthracenyl, fluorenyl, indenyl, azulenyl, and naphthyl, as well as benzo-fused carbocyclic moieties such as 5,6,7,8-tetrahydronaphthyl.", "Exemplary aryl groups also include, but are not limited to a monocyclic aromatic ring system, wherein the ring comprises 6 carbon atoms, referred to herein as “(C6)aryl.” The term “arylalkyl” as used herein refers to an alkyl group having at least one aryl substituent (e.g., -aryl-alkyl-).", "Exemplary arylalkyl groups include, but are not limited to, arylalkyls having a monocyclic aromatic ring system, wherein the ring comprises 6 carbon atoms, referred to herein as “(C6)arylalkyl.” The term “carbamate” as used herein refers to the form —RgOC(O)N(Rh)—, —RgOC(O)N(Rh)Ri—, or —OC(O)NRhRi, wherein Rg, Rh and Ri are each independently selected from alkyl, alkenyl, alkynyl, aryl, arylalkyl, cycloalkyl, haloalkyl, heteroaryl, heterocyclyl, and hydrogen.", "Exemplary carbamates include, but are not limited to, arylcarbamates or heteroaryl carbamates (e.g., wherein at least one of Rg, Rh and Ri are independently selected from aryl or heteroaryl, such as pyridine, pyridazine, pyrimidine, and pyrazine).", "The term “carbocycle” as used herein refers to an aryl or cycloalkyl group.", "The term “carboxy” as used herein refers to —COOH or its corresponding carboxylate salts (e.g., —COONa).", "The term carboxy also includes “carboxycarbonyl,” e.g.", "a carboxy group attached to a carbonyl group, e.g., —C(O)—COOH or salts, such as —C(O)—COONa.", "The term “cyano” as used herein refers to —CN.", "The term “cycloalkoxy” as used herein refers to a cycloalkyl group attached to an oxygen.", "The term “cycloalkyl” as used herein refers to a saturated or unsaturated cyclic, bicyclic, or bridged bicyclic hydrocarbon group of 3-12 carbons, or 3-8 carbons, referred to herein as “(C3-C8)cycloalkyl,” derived from a cycloalkane.", "Exemplary cycloalkyl groups include, but are not limited to, cyclohexanes, cyclohexenes, cyclopentanes, and cyclopentenes.", "Cycloalkyl groups may be substituted with alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, nitro, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide and thioketone.", "Cycloalkyl groups can be fused to other cycloalkyl saturated or unsaturated, aryl, or heterocyclyl groups.", "The term “dicarboxylic acid” as used herein refers to a group containing at least two carboxylic acid groups such as saturated and unsaturated hydrocarbon dicarboxylic acids and salts thereof.", "Exemplary dicarboxylic acids include alkyl dicarboxylic acids.", "Dicarboxylic acids may be substituted with alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydrogen, hydroxyl, ketone, nitro, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide and thioketone.", "Dicarboxylic acids include, but are not limited to succinic acid, glutaric acid, adipic acid, suberic acid, sebacic acid, azelaic acid, maleic acid, phthalic acid, aspartic acid, glutamic acid, malonic acid, fumaric acid, (+)/(−)-malic acid, (+)/(−) tartaric acid, isophthalic acid, and terephthalic acid.", "Dicarboxylic acids further include carboxylic acid derivatives thereof, such as anhydrides, imides, hydrazides (for example, succinic anhydride and succinimide).", "The term “ester” refers to the structure —C(O)O—, —C(O)O—Rj-, —RkC(O)O—Rj-, or —RkC(O)O—, where O is not bound to hydrogen, and Rj and Rk can independently be selected from alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, cycloalkyl, ether, haloalkyl, heteroaryl, and heterocyclyl.", "Rk can be a hydrogen, but Rj cannot be hydrogen.", "The ester may be cyclic, for example the carbon atom and Rj, the oxygen atom and Rk, or Rj and Rk may be joined to form a 3- to 12-membered ring.", "Exemplary esters include, but are not limited to, alkyl esters wherein at least one of Rj or Rk is alkyl, such as —O—C(O)-alkyl, —C(O)—O-alkyl-, and -alkyl-C(O)—O-alkyl-.", "Exemplary esters also include aryl or heteoraryl esters, e.g.", "wherein at least one of Rj or Rk is a heteroaryl group such as pyridine, pyridazine, pyrimidine and pyrazine, such as a nicotinate ester.", "Exemplary esters also include reverse esters having the structure —RkC(O)O—, where the oxygen is bound to the parent molecule.", "Exemplary reverse esters include succinate, D-argininate, L-argininate, L-lysinate and D-lysinate.", "Esters also include carboxylic acid anhydrides and acid halides.", "The terms “halo” or “halogen” as used herein refer to F, Cl, Br, or I.", "The term “haloalkyl” as used herein refers to an alkyl group substituted with one or more halogen atoms.", "“Haloalkyls” also encompass alkenyl or alkynyl groups substituted with one or more halogen atoms.", "The term “heteroaryl” as used herein refers to a mono-, bi-, or multi-cyclic, aromatic ring system containing one or more heteroatoms, for example 1-3 heteroatoms, such as nitrogen, oxygen, and sulfur.", "Heteroaryls can be substituted with one or more substituents including alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, nitro, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide and thioketone.", "Heteroaryls can also be fused to non-aromatic rings.", "Illustrative examples of heteroaryl groups include, but are not limited to, pyridinyl, pyridazinyl, pyrimidyl, pyrazyl, triazinyl, pyrrolyl, pyrazolyl, imidazolyl, (1,2,3)- and (1,2,4)-triazolyl, pyrazinyl, pyrimidilyl, tetrazolyl, furyl, thienyl, isoxazolyl, thiazolyl, furyl, phenyl, isoxazolyl, and oxazolyl.", "Exemplary heteroaryl groups include, but are not limited to, a monocyclic aromatic ring, wherein the ring comprises 2-5 carbon atoms and 1-3 heteroatoms, referred to herein as “(C2-C5)heteroaryl.” The terms “heterocycle,” “heterocyclyl,” or “heterocyclic” as used herein refer to a saturated or unsaturated 3-, 4-, 5-, 6- or 7-membered ring containing one, two, or three heteroatoms independently selected from nitrogen, oxygen, and sulfur.", "Heterocycles can be aromatic (heteroaryls) or non-aromatic.", "Heterocycles can be substituted with one or more substituents including alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, nitro, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide and thioketone.", "Heterocycles also include bicyclic, tricyclic, and tetracyclic groups in which any of the above heterocyclic rings is fused to one or two rings independently selected from aryls, cycloalkyls, and heterocycles.", "Exemplary heterocycles include acridinyl, benzimidazolyl, benzofuryl, benzothiazolyl, benzothienyl, benzoxazolyl, biotinyl, cinnolinyl, dihydrofuryl, dihydroindolyl, dihydropyranyl, dihydrothienyl, dithiazolyl, furyl, homopiperidinyl, imidazolidinyl, imidazolinyl, imidazolyl, indolyl, isoquinolyl, isothiazolidinyl, isothiazolyl, isoxazolidinyl, isoxazolyl, morpholinyl, oxadiazolyl, oxazolidinyl, oxazolyl, piperazinyl, piperidinyl, pyranyl, pyrazolidinyl, pyrazinyl, pyrazolyl, pyrazolinyl, pyridazinyl, pyridyl, pyrimidinyl, pyrimidyl, pyrrolidinyl, pyrrolidin-2-onyl, pyrrolinyl, pyrrolyl, quinolinyl, quinoxaloyl, tetrahydrofuryl, tetrahydroisoquinolyl, tetrahydropyranyl, tetrahydroquinolyl, tetrazolyl, thiadiazolyl, thiazolidinyl, thiazolyl, thienyl, thiomorpholinyl, thiopyranyl, and triazolyl.", "The terms “hydroxy” and “hydroxyl” as used herein refer to —OH.", "The term “hydroxyalkyl” as used herein refers to a hydroxy attached to an alkyl group.", "The term “hydroxyaryl” as used herein refers to a hydroxy attached to an aryl group.", "The term “ketone” as used herein refers to the structure —C(O)—Rn (such as acetyl, —C(O)CH3) or —Rn-C(O)—Ro-.", "The ketone can be attached to another group through Rn or Ro.", "Rn or Ro can be alkyl, alkenyl, alkynyl, cycloalkyl, heterocyclyl or aryl, or Rn or Ro can be joined to form a 3- to 12-membered ring.", "The term “monoester” as used herein refers to an analogue of a dicarboxylic acid wherein one of the carboxylic acids is functionalized as an ester and the other carboxylic acid is a free carboxylic acid or salt of a carboxylic acid.", "Examples of monoesters include, but are not limited to, to monoesters of succinic acid, glutaric acid, adipic acid, suberic acid, sebacic acid, azelaic acid, oxalic and maleic acid.", "The term “phenyl” as used herein refers to a 6-membered carbocyclic aromatic ring.", "The phenyl group can also be fused to a cyclohexane or cyclopentane ring.", "Phenyl can be substituted with one or more substituents including alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide and thioketone.", "The term “thioalkyl” as used herein refers to an alkyl group attached to a sulfur (—S-alkyl-).", "“Alkyl,” “alkenyl,” “alkynyl”, “alkoxy”, “amino” and “amide” groups can be optionally substituted with or interrupted by or branched with at least one group selected from alkoxy, aryloxy, alkyl, alkenyl, alkynyl, amide, amino, aryl, arylalkyl, carbamate, carbonyl, carboxy, cyano, cycloalkyl, ester, ether, formyl, halogen, haloalkyl, heteroaryl, heterocyclyl, hydroxyl, ketone, phosphate, sulfide, sulfinyl, sulfonyl, sulfonic acid, sulfonamide, thioketone, ureido and N. The substituents may be branched to form a substituted or unsubstituted heterocycle or cycloalkyl.", "As used herein, a suitable substitution on an optionally substituted substituent refers to a group that does not nullify the synthetic or pharmaceutical utility of the compounds of the present disclosure or the intermediates useful for preparing them.", "Examples of suitable substitutions include, but are not limited to: C1-8 alkyl, alkenyl or alkynyl; C1-6 aryl, C2-5 heteroaryl; C37 cycloalkyl; C1-8 alkoxy; C6 aryloxy; —CN; —OH; oxo; halo, carboxy; amino, such as —NH(C1-8 alkyl), —N(C1-8 alkyl)2, —NH((C6)aryl), or —N((C6)aryl)2; formyl; ketones, such as —CO(C1-8 alkyl), —CO((C6aryl) esters, such as —CO2(C1-8 alkyl) and —CO2 (C6 aryl).", "One of skill in art can readily choose a suitable substitution based on the stability and pharmacological and synthetic activity of the compound of the present disclosure.", "The term “pharmaceutically acceptable carrier” as used herein refers to any and all solvents, dispersion media, coatings, isotonic and absorption delaying agents, and the like, that are compatible with pharmaceutical administration.", "The use of such media and agents for pharmaceutically active substances is well known in the art.", "The compositions may also contain other active compounds providing supplemental, additional, or enhanced therapeutic functions.", "The term “pharmaceutically acceptable composition” as used herein refers to a composition comprising at least one compound as disclosed herein formulated together with one or more pharmaceutically acceptable carriers.", "The term “pharmaceutically acceptable prodrugs” as used herein represents those prodrugs of the compounds of the present disclosure that are, within the scope of sound medical judgment, suitable for use in contact with the tissues of humans and lower animals without undue toxicity, irritation, allergic response, commensurate with a reasonable benefit/risk ratio, and effective for their intended use, as well as the zwitterionic forms, where possible, of the compounds of the present disclosure.", "A discussion is provided in Higuchi et al., “Prodrugs as Novel Delivery Systems,” ACS Symposium Series, Vol.", "14, and in Roche, E. B., ed.", "Bioreversible Carriers in Drug Design, American Pharmaceutical Association and Pergamon Press, 1987, both of which are incorporated herein by reference.", "The term “pharmaceutically acceptable salt(s)” refers to salts of acidic or basic groups that may be present in compounds used in the present compositions.", "Compounds included in the present compositions that are basic in nature are capable of forming a wide variety of salts with various inorganic and organic acids.", "The acids that may be used to prepare pharmaceutically acceptable acid addition salts of such basic compounds are those that form non-toxic acid addition salts, i.e., salts containing pharmacologically acceptable anions, including but not limited to sulfate, citrate, matate, acetate, oxalate, chloride, bromide, iodide, nitrate, sulfate, bisulfate, phosphate, acid phosphate, isonicotinate, acetate, lactate, salicylate, citrate, tartrate, oleate, tannate, pantothenate, bitartrate, ascorbate, succinate, maleate, gentisinate, fumarate, gluconate, glucaronate, saccharate, formate, benzoate, glutamate, methanesulfonate, ethanesulfonate, benzenesulfonate, p-toluenesulfonate and pamoate (i.e., 1,1′-methylene-bis-(2-hydroxy-3-naphthoate)) salts.", "Compounds included in the present compositions that include an amino moiety may form pharmaceutically acceptable salts with various amino acids, in addition to the acids mentioned above.", "Compounds included in the present compositions, that are acidic in nature are capable of forming base salts with various pharmacologically acceptable cations.", "Examples of such salts include alkali metal or alkaline earth metal salts and, particularly, calcium, magnesium, sodium, lithium, zinc, potassium, and iron salts.", "The compounds of the disclosure may contain one or more chiral centers and/or double bonds and, therefore, exist as stereoisomers, such as geometric isomers, enantiomers or diastereomers.", "The term “stereoisomers” when used herein consist of all geometric isomers, enantiomers or diastereomers.", "These compounds may be designated by the symbols “R” or “S,” depending on the configuration of substituents around the stereogenic carbon atom.", "The present disclosure encompasses various stereoisomers of these compounds and mixtures thereof.", "Stereoisomers include enantiomers and diastereomers.", "Mixtures of enantiomers or diastereomers may be designated “(±)” in nomenclature, but the skilled artisan will recognize that a structure may denote a chiral center implicitly.", "Individual stereoisomers of compounds of the present disclosure can be prepared synthetically from commercially available starting materials that contain asymmetric or stereogenic centers, or by preparation of racemic mixtures followed by resolution methods well known to those of ordinary skill in the art.", "These methods of resolution are exemplified by (1) attachment of a mixture of enantiomers to a chiral auxiliary, separation of the resulting mixture of diastereomers by recrystallization or chromatography and liberation of the optically pure product from the auxiliary, (2) salt formation employing an optically active resolving agent, or (3) direct separation of the mixture of optical enantiomers on chiral chromatographic columns.", "Stereoisomeric mixtures can also be resolved into their component stereoisomers by well-known methods, such as chiral-phase gas chromatography, chiral-phase high performance liquid chromatography, crystallizing the compound as a chiral salt complex, or crystallizing the compound in a chiral solvent.", "Stereoisomers can also be obtained from stereomerically-pure intermediates, reagents, and catalysts by well-known asymmetric synthetic methods.", "Geometric isomers can also exist in the compounds of the present disclosure.", "The present disclosure encompasses the various geometric isomers and mixtures thereof resulting from the arrangement of substituents around a carbon-carbon double bond or arrangement of substituents around a carbocyclic ring.", "Substituents around a carbon-carbon double bond are designated as being in the “Z” or “E” configuration wherein the terms “Z” and “E” are used in accordance with IUPAC standards.", "Unless otherwise specified, structures depicting double bonds encompass both the E and Z isomers.", "Substituents around a carbon-carbon double bond alternatively can be referred to as “cis” or “trans,” where “cis” represents substituents on the same side of the double bond and “trans” represents substituents on opposite sides of the double bond.", "The arrangements of substituents around a carbocyclic ring are designated as “cis” or “trans.” The term “cis” represents substituents on the same side of the plane of the ring and the term “trans” represents substituents on opposite sides of the plane of the ring.", "Mixtures of compounds wherein the substituents are disposed on both the same and opposite sides of plane of the ring are designated “cis/trans.” The compounds disclosed herein may exist as tautomers and both tautomeric forms are intended to be encompassed by the scope of the present disclosure, even though only one tautomeric structure is depicted.", "EXEMPLARY EMBODIMENTS OF THE INVENTION The invention provides compounds and pharmaceutical composition comprising one or more of those compounds wherein the structure of the compound is defined by Formula la, Formula Ib, Formula IIa, and/or Formula IIb: or is a stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, wherein: A is selected from optionally substituted 5- or-6 membered monocyclic heterocycles fused to ring B, with the proviso that A cannot be substituted or unsubstituted B is a six-membered aromatic carbocycle or heterocycle; Y is selected from N and C; W1 is selected from N and CR1; W2 is selected from N and CR2; W3 is selected from N and CR3; W4 and W5, if present, are independently selected from N, CH, and C; W1, W2, and W3 may be the same or different from each other; X is selected from —NH—, —CH2—, —CH2CH2—, —CH2CH2CH2—, —CH2CH2O—, —CH2CH2NH—, —CH2CH2S—, —C(O)—, —C(O)CH2—, —C(O)CH2CH2—, —CH2C(O)—, —CH2CH2C(O)—, —C(O)NH—, —C(O)O—, —C(O)S—, —C(O)NHCH2—, —C(O)OCH2—, —C(O)SCH2—, —CH(OH)—, and —CH(CH3)— where one or more hydrogen may independently be replaced with deuterium, hydroxymethyl, halogen, —CF3, ketone, and where S may be oxidized to sulfoxide or sulfone; R4 is selected from 3-7 membered carbocycles and heterocycles; D1 is selected from 5-membered monocyclic heterocycles, where D1 is attached to the B ring via a carbon atom that is part of a doublebond within the D1 ring.", "R1 and R2 are independently selected from hydrogen, deuterium, alkyl, —OH, —NH2, -thioalkyl, alkoxy, ketone, ester, carboxylic acid, urea, carbamate, amino, amide, halogen, sulfone, sulfoxide, sulfide, sulfonamide, and —CN; R3 is selected from hydrogen, —NH2, —CN, —N3, halogen, deuterium, —NO2, —OMe, —OEt, —NHC(O)Me, NHSO2Me, cylcoamino, cycloamido, —OH, —SO2Me, —SO2Et, —CH2NH2, —C(O)NH2, and —C(O)OMe; with the proviso that if R3 is hydrogen and A is a 5-membered ring, then D1 cannot be and with the proviso that if D1 is and R2 and R3 are hydrogen and R1 is —OMe, then the A-B bicyclic ring is not and with the proviso that if if D1 is and each of R1, R2, R3, are hydrogen, then the A-B bicyclic ring is not unless the B ring is substituted; and with the proviso that if each of R1, R2, R3 are hydrogen, then the A-B bicyclic ring is not and with the proviso that if each of R1, R2, R3 are hydrogen, then the A-B bicyclic ring is not In some embodiments, the A ring a compound of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is optionally substituted with Z, wherein Z is selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), -NHcarbocycle(C4-C6)), alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6).", "In some embodiments, Z is selected from In some embodiments, compounds of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, are selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as NH(C1-C5), carbocycle (C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), heterocycle(C4-C6), carbocycle(C4-C6), halogen, -CN, -OH, -CF3, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); wherein X, R4, and D1 are as defined for any embodiment disclosed herein.", "In some embodiments, the compounds of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, are selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6), heterocycle(C4-C6), carbocycle(C4-C6), halogen, —CN, —OH, —CF3, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); wherein X, R4, and D1 are as defined for any embodiment disclosed herein.", "In some embodiments, the compounds of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, are selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, -NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), heterocycle(C4-C6), carbocycle(C4-C6), halogen, —CN, —OH, —CF3, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); wherein X, R4, and D1 are as defined for any embodiment disclosed herein.", "In some embodiments, compounds of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, are selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, -NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), heterocycle(C4-C6), carbocycle(C4-C6), halogen, —CN, —OH, —CF3, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); wherein the definition of X, R4, and D1 are as defined for any embodiment disclosed herein.", "In some embodiments, compounds of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from wherein Z is selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); carboxyl; D1 is X is selected from —CH2— and —CH(CH3)—; and R4 is a phenyl ring optionally substituted with groups independently selected with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, CN, and -thioalkyl(C1-C4), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In certain embodiments, R4 is a phenyl ring is optionally substituted with one or more alkyl(C1-C4) selected from methyl, ethyl, propyl, isopropyl, and butyl; alkoxy(C1-C4), selected from methoxy, ethoxy, and isopropoxy; halogen selected from F and Cl; and thioalkyl(C1-C4) selected from —SMe, —SEt, —SPr, and —Sbu.", "In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from wherein Z is selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6).", "In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from, but not limited to which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, alkyl(C1-C6), thioalkyl(C1-C6), Alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "In some embodiments of any of Formula Ia, Formula Ib, Formula IIa, and Formula IIb, or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, the A-B bicyclic ring, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, alkyl(C1-C6), thioalkyl(C1-C6), Alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, alkyl(C1-C6), thioalkyl(C1-C6), Alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C2), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, sulfonamide, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "In some embodiments, the A-B bicyclic ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, sulfonamide, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "In some embodiments, the A ring in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-membered heterocycles fused to the B ring.", "In some embodiments, Y in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is nitrogen.", "In some embodiments, D1 in the compound of any one of Formula I, Formula la, or Formula II or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof,is selected from an 5-membered monocyclic heterocycle, such as, but not limited to: which is optionally substituted with hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), —COOH, and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, D1 in the compound of any one of Formula Ia, Ib, IIa, or IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is a monocyclic heterocycle optionally substituted with hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), —COOH, and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from a 5-membered monocyclic heterocycle containing one oxygen and one or two nitrogens, where the heterocycle is connected to the rest of the molecule via a carbon-carbon bond, and which is optionally substituted with hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), —COOH, and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, D1 in the compound of any one of Formula la, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is an isoxazole optionally substituted with hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), —COOH, and/or ester (such as —C(O)OMe, —C(O)OEt, —(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from an 5-membered monocyclic heterocycle, which is optionally substituted with hydrogen, deuterium, Alkyl(C1-C4), (such as methyl, ethyl, propyl), each of which may be optionally substituted with hydrogen, —OH, —F, and —NH2.In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from a 5-membered monocyclic heterocycle containing one oxygen and one or two nitrogens, where the heterocycle is connected to the rest of the molecule via a carbon-carbon bond, and which is optionally substituted with hydrogen, deuterium, Alkyl(C1-C4), (such as methyl, ethyl, propyl), each of which may be optionally substituted with hydrogen, —OH, —F, and —NH2.In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is an isoxazole or pyrazole optionally substituted with hydrogen, deuterium, Alkyl(C1-C4), (such as methyl, ethyl, propyl), each of which may be optionally substituted with hydrogen, —OH, —F, and —NH2.In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is In some embodiments, D1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is In some embodiments, D1 in the compound of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is In some embodiments, W1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is CR1.In some embodiments, W2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is CR2.In some embodiments, at least one of W1 and W2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is nitrogen.", "In some embodiments, W1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is CH.", "In some embodiments, W2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is CR2, where R2 is selected from hydrogen, deuterium, —OH, —NH2, methyl, halogen, and —CN.", "In some embodiments, W2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is CH.", "In some embodiments, W4 and W5 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof are carbon.", "In some embodiments, at least one of W4and W5 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is nitrogen.", "In some embodiments, W3 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is nitrogen.", "In some embodiments, W3 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is CR3, where R3 is selected from hydrogen, —NH2, and halogen.", "In some embodiments, R3 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof is selected from hydrogen and —NH2.In some embodiments, R3 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is —NH2.In some embodiments, X in the compound of any one of Formula I, Formula Ia, or Formula II or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from —CH2—, —CH2CH2—, —CH2CH2CH2—, —CH2CH2O—, —CH2CH2NH—, —CH2CH2S—, —C(O)—, —C(O)NH—, —C(O)O—, —C(O)S—, where one or more hydrogen may independently be replaced with deuterium, halogen, and where S may be oxidized to sulfoxide or sulfone.", "In some embodiments, X in the compound of any one of Formula I, Formula Ia, or Formula II or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from —CH2—and —C(O)—.", "In some embodiments, X is selected from —CH2—, —CH(CH3)—, —CH(OH)—, —NH—,CH2CH2—, where one or more hydrogen may independently be replaced with deuterium or halogen.", "In some embodiments, X is selected from —CH2—, CH(CH3)—, and —NH—, where one or more hydrogen may independently be replaced with deuterium or halogen.", "In some embodiments, X is selected from —CH2—, —CH(CH3)—, where one or more hydrogen may independently be replaced with deuterium or halogen.", "In some embodiments, X in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is —CH2—.", "In some embodiments, R1 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from hydrogen, deuterium, alkyl, —OH, —NH2, -thioalkyl, alkoxy, ketone, ester, carboxylic acid, urea, carbamate, amino, amide, halogen, carbocycle, heterocycle, sulfone, sulfoxide, sulfide, sulfonamide, and and —CN.", "In some embodiments, R2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from hydrogen, deuterium, alkyl, —OH, —NH2, -thioalkyl, alkoxy, ketone, ester, carboxylic acid, urea, carbamate, amino, amide, halogen, carbocycle, heterocycle, sulfone, sulfoxide, sulfide, sulfonamide, and and —CN.", "In some embodiments, R1 and R2 in the compound of any one of Formula I, Formula Ia, or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof are independently selected from hydrogen, deuterium, alkyl, —NH2, -thioalkyl, alkoxy, amino, amide, halogen, carbocycle, heterocycle, and —CN.", "In some embodiments, R1 and R2 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, are independently selected from hydrogen, deuterium, alkyl(C1-C6), —NH2, -thioalkyl(C1-C6), alkoxy(C1-C6), amino, and amide.", "In some embodiments, R1 and R2 are hydrogen.", "In some embodiments, at least one of R1, R2, and R3 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is not hydrogen.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-6 membered carbocycles and heterocycles.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-6 membered heterocycles.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-6 membered heterocycles containing 1 or 2 nitrogens, such as unsubstituted and substituted pyrimidyl rings, which are optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 6-membered heterocycles containing at least one nitrogen, such as unsubstituted and substituted pyridyl rings, which are optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula I, Formula Ia, or Formula II or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is an isoxazole or pyrazole optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from a 5-membered heterocycle containing one or two nitrogens.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-6 membered carbocycles, such as a phenyl ring optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is a phenyl ring optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), —thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from an aryl optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, CI), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, —X—R4 is selected from —CH2Aryl.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from a pyridyl optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), -thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from 5-6 membered carbocycles.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is selected from a small cycloalkyl(C3-C6) and phenyl ring optionally optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4) (such as methyl, ethyl, propyl, isopropyl, and butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, and isopropoxy), halogen (such as F and Cl), —CF3, CN, and -thioalkyl(C1-C4) (such as, e.g., —SMe, —SEt, —SPr, and —Sbu), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In some embodiments, R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is a phenyl ring optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4) (such as methyl, ethyl, propyl, isopropyl, and butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, and isopropoxy), halogen (such as F and Cl), —CF3, CN, and -thioalkyl(C1-C4) (such as, e.g., —SMe, —SEt, —SPr, and —Sbu), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In some embodiments, R4 in the compound of any one of Formula la, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is an aryl optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4) (such as methyl, ethyl, propyl, isopropyl, and butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, and isopropoxy), halogen (such as F and Cl), —CF3, CN, and -thioalkyl(C1-C4) (such as, e.g., —SMe, —SEt, —SPr, and —Sbu), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In some embodiments, in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, the A-B bicyclic ring, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, alkyl(C1-C6), thioalkyl(C1-C6), Alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo; D1 is X is selected from —CH2— and —C(O)—; R4 is a phenyl ring optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), —thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, the A-B bicyclic ring, is selected from which may be optionally substituted with groups independently selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C7), —NHcarbocycle(C4-C7)), heterocycle(C4-C7), carbocycle(C4-C7), halogen, —CN, —OH, —CF3, sulfone, sulfoxide, sulfonamide, alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), alkoxy(C1-C6), ketone(C1-C6), ester, urea, carboxylic acid, carbamate, amide(C1-C6), oxo, and thio-oxo.", "D1 is X is selected from —CH2—, —CH(CH3)—, —CH(OH)—, and —NH—; R4 is a phenyl ring optionally substituted with groups independently selected from hydrogen, deuterium, alkyl(C1-C4)(such as methyl, ethyl, propyl, isopropyl, butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, isopropoxy), amino (such as —NH2, —NHMe, —NHEt, —NHiPr, —NHBu —NMe2, NMeEt, —NEt2, —NEtBu, —NHC(O)NHalkyl), halogen (such as F, Cl), amide (such as —NHC(O)Me, —NHC(O)Et, —C(O)NHMe, —C(O)NEt2, —C(O)NiPr), —CF3, CN, —N3, ketone (C1-C4) (such as acetyl, —C(O)Et, —C(O)Pr), —S(O)Alkyl(C1-C4) (such as —S(O)Me, —S(O)Et), —SO2alkyl(C1-C4) (such as —SO2Me, —SO2Et, —SO2Pr), —thioalkyl(C1-C4) (such as —SMe, —SEt, —SPr, —SBu), carboxyl (such as —COOH), and/or ester (such as —C(O)OMe, —C(O)OEt, —C(O)OBu), each of which may be optionally substituted with hydrogen, F, Cl, Br, —OH, —NH2, —NHMe, —OMe, —SMe, oxo, and/or thio-oxo.", "In some embodiments, —X—R4 in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, is —CH2Aryl.", "In some embodiments, in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, the A-B bicyclic ring is selected from wherein Z is selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6), carboxyl; Di is and X is selected from —CH2— and —CH(CH3)—; and R4 is a phenyl ring optionally substituted with groups independently selected with one or more groups independently selected from deuterium, alkyl(C1-C4), alkoxy(C1-C4), halogen, —CF3, CN, and -thioalkyl(C1-C4), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In some embodiments, in the compound of any one of Formula Ia, Formula Ib, Formula IIa, and Formula IIb or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate thereof, the A-B bicyclic ring is selected from wherein Z is selected from hydrogen, deuterium, —NH2, amino (such as —NH(C1-C5), —N(C1-C5)2, —NHPh, —NHBn, —NHpyridyl, —NHheterocycle(C4-C6), —NHcarbocycle(C4-C6)), alkyl(C1-C6), thioalkyl(C1-C6), alkenyl(C1-C6), and alkoxy(C1-C6); carboxyl; D1 is X is selected from —CH2— and —CH(CH3)—; and R4 is a phenyl ring optionally substituted with one or more groups independently selected from deuterium, alkyl(C1-C4) (such as methyl, ethyl, propyl, isopropyl, and butyl), alkoxy(C1-C4) (such as methoxy, ethoxy, and isopropoxy), halogen (such as F and Cl), —CF3, CN, and —thioalkyl(C1-C4) (such as, e.g., —SMe, —SEt, —SPr, and —Sbu), wherein each alkyl, alkoxy, and thioalkyl may be optionally substituted with F, Cl, or Br.", "In certain embodiments of the invention, the compound of Formula I, Formula Ia, or Formula II is selected from: 9-Benzyl-2-(3,5-dimethylisoxazol-4-yl)-9H-purin-6-amine; 3-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 1-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 4-(3-Benzyl-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 4-(1-Benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 3-Benzyl-5-(3,5-d imethylisoxazol-4-yl)benzo[d]oxazol-2(3H)-one; 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine; 1-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-7-amine; N,1-Dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine; 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 1-Benzyl-7-(3,5-dimethylisoxazol-4-yl)quinoxalin-2(1H)-one; and 1-Benzyl-7-(3,5-dimethylisoxazol-4-yl)-3,4-dihydroquinazolin-2(1H)-one.", "In certain embodiments of the invention, the compound of Formula I, Formula la, or Formula II is selected from: 9-benzyl-2-(3,5-dimethylisoxazol-4-yl)-9H-purin-6-amine; 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 1-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 4-(3-benzyl-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 4-(1-benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)benzo[d]oxazol-2(3H)-one; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine; 1-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-7-amine; N,1-dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 1-benzyl-7-(3,5-dimethylisoxazol-4-yl)quinoxalin-2(1H)-one; 1-benzyl-7-(3,5-dimethylisoxazol-4-yl)-3,4-dihydroquinazolin-2(1H)-one; 4-(1-benzyl-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 4-(1-(cyclopropylmethyl)-2-methyl-4-nitro-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one; 4-amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-ethoxy-1H-benzo[d]imidazol-4-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1H-benzo[d]imidazol-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1H-benzo[d]imidazole-2,4-diamine; methyl 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazole-4-carboxylate; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazole-4-carboxamide 4-(aminomethyl)-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one 5-(3,5-dimethylisoxazol-4-yl)-N-phenyl-1H-pyrrolo[3,2-b]pyridin-3-amine 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-3-methyl-1H-pyrazolo[4,3-b]pyridine 4-oxide 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-3-methyl-1H-pyrazolo[4,3-b]pyridin-5(4H)-one 4-(3-benzyl-3H-imidazo[4,5-b]pyridin-5-yl)-3,5-dimethylisoxazole 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-benzo[d]imidazol-4-amine 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-N-methyl-1H-benzo[d]imidazol-4-amine 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-N,N-dimethyl-1H-benzo[d]imidazol-4-amine 3,5-dimethyl-4-(1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 4-(1-benzyl-1H-imidazo[4,5-c]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-c]pyridine 5-oxide 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-c]pyridin-4-amine 4-(1-benzyl-3-bromo-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridine-3-carbaldehyde 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)ethanone 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-5-yl formate 4-((6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)benzamide 4-(1-benzyl-3-nitro-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole 3,5-dimethyl-4-(3-(4-(trifluoromethyl)benzyl)-3H-imidazo[4,5-b]pyridin-6-yl)isoxazole 3,5-dimethyl-4-(1-(4-(trifluoromethyl)benzyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 4-(3-(4-chlorobenzyl)-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(4-chlorobenzyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(3-(4-fluorobenzyl)-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(4-fluorobenzyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 3,5-dimethyl-4-(3-(pyridin-2-ylmethyl)-3H-imidazo[4,5-b]pyridin-6-yl)isoxazole 3,5-dimethyl-4-(1-(pyridin-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 4-(1-(4-fluorobenzyl)-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(4-fluorobenzyl)-1H-pyrrolo[2,3-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(5-(4-fluorobenzyl)-5H-pyrrolo[2,3-b]pyrazin-3-yl)-3,5-dimethylisoxazole 4-(1-(4-fluorobenzyl)-1H-pyrazolo[4,3-b]pyridin-6-yl)-3,5-dimethylisoxazole 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-pyrrolo[2,3-b]pyridin-4-amine 4-(1-(4-fluorobenzyl)-3-methyl-1H-pyrazolo[4,3-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-indazol-4-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-5(4H)-one 3-((5-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)amino)benzonitrile 4-(1-(4-fluorobenzyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-benzyl-2-ethoxy-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-((6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)-3,5-dimethylisoxazole 4-(1-(2,4-dichlorobenzyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(4-methoxybenzyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(cyclopropylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-yl)acetamide N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-yl)ethanesulfonamide 4-(1-benzyl-4-methoxy-2-methyl-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 7-amino-3-benzyl-5-(3,5-dimethylisoxazol-4-yl)benzo[d]oxazol-2(3H)-one 3,5-dimethyl-4-(2-methyl-1-(pyridin-3-ylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 3,5-dimethyl-4-(2-methyl-1-(thiophen-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 4-((6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)benzonitrile 4-(1-benzyl-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)-N,N-dimethylmethanamine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[2,3-b]pyridin-4-amine 3,5-dimethyl-4-(2-methyl-1-(pyridin-4-ylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine 3,5-dimethyl-4-(2-methyl-1-((5-methylthiophen-2-yl)methyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 4-(1-((5-chlorothiophen-2-yl)methyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 5-((6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)thiophene-2-carbonitrile 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-imidazo[4,5-b]pyridine 4-oxide 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-imidazo[4,5-b]pyridin-5-yl acetate 1-benzyl-6-(1,4-dimethyl-1H-pyrazol-5-yl)-2-methyl-4-nitro-1H-benzo[d]imidazole 1-benzyl-6-(1,4-dimethyl-1H-pyrazol-5-yl)-2-methyl-1H-benzo[d]imidazol-4-amine 4-(1-(4-chlorobenzyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-((6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)phenol 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazole-4-carbonitrile 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazole-4-carbonitrile 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-morpholino-1H-benzo[d]imidazol-4-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridine-3-carbonitrile 4-(1-benzyl-3-chloro-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-amino-1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one 1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one 4-(1-benzyl-1H-pyrazolo[4,3-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(4-chlorobenzyl)-1H-pyrazolo[4,3-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-2-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-benzo[d]imidazol-4-amine 4-(1-(3,4-dichlorobenzyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1-(1-phenylethyl)-1H-benzo[d]imidazol-4-amine 2-(azetidin-1-yl)-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine 3,5-dimethyl-4-(1-(thiophen-3-ylmethyl)-1H-pyrazolo[4,3-b]pyridin-6-yl)isoxazole N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)acetamide 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-amine 1-(3,4-dichlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one 1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-indazol-4-amine 6-(3,5-dimethylisoxazol-4-yl)-1-(4-methoxybenzyl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one 4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(4-methoxybenzyl)-1H-benzo[d]imidazol-2(3H)-one 1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one 6-(3,5-dimethylisoxazol-4-yl)-1-(thiophen-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1H-imidazo[4,5-b]pyridin-2-amine 3,5-dimethyl-4-(2-methyl-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-6-yl)isoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-(tetrahydro-2H-pyran-4-yl)-1H-benzo[d]imidazole-2,4-diamine 6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazol-4-yl)acetamide 6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one 6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2-amine 4-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine 4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one 4-(1-(cyclobutylmethyl)-2-methyl-4-nitro-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 4-(1-(cyclopentylmethyl)-2-methyl-4-nitro-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-(ethylamino)-1H-benzo[d]imidazol-4-yl)acetamide N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-ethoxy-1H-benzo[d]imidazol-4-yl)acetamide 4-(1-benzyl-4-bromo-2-methyl-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1-ethyl-1H-benzo[d]imidazol-2(3H)-one 4-(2-(azetidin-1-yl)-1-benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-((5-chlorothiophen-2-yl)methyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (S)-3,5-dimethyl-4-(2-methyl-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-6-yl)isoxazole (R)-3,5-dimethyl-4-(2-methyl-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-6-yl)isoxazole 6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2-amine 4-(1-benzyl-2-ethyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(4-hydroxybenzyl)-1H-benzo[d]imidazol-2(3H)-one N-(2-(azetidin-1-yl)-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-yl)acetamide 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1H-imidazo[4,5-b]pyridin-2-amine 1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine 6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1-(1-phenylethyl)-1H-benzo[d]imidazole-2,4-diamine 4-(1-benzyl-4-nitro-2-(pyrrolidin-1-yl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 4-(1-benzyl-2-(4-methylpiperazin-1-yl)-4-nitro-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-methoxyethyl)-4-nitro-1H-benzo[d]imidazol-2-amine 4-(1-benzyl-2-cyclopropyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-(2-methoxyethyl)-1H-benzo[d]imidazole-2,4-diamine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-(pyrrolidin-1-yl)-1H-benzo[d]imidazol-4-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-(4-methylpiperazin-1-yl)-1H-benzo[d]imidazol-4-amine 1-benzyl-N6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazole-4,6-diamine (S)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1-(1-phenylethyl)-1H-benzo[d]imidazol-4-amine (R)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1-(1-phenylethyl)-1H-benzo[d]imidazol-4-amine 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-1H-imidazo[4,5-b]pyridin-2-amine N,1-dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-N-(pyridin-3-ylmethyl)-1H-benzo[d]imidazol-2-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-4-nitro-1H-benzo[d]imidazol-2-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-4-nitro-1H-benzo[d]imidazol-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-methyl-1H-benzo[d]imidazole-2,4-diamine N2,1-dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2,4-diamine N,1-dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine 1-benzyl-2-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridine N-(1-benzyl-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazol-4-amine 4-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3,4-dihydroquinoxalin-2(1H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-(pyridin-3-ylmethyl)-1H-benzo[d]imidazole-2,4-diamine 4-(1-benzyl-4-fluoro-2-methyl-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1H-benzo[d]imidazol-2-amine 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1H-benzo[d]imidazole-2,4-diamine 4-amino-1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one 4-amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-1H-benzo[d]imidazol-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-4-fluoro-1H-benzo[d]imidazol-2(3H)-one N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-2-oxo-2,3-dihydro-1H-benzo[d]imidazol-4-yl)acetamide 4-(1-benzyl-2-(4-methylpiperazin-1-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-3,4-dihydroquinoxalin-2(1H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-methoxyethyl)-1H-imidazo[4,5-b]pyridin-2-amine 4-(1-benzyl-2-methyl-4-(methylsulfonyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-4-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine 1-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (S)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-ol (R)-4-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-3,4-dihydroquinoxalin-2(1H)-one 4-(1-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine 1-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine 4-amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2(3H)-thione (S)-4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one (R)-4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-7-methyl-1H-imidazo[4,5-b]pyridin-2(3H)-one 4-(1-benzyl-2,7-dimethyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-yl)morpholine 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-yl)azetidin-2-one 1-benzyl-2-methyl-6-(1,3,5-trimethyl-1H-pyrazol-4-yl)-1H-benzo[d]imidazol-4-amine 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-3-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine 4-(4-bromo-2-methyl-1-phenethyl-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 4-(4-bromo-2-methyl-1-(3-phenylpropyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 4-(7-bromo-2-methyl-1-(3-phenylpropyl)-1H-benzo[d]imidazol-5-yl)-3,5-dimethylisoxazole 4-(4-bromo-2-methyl-1-(2-phenoxyethyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole 4-(7-bromo-2-methyl-1-(2-phenoxyethyl)-1H-benzo[d]imidazol-5-yl)-3,5-dimethylisoxazole 4-(1-(cyclohexylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(cyclopentylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(cyclobutylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine 4-(1-benzyl-2-(pyrrolidin-1-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 2-((1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)amino)ethanol 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-yl)azetidin-3-ol 1-benzyl-3-methyl-6-(1-methyl-1H-pyrazol-5-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one 4-amino-1-benzyl-3-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-benzo[d]imidazol-2(3H)-one (4-bromo-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-1-yl)(phenyl)methanone 1-benzyl-2-methyl-6-(5-methylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one 1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one N-(1-benzyl-3-methyl-6-(1-methyl-1H-pyrazol-5-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazol-4-yl)acetamide 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(4-methoxybenzyl)-1H-imidazo[4,5-b]pyridin-2-amine 1-benzyl-2-methyl-6-(1-methyl-1H-1,2,3-triazol-5-yl)-1H-imidazo[4,5-b]pyridine 4-((1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)amino)cyclohexanol 4-(1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine 4-(2-(azetidin-1-yl)-1-(cyclopentylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 4-(1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine 4-(2-(azetidin-1-yl)-1-(cyclobutylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole N1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)-N2,N2-dimethylethane-1,2-diamine 4-(1-benzyl-2-(piperazin-1-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole 1-benzyl-N-cyclopentyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-morpholinoethyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 3-(((1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)amino)methyl)benzonitrile; (R)-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; (S)-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 4-(1-benzyl-2-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-1H-imidazo[4,5-b]pyridine-2-carboxamide; 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; N1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)cyclohexane-1,4-diamine; 1-benzyl-N-(cyclohexylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(3-methoxypropyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(oxetan-3-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyrazin-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-((tetrahydro-2H-pyran-4-yl)methyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-(4-methylpiperazin-1-yl)ethyl)-1H-imidazo[4,5-b]pyridin-2-amine; 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-N-methyl-1H-imidazo[4,5-b]pyridin-2-amine; 1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-N-cyclohexyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(1-methylpiperidin-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine; 4-(1-benzyl-2-(pyridin-3-yloxy)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 1-((1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)amino)-2-methylpropan-2-ol; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-(pyrrolidin-1-yl)ethyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(2-(piperidin-1-yl)ethyl)-1H-imidazo[4,5-b]pyridin-2-amine; (R)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one; 4-(1-benzyl-7-methoxy-2-(trifluoromethyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(thiazol-2-ylmethyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2-carboximidamide; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2-carboxamide; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-((1-methylpiperidin-4-yl)methyl)-1H-imidazo[4,5-b]pyridin-2-amine; 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)azetidin-3-ol; 4-(1-benzyl-2-(pyridin-4-yloxy)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole; 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-3-yl)-1H-benzo[d]imidazol-2-amine; and 3-(1-benzyl-1H-benzo[d]imidazol-6-yl)-4-ethyl-1H-1,2,4-triazol-5(4H)-one; or a stereoisomer, tautomer, salt, or hydrate thereof.", "Another aspect of the invention provides a method for inhibition of BET protein function by binding to bromodomains, and their use in the treatment and prevention of diseases and conditions in a mammal (e.g., a human) comprising administering a therapeutically effective amount of a compound of Formula I, Formula Ia, and/or Formula II.", "In one embodiment, because of potent effects of BET inhibitors in vitro on IL-6 and IL-17 transcription, BET inhibitor compounds of Formula I, Formula la, and/or Formula II may be used as therapeutics for inflammatory disorders in which IL-6 and/or IL-17 have been implicated in disease.", "The following autoimmune diseases are amenable to therapeutic use of BET inhibition by administration of a compound of Formula I, Formula Ia, and/or Formula II or stereoisomer, tautomer, pharmaceutically acceptable salt, or hydrate of Formula I, Formula Ia, and/or Formula II because of a prominent role of IL-6 and/or IL-17: Acute Disseminated Encephalomyelitis (Ishizu, T., et al., “CSF cytokine and chemokine profiles in acute disseminated encephalomyelitis,” J Neuroimmunol 175(1-2): 52-8 (2006)), Agammaglobulinemia (Gonzalez-Serrano, M. E., et al., “Increased Pro-inflammatory Cytokine Production After Lipopolysaccharide Stimulation in Patients with X-linked Agammaglobulinemia,” J Clin Immunol 32(5):967-74 (2012)), Allergic Disease (McKinley, L., et al., “TH17 cells mediate steroid-resistant airway inflammation and airway hyperresponsiveness in mice,” J Immunol 181(6):4089-97 (2008)), Ankylosing spondylitis (Taylan, A., et al., “Evaluation of the T helper 17 axis in ankylosing spondylitis,” Rheumatol Int 32(8):2511-5 (2012)), Anti-GBM/Anti-TBM nephritis (Ito, Y., et al., “Pathogenic significance of interleukin-6 in a patient with antiglomerular basement membrane antibody-induced glomerulonephritis with multinucleated giant cells,” Am J Kidney Dis 26(1):72-9 (1995)), Anti-phospholipid syndrome (Soltesz, P., et al., “Immunological features of primary anti-phospholipid syndrome in connection with endothelial dysfunction,” Rheumatology (Oxford) 47(11):1628-34 (2008)), Autoimmune aplastic anemia (Gu, Y., et al., “Interleukin (IL)-17 promotes macrophages to produce IL-8, IL-6 and tumour necrosis factor-alpha in aplastic anaemia,” Br J Haematol 142(1):109-14 (2008)), Autoimmune hepatitis (Zhao, L., et al., “Interleukin-17 contributes to the pathogenesis of autoimmune hepatitis through inducing hepatic interleukin-6 expression,” PLoS One 6(4):e18909 (2011)), Autoimmune inner ear disease (Gloddek, B., et al., “Pharmacological influence on inner ear endothelial cells in relation to the pathogenesis of sensorineural hearing loss,” Adv Otorhinolaryngol 59:75-83 (2002)), Autoimmune myocarditis (Yamashita, T., et al., “IL-6-mediated Th17 differentiation through RORgammat is essential for the initiation of experimental autoimmune myocarditis,” Cardiovasc Res 91(4):640-8 (2011)), Autoimmune pancreatitis (Ni, J., et al., “Involvement of Interleukin-17A in Pancreatic Damage in Rat Experimental Acute Necrotizing Pancreatitis,” Inflammation (2012)), Autoimmune retinopathy (Hohki, S., et al., “Blockade of interleukin-6 signaling suppresses experimental autoimmune uveoretinitis by the inhibition of inflammatory Th17 responses,” Exp Eye Res 91(2):162-70 (2010)), Autoimmune thrombocytopenic purpura (Ma, D., et al., “Profile of Th17 cytokines (IL-17, TGF-beta, IL-6) and Th1 cytokine (IFN-gamma) in patients with immune thrombocytopenic purpura,” Ann Hematol 87(11):899-904 (2008)), Behcet's Disease (Yoshimura, T., et al., “Involvement of Th17 cells and the effect of anti-IL-6 therapy in autoimmune uveitis,” Rheumatology (Oxford) 48(4):347-54 (2009)), Bullous pemphigoid (D'Auria, L., P. et al., “Cytokines and bullous pemphigoid,” Eur Cytokine Netw 10(2):123-34 (1999)), Castleman's Disease (El-Osta, H. E. and R. Kurzrock, “Castleman's disease: from basic mechanisms to molecular therapeutics,” Oncologist 16(4):497-511 (2011)), Celiac Disease (Landenpera, A. I., et al., “Up-regulation of small intestinal interleukin-17 immunity in untreated coeliac disease but not in potential coeliac disease or in type 1 diabetes,” Clin Exp Immunol 167(2):226-34 (2012)), Churg-Strauss syndrome (Fujioka, A., et al., “The analysis of mRNA expression of cytokines from skin lesions in Churg-Strauss syndrome,” J Dermatol 25(3):171-7 (1998)), Crohn's Disease (Holtta, V., et al., “IL-23/IL-17 immunity as a hallmark of Crohn's disease,” Inflamm Bowel Dis 14(9):1175-84 (2008)), Cogan's syndrome (Shibuya, M., et al., “Successful treatment with tocilizumab in a case of Cogan's syndrome complicated with aortitis,” Mod Rheumatol (2012)), Dry eye syndrome (De Paiva, C. S., et al., “IL-17 disrupts corneal barrier following desiccating stress,” Mucosal Immunol 2(3):243-53 (2009)), Essential mixed cryoglobulinemia (Antonelli, A., et al., “Serum levels of proinflammatory cytokines interleukin-1beta, interleukin-6, and tumor necrosis factor alpha in mixed cryoglobulinemia,” Arthritis Rheum 60(12):3841-7 (2009)), Dermatomyositis (Chevrel, G., et al., “Interleukin-17 increases the effects of IL-1 beta on muscle cells: arguments for the role of T cells in the pathogenesis of myositis,” J Neuroimmunol 137(1-2):125-33 (2003)), Devic's Disease (Linhares, U. C., et al., “The Ex Vivo Production of IL-6 and IL-21 by CD4(+) T Cells is Directly Associated with Neurological Disability in Neuromyelitis Optica Patients,” J Clin Immunol (2012)), Encephalitis (Kyburz, D. and M. Corr, “Th17 cells generated in the absence of TGF-beta induce experimental allergic encephalitis upon adoptive transfer,” Expert Rev Clin Immunol 7(3):283-5 (2011)), Eosinophlic esophagitis (Dias, P. M. and G. Banerjee, “The Role of Th17/IL-17 on Eosinophilic Inflammation,” J Autoimmun (2012)), Eosinophilic fasciitis (Dias, P. M. and G. Banerjee, “The Role of Th17/IL-17 on Eosinophilic Inflammation,”J Autoimmun (2012)), Erythema nodosum (Kahawita, I. P. and D.N.", "Lockwood, “Towards understanding the pathology of erythema nodosum leprosum,” Trans R Soc Trop Med Hyg 102(4):329-37 (2008)), Giant cell arteritis (Deng, J., et al., “Th17 and Th1 T-cell responses in giant cell arteritis,” Circulation 121(7):906-15 (2010)), Glomerulonephritis (Ooi, J. D., et al., “Review: T helper 17 cells: their role in glomerulonephritis,” Nephrology (Carlton) 15(5):513-21 (2010)), Goodpasture's syndrome (Ito, Y., et al., “Pathogenic significance of interleukin-6 in a patient with antiglomerular basement membrane antibody-induced glomerulonephritis with multinucleated giant cells,” Am J Kidney Dis 26(1):72-9 (1995)), Granulomatosis with Polyangiitis (Wegener's) (Nakahama, H., et al., “Distinct responses of interleukin-6 and other laboratory parameters to treatment in a patient with Wegener's granulomatosis,” Intern Med 32(2):189-92 (1993)), Graves' Disease (Kim, S. E., et al., “Increased serum interleukin-17 in Graves' ophthalmopathy,” Graefes Arch Clin Exp Ophthalmol 250(10):1521-6 (2012)), Guillain-Barre syndrome (Lu, M. O. and J. Zhu, “The role of cytokines in Guillain-Barre syndrome,” J Neurol 258(4):533-48 (2011)), Hashimoto's thyroiditis (Figueroa-Vega, N., et al., “Increased circulating pro-inflammatory cytokines and Th17 lymphocytes in Hashimoto's thyroiditis,” J Clin Endocrinol Metab 95(2):953-62 (2009)), Hemolytic anemia (Xu, L., et al., “Critical role of Th17 cells in development of autoimmune hemolytic anemia,” Exp Hematol (2012)), Henoch-Schonlein purpura (Jen, H. Y., et al., “Increased serum interleukin-17 and peripheral Th17 cells in children with acute Henoch-Schonlein purpura,” Pediatr Allergy Immunol 22(8):862-8 (2011)), IgA nephropathy (Lin, F. J., et al., “Imbalance of regulatory T cells to Th17 cells in IgA nephropathy,” Scand J Clin Lab Invest 72(3):221-9 (2012)), Inclusion body myositis (Baron, P., et al., “Production of IL-6 by human myoblasts stimulated with Abeta: relevance in the pathogenesis of IBM,” Neurology 57(9):1561-5 (2001)), Type I diabetes (Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012)), Interstitial cystitis (Lamale, L. M., et al., “Interleukin-6, histamine, and methylhistamine as diagnostic markers for interstitial cystitis,” Urology 68(4):702-6 (2006)), Kawasaki's Disease (Jia, S., et al., “The T helper type 17/regulatory T cell imbalance in patients with acute Kawasaki disease,” Clin Exp Immunol 162(1):131-7 (2010)), Leukocytoclastic vasculitis (Min, C. K., et al., “Cutaneous leucoclastic vasculitis (LV) following bortezomib therapy in a myeloma patient; association with pro-inflammatory cytokines,” Eur J Haematol 76(3):265-8 (2006)), Lichen planus (Rhodus, N. L., et al., “Proinflammatory cytokine levels in saliva before and after treatment of (erosive) oral lichen planus with dexamethasone,” Oral Dis 12(2):112-6 (2006)), Lupus (SLE) (Mok, M. Y., et al., “The relation of interleukin 17 (IL-17) and IL-23 to Th1/Th2 cytokines and disease activity in systemic lupus erythematosus,”J Rheumatol 37(10):2046-52 (2010)), Microscopic polyangitis (Muller Kobold, A. C., et al., “In vitro up-regulation of E-selectin and induction of interleukin-6 in endothelial cells by autoantibodies in Wegener's granulomatosis and microscopic polyangiitis,” Clin Exp Rheumatol 17(4):433-40 (1999)), Multiple sclerosis (Jadidi-Niaragh, F. and Mirshafiey A., “Th17 cell, the new player of neuroinflammatory process in multiple sclerosis,” Scand J Immunol 74(1):1-13 (2011)), Myasthenia gravis (Aricha, R., et al., “Blocking of IL-6 suppresses experimental autoimmune myasthenia gravis,” J Autoimmun 36(2):135-41 (2011)), myositis (Chevrel, G., et al., “Interleukin-17 increases the effects of IL-1 beta on muscle cells: arguments for the role of T cells in the pathogenesis of myositis,” J Neuroimmunol 137(1-2):125-33 (2003)), Optic neuritis (Icoz, S., et al., “Enhanced IL-6 production in aquaporin-4 antibody positive neuromyelitis optica patients,” Int J Neurosci 120(1):71-5 (2010)), Pemphigus (Lopez-Robles, E., et al., “TNFalpha and IL-6 are mediators in the blistering process of pemphigus,” Int J Dermatol 40(3):185-8 (2001)), POEMS syndrome (Kallen, K. J., et al., “New developments in IL-6 dependent biology and therapy: where do we stand and what are the options?” Expert Opin Investig Drugs 8(9):1327-49 (1999)), Polyarteritis nodosa (Kawakami, T., et al., “Serum levels of interleukin-6 in patients with cutaneous polyarteritis nodosa,” Acta Derm Venereol 92(3):322-3 (2012)), Primary biliary cirrhosis (Harada, K., et al., “Periductal interleukin-17 production in association with biliary innate immunity contributes to the pathogenesis of cholangiopathy in primary biliary cirrhosis,” Clin Exp Immunol 157(2):261-70 (2009)), Psoriasis (Fujishima, S., et al., “Involvement of IL-17F via the induction of IL-6 in psoriasis,” Arch Dermatol Res 302(7):499-505 (2010)), Psoriatic arthritis (Raychaudhuri, S. P., et al., IL-17 receptor and its functional significance in psoriatic arthritis,” Mol Cell Biochem 359(1-2):419-29 (2012)), Pyoderma gangrenosum (Kawakami, T., et al., “Reduction of interleukin-6, interleukin-8, and anti-phosphatidylserine-prothrombin complex antibody by granulocyte and monocyte adsorption apheresis in a patient with pyoderma gangrenosum and ulcerative colitis,” Am J Gastroenterol 104(9):2363-4 (2009)), Relapsing polychondritis (Kawai, M., et al., “Sustained response to tocilizumab, anti-interleukin-6 receptor antibody, in two patients with refractory relapsing polychondritis,” Rheumatology (Oxford) 48(3):318-9 (2009)), Rheumatoid arthritis (Ash, Z. and P. Emery, “The role of tocilizumab in the management of rheumatoid arthritis,” Expert Opin Biol Ther, 12(9):1277-89 (2012)), Sarcoidosis (Belli, F., et al., “Cytokines assay in peripheral blood and bronchoalveolar lavage in the diagnosis and staging of pulmonary granulomatous diseases,” Int J Immunopathol Pharmacol 13(2):61-67 (2000)), Scleroderma (Radstake, T. R., et al., “The pronounced Th17 profile in systemic sclerosis (SSc) together with intracellular expression of TGFbeta and IFNgamma distinguishes SSc phenotypes,” PLoS One, 4(6): e5903 (2009)), Sjogren's syndrome (Katsifis, G. E., et al., “Systemic and local interleukin-17 and linked cytokines associated with Sjogren's syndrome immunopathogenesis,” Am J Pathol 175(3):1167-77 (2009)), Takayasu's arteritis (Sun, Y., et al., “MMP-9 and IL-6 are potential biomarkers for disease activity in Takayasu's arteritis,” Int J Cardiol 156(2):236-8 (2012)), Transverse myelitis (Graber, J. J., et al., “Interleukin-17 in transverse myelitis and multiple sclerosis,”J Neuroimmunol 196(1-2):124-32 (2008)), Ulcerative colitis (Mudter, J. and M. F. Neurath, “Il-6 signaling in inflammatory bowel disease: pathophysiological role and clinical relevance,” Inflamm Bowel Dis 13(8):1016-23 (2007)), Uveitis (Haruta, H., et al., “Blockade of interleukin-6 signaling suppresses not only th17 but also interphotoreceptor retinoid binding protein-specific Th1 by promoting regulatory T cells in experimental autoimmune uveoretinitis,” Invest Ophthalmol Vis Sci 52(6):3264-71 (2011)), and Vitiligo (Bassiouny, D. A. and O. Shaker, “Role of interleukin-17 in the pathogenesis of vitiligo,” Clin Exp Dermatol 36(3):292-7 115.(2011)).", "Thus, the invention includes compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof; pharmaceutical compositions comprising one or more of those compounds; and methods of using those compounds or compositions for treating these diseases.", "Acute and chronic (non-autoimmune) inflammatory diseases characterized by increased expression of pro-inflammatory cytokines, including IL-6, MCP-1, and IL-17, would also be amenable to therapeutic BET inhibition.", "These include, but are not limited to, sinusitis (Bradley, D. T. and S. E. Kountakis, “Role of interleukins and transforming growth factor-beta in chronic rhinosinusitis and nasal polyposis,” Laryngoscope 115(4):684-6 (2005)), pneumonitis (Besnard, A. G., et al., “Inflammasome-IL-1-Th17 response in allergic lung inflammation” J Mol Cell Biol 4(1):3-10 (2012)), osteomyelitis (Yoshii, T., et al., “Local levels of interleukin-1beta, -4, -6 and tumor necrosis factor alpha in an experimental model of murine osteomyelitis due to staphylococcus aureus,” Cytokine 19(2):59-65 2002), gastritis (Bayraktaroglu, T., et al., “Serum levels of tumor necrosis factor-alpha, interleukin-6 and interleukin-8 are not increased in dyspeptic patients with Helicobacter pylori-associated gastritis,” Mediators Inflamm 13(1):25-8 (2004)), enteritis (Mitsuyama, K., et al., “STAT3 activation via interleukin 6 trans-signalling contributes to ileitis in SAMP1/Yit mice,” Gut 55(9):1263-9.", "(2006)), gingivitis (Johnson, R. B., et al., “Interleukin-11 and IL-17 and the pathogenesis of periodontal disease,” J Periodontol 75(1):37-43 (2004)), appendicitis (Latifi, S. Q., et al., “Persistent elevation of serum interleukin-6 in intraabdominal sepsis identifies those with prolonged length of stay,” J Pediatr Surg 39(10):1548-52 (2004)), irritable bowel syndrome (Ortiz-Lucas, M., et al., “Irritable bowel syndrome immune hypothesis.", "Part two: the role of cytokines,” Rev Esp Enferm Dig 102(12):711-7 (2010)), tissue graft rejection (Kappel, L.W., et al., “IL-17 contributes to CD4-mediated graft-versus-host disease,” Blood 113(4):945-52 (2009)), chronic obstructive pulmonary disease (COPD) (Traves, S. L. and L. E. Donnelly, “Th17 cells in airway diseases,” Curr Mol Med 8(5):416-26 (2008)), septic shock (toxic shock syndrome, SIRS, bacterial sepsis, etc) (Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010)), osteoarthritis (Chen, L., et al., “IL-17RA aptamer-mediated repression of IL-6 inhibits synovium inflammation in a murine model of osteoarthritis,” Osteoarthritis Cartilage 19(6):711-8 (2011)), acute gout (Urano, W., et al., “The inflammatory process in the mechanism of decreased serum uric acid concentrations during acute gouty arthritis,” J Rheumatol 29(9):1950-3 (2002)), acute lung injury (Traves, S. L. and L. E. Donnelly, “Th17 cells in airway diseases,” Curr Mol Med 8(5):416-26 (2008)), acute renal failure (Simmons, E. M., et al., “Plasma cytokine levels predict mortality in patients with acute renal failure,” Kidney Int 65(4):1357-65 (2004)), burns (Paquet, P. and G. E. Pierard, “Interleukin-6 and the skin,” Int Arch Allergy Immunol 109(4):308-17 (1996)), Herxheimer reaction (Kaplanski, G., et al., “Jarisch-Herxheimer reaction complicating the treatment of chronic Q fever endocarditis: elevated TNFalpha and IL-6 serum levels,” J Infect 37(1):83-4 (1998)), and SIRS associated with viral infections (Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012)).", "Thus, the invention includes compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof; pharmaceutical compositions comprising one or more of those compounds; and methods of using those compounds or compositions for treating these diseases.", "In one embodiment, BET inhibitor compounds of Formula I, Formula la, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used for treating rheumatoid arthritis (RA) and multiple sclerosis (MS).", "Strong proprietary data exist for the utility of BET inhibitors in preclinical models of RA and MS. R. Jahagirdar, S. M. et al., “An Orally Bioavailable Small Molecule RVX-297 Significantly Decreases Disease in a Mouse Model of Multiple Sclerosis,” World Congress of Inflammation, Paris, France (2011).", "Both RA and MS are characterized by a dysregulation of the IL-6 and IL-17 inflammatory pathways (Kimura, A. and T. Kishimoto, “IL-6: regulator of Treg/Th17 balance,”Eur J Immunol 40(7):1830-5 (2010)) and thus would be especially sensitive to BET inhibition.", "In another embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II may be used for treating sepsis and associated afflictions.", "BET inhibition has been shown to inhibit development of sepsis, in part, by inhibiting IL-6 expression, in preclinical models in both published (Nicodeme, E., et al., “Suppression of inflammation by a synthetic histone mimic,” Nature 468(7327):1119-23 (2010)) and proprietary data.", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cancer.", "Cancers that have an overexpression, translocation, amplification, or rearrangement c-myc or other myc family oncoproteins (MYCN, L-myc) are particularly sensitive to BET inhibition.", "Delmore, J. E., et al., “BET bromodomain inhibition as a therapeutic strategy to target c-Myc,” Cell 146(6):904-17 (2010); Mertz, J.", "A., et al., “Targeting MYC dependence in cancer by inhibiting BET bromodomains,” Proc Natl Acad Sci USA 108(40):16669-74 (2011).", "These cancers include, but are not limited to, B-acute lymphocytic leukemia, Burkitt's lymphoma, Diffuse large cell lymphoma, Multiple myeloma, Primary plasma cell leukemia, Atypical carcinoid lung cancer, Bladder cancer, Breast cancer, Cervix cancer, Colon cancer, Gastric cancer, Glioblastoma, Hepatocellular carcinoma, Large cell neuroendocrine carcinoma, Medulloblastoma, Melanoma, nodular, Melanoma, superficial spreading, Neuroblastoma, esophageal squamous cell carcinoma, Osteosarcoma, Ovarian cancer, Prostate cancer, Renal clear cell carcinoma, Retinoblastoma, Rhabdomyosarcoma, and Small cell lung carcinoma.", "Vita, M. and M. Henriksson, “The Myc oncoprotein as a therapeutic target for human cancer,” Semin Cancer Biol 16(4):318-30 (2006).", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cancers that result from an aberrant regulation (overexpression, translocation, etc) of BET proteins.", "These include, but are not limited to, NUT midline carcinoma (Brd3 or Brd4 translocation to nutlin 1 gene) (French, C. A., “NUT midline carcinoma,” Cancer Genet Cytogenet 203(1):16-20 (2010)), B-cell lymphoma (Brd2 overexpression) (Greenwald, R. J., et al., “E mu-BRD2 transgenic mice develop B-cell lymphoma and leukemia,”.", "Blood 103(4):1475-84 (2004)), non-small cell lung cancer (BrdT overexpression) (Grunwald, C., et al., “Expression of multiple epigenetically regulated cancer/germline genes in nonsmall cell lung cancer,” Int J Cancer 118(10):2522-8 (2006)), esophageal cancer and head and neck squamous cell carcinoma (BrdT overexpression) (Scanlan, M. J., et al., “Expression of cancer-testis antigens in lung cancer: definition of bromodomain testis-specific gene (BRDT) as a new CT gene, CT9,” Cancer Lett 150(2):55-64 (2000)), and colon cancer (Brd4) (Rodriguez, R. M., et al., “Aberrant epigenetic regulation of bromodomain BRD4 in human colon cancer,” J Mol Med (Berl) 90(5):587-95 (2012)).", "In one embodiment, because BET inhibitors decrease Brd-dependent recruitment of pTEFb to genes involved in cell proliferation, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cancers that rely on pTEFb (Cdk9/cyclin T) and BET proteins to regulate oncogenes.", "These cancers include, but are not limited to, chronic lymphocytic leukemia and multiple myeloma (Tong, W. G., et al., “Phase I and pharmacologic study of SNS-032, a potent and selective Cdk2, 7, and 9 inhibitor, in patients with advanced chronic lymphocytic leukemia and multiple myeloma,” J Clin Oncol 28(18):3015-22 (2010)), follicular lymphoma, diffuse large B cell lymphoma with germinal center phenotype, Burkitt's lymphoma, Hodgkin's lymphoma, follicular lymphomas and activated, anaplastic large cell lymphoma (Bellan, C., et al., “CDK9/CYCLIN T1 expression during normal lymphoid differentiation and malignant transformation,” J Pathol 203(4):946-52 (2004)), neuroblastoma and primary neuroectodermal tumor (De Falco, G., et al., “Cdk9 regulates neural differentiation and its expression correlates with the differentiation grade of neuroblastoma and PNET tumors,” Cancer Biol Ther 4(3):277-81 (2005)), rhabdomyosarcoma (Simone, C. and A. Giordano, “Abrogation of signal-dependent activation of the cdk9/cyclin T2a complex in human RD rhabdomyosarcoma cells,” Cell Death Differ 14(1):192-5 (2007)), prostate cancer (Lee, D. K., et al., “Androgen receptor interacts with the positive elongation factor P-TEFb and enhances the efficiency of transcriptional elongation,” J Biol Chem 276(13):9978-84 (2001)), and breast cancer (Bartholomeeusen, K., et al., “BET bromodomain inhibition activates transcription via a transient release of P-TEFb from 7SK snRNP,”J Biol Chem (2012)).", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cancers in which BET-responsive genes, such as CDK6, Bcl2, TYRO3, MYB, and hTERT are up-regulated.", "Dawson, M. A., et al., “Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia,” Nature 478(7370):529-33 (2011); Delmore, J. E., et al., “BET bromodomain inhibition as a therapeutic strategy to target c-Myc,” Cell 146(6):904-17 (2010).", "These cancers include, but are not limited to, pancreatic cancer, breast cancer, colon cancer, glioblastoma, adenoid cystic carcinoma, T-cell prolymphocytic leukemia, malignant glioma, bladder cancer, medulloblastoma, thyroid cancer, melanoma, multiple myeloma, Barret's adenocarcinoma, hepatoma, prostate cancer, pro-myelocytic leukemia, chronic lymphocytic leukemia, mantle cell lymphoma, diffuse large B-cell lymphoma, small cell lung cancer, and renal carcinoma.", "Ruden, M. and N. Puri, “Novel anticancer therapeutics targeting telomerase,” Cancer Treat Rev (2012); Kelly, P. N. and A. Strasser, “The role of Bcl-2 and its pro-survival relatives in tumourigenesis and cancer therapy” Cell Death Differ 18(9):1414-24 (2011); Uchida, T., et al., “Antitumor effect of bcl-2 antisense phosphorothioate oligodeoxynucleotides on human renal-cell carcinoma cells in vitro and in mice,” Mol Urol 5(2):71-8 (2001).", "Published and proprietary data have shown direct effects of BET inhibition on cell proliferation in various cancers.", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cancers for which exist published and, for some, proprietary, in vivo and/or in vitro data showing a direct effect of BET inhibition on cell proliferation.", "These cancers include NMC (NUT-midline carcinoma), acute myeloid leukemia (AML), acute B lymphoblastic leukemia (B-ALL), Burkitt's Lymphoma, B-cell Lymphoma, Melanoma, mixed lineage leukemia, multiple myeloma, pro-myelocytic leukemia (PML), and non-Hodgkin's lymphoma.", "Filippakopoulos, P., et al., “Selective inhibition of BET bromodomains,” Nature 468(7327):1067-73 (2010); Dawson, M. A., et al., “Inhibition of BET recruitment to chromatin as an effective treatment for MLL-fusion leukaemia,” Nature 478(7370):529-33 (2011); Zuber, J., et al., “RNAi screen identifies Brd4 as a therapeutic target in acute myeloid leukaemia,” Nature 478(7370):524-8 (2011); Miguel F. Segura, et al, “BRD4 is a novel therapeutic target in melanoma,” Cancer Research.", "72(8):Supplement 1 (2012).", "The compounds of the invention have a demonstrated BET inhibition effect on cell proliferation in vitro for the following cancers: Neuroblastoma, Medulloblastoma, lung carcinoma (NSCLC, SCLC), and colon carcinoma.", "In one embodiment, because of potential synergy or additive effects between BET inhibitors and other cancer therapy, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be combined with other therapies, chemotherapeutic agents, or anti-proliferative agents to treat human cancer and other proliferative disorders.", "The list of therapeutic agents which can be combined with BET inhibitors in cancer treatment includes, but is not limited to, ABT-737, Azacitidine (Vidaza), AZD1152 (Barasertib), AZD2281 (Olaparib), AZD6244 (Selumetinib), BEZ235, Bleomycin Sulfate, Bortezomib (Velcade), Busulfan (Myleran), Camptothecin, Cisplatin, Cyclophosphamide (Clafen), CYT387, Cytarabine (Ara-C), Dacarbazine, DAPT (GSI-IX), Decitabine, Dexamethasone, Doxorubicin (Adriamycin), Etoposide, Everolimus (RAD001), Flavopiridol (Alvocidib), Ganetespib (STA-9090), Gefitinib (Iressa), Idarubicin, Ifosfamide (Mitoxana), IFNa2a (Roferon A), Melphalan (Alkeran), Methazolastone (temozolomide), Metformin, Mitoxantrone (Novantrone), Paclitaxel, Phenformin, PKC412 (Midostaurin), PLX4032 (Vemurafenib), Pomalidomide (CC-4047), Prednisone (Deltasone), Rapamycin, Revlimid (Lenalidomide), Ruxolitinib (INCB018424), Sorafenib (Nexavar), SU11248 (Sunitinib), SU11274, Vinblastine, Vincristine (Oncovin), Vinorelbine (Navelbine), Vorinostat (SAHA), and WP1130 (Degrasyn).", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat benign proliferative and fibrotic disorders, including, but not limited to, benign soft tissue tumors, bone tumors, brain and spinal tumors, eyelid and orbital tumors, granuloma, lipoma, meningioma, multiple endocrine neoplasia, nasal polyps, pituitary tumors, prolactinoma, pseudotumor cerebri, seborrheic keratoses, stomach polyps, thyroid nodules, cystic neoplasms of the pancreas, hemangiomas, vocal cord nodules, polyps, and cysts, Castleman disease, chronic pilonidal disease, dermatofibroma, pilar cyst, pyogenic granuloma, juvenile polyposis syndrome, idiopathic pulmonary fibrosis, renal fibrosis, post-operative stricture, keloid formation, scleroderma, and cardiac fibrosis.", "Tang, X et al., “Assessment of Brd4 Inhibition in Idiopathic Pulmonary Fibrosis Lung Fibroblasts and in Vivo Models of Lung Fibrosis,”.", "Am J Pathology in press (2013).", "In one embodiment, because of their ability to up-regulate ApoA-1 transcription and protein expression (Mirguet, O., et al., “From ApoA1 upregulation to BET family bromodomain inhibition: discovery of I-BET151,” Bioorg Med Chem Lett 22(8):2963-7 (2012); Chung, C. W., et al., “Discovery and characterization of small molecule inhibitors of the BET family bromodomains,” J Med Chem 54(11):3827-38 (2011)), BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat cardiovascular diseases that are generally associated with including dyslipidemia, atherosclerosis, hypercholesterolemia, and metabolic syndrome (Belkina, A. C. and G. V. Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012); Denis, G. V., “Bromodomain coactivators in cancer, obesity, type 2 diabetes, and inflammation,” Discov Med 10(55):489-99 (2010)).", "In another embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II may be used to treat non-cardiovascular disease characterized by deficits in ApoA-1, including Alzheimer's disease.", "Elliott, D. A., et al., “Apolipoproteins in the brain: implications for neurological and psychiatric disorders,” Clin Lipidol 51(4):555-573 (2010).", "In one embodiment, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used in patients with insulin resistance and type II diabetes.", "Belkina, A.C. and G.V.", "Denis, “BET domain co-regulators in obesity, inflammation and cancer,” Nat Rev Cancer 12(7):465-77 (2012); Denis, G. V., “Bromodomain coactivators in cancer, obesity, type 2 diabetes, and inflammation,” Discov Med 10(55):489-99 (2010); Wang, F., et al., “Brd2 disruption in mice causes severe obesity without Type 2 diabetes,” Biochem J 425(1):71-83 (2010); Denis, G. V., et al, “An emerging role for bromodomain-containing proteins in chromatin regulation and transcriptional control of adipogenesis,” FEBS Lett 584(15):3260-8 (2010).", "The anti-inflammatory effects of BET inhibition would have additional value in decreasing inflammation associated with diabetes and metabolic disease.", "Alexandraki, K., et al., “Inflammatory process in type 2 diabetes: The role of cytokines,” Ann N Y Acad Sci 1084:89-117 (2006).", "In one embodiment, because of their ability to down-regulate viral promoters, BET inhibitor compounds of Formula I, Formula la, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used as therapeutics for cancers that are associated with viruses including Epstein-Barr Virus (EBV), hepatitis virus (HBV, HCV), Kaposi's sarcoma associated virus (KSHV), human papilloma virus (HPV), Merkel cell polyomavirus, and human cytomegalovirus (CMV).", "Gagnon, D., et al., “Proteasomal degradation of the papillomavirus E2 protein is inhibited by overexpression of bromodomain-containing protein 4,” J Virol 83(9):4127-39 (2009); You, J., et al., “Kaposi's sarcoma-associated herpesvirus latency-associated nuclear antigen interacts with bromodomain protein Brd4 on host mitotic chromosomes,”J Virol 80(18):8909-19 (2006); Palermo, R. D., et al., “RNA polymerase II stalling promotes nucleosome occlusion and pTEFb recruitment to drive immortalization by Epstein-Barr virus,” PLoS Pathog 7(10):e1002334 (2011); Poreba, E., et al., “Epigenetic mechanisms in virus-induced tumorigenesis,” Clin Epigenetics 2(2):233-47.2011.In another embodiment, because of their ability to reactivate HIV-1 in models of latent T cell infection and latent monocyte infection, BET inhibitors could be used in combination with anti-retroviral therapeutics for treating HIV.", "Zhu, J., et al., “Reactivation of Latent HIV-1 by Inhibition of BRD4,” Cell Rep (2012); Banerjee, C., et al., “BET bromodomain inhibition as a novel strategy for reactivation of HIV-1,” J Leukoc Biol (2012); Bartholomeeusen, K., et al., “BET bromodomain inhibition activates transcription via a transient release of P-TEFb from 7SK snRNP,” J Biol Chem (2012); Li, Z., et al., “The BET bromodomain inhibitor JQ1 activates HIV latency through antagonizing Brd4 inhibition of Tat-transactivation,” Nucleic Acids Res (2012.)", "In one embodiment, because of the role of epigenetic processes and bromodomain-containing proteins in neurological disorders, BET inhibitor compounds of Formula I, Formula la, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used to treat diseases including, but not limited to, Alzheimer's disease, Parkinson's disease, Huntington disease, bipolar disorder, schizophrenia, Rubinstein-Taybi syndrome, and epilepsy.", "Prinjha, R. K., J. Witherington, and K. Lee, “Place your BETs: the therapeutic potential of bromodomains,” Trends Pharmacol Sci 33(3):146-53 (2012); Muller, S., et al., “Bromodomains as therapeutic targets,” Expert Rev Mol Med 13:e29 (2011).", "In one embodiment, because of the effect of BRDT depletion or inhibition on spermatid development, BET inhibitor compounds of Formula I, Formula Ia, and/or Formula II, stereoisomers, tautomers, pharmaceutically acceptable salts, or hydrates thereof, or compositions comprising one or more of those compounds may be used as reversible, male contraceptive agents.", "Matzuk, M. M., et al., “Small-Molecule Inhibition of BRDT for Male Contraception,” Cell 150(4): p. 673-684 (2012); Berkovits, B. D., et al., “The testis-specific double bromodomain-containing protein BRDT forms a complex with multiple spliceosome components and is required for mRNA splicing and 3′-UTR truncation in round spermatids,” Nucleic Acids Res 40(15):7162-75 (2012).", "Pharmaceutical Compositions Pharmaceutical compositions of the present disclosure comprise at least one compound of Formulae I-II, or tautomer, stereoisomer, pharmaceutically acceptable salt or hydrate thereof formulated together with one or more pharmaceutically acceptable carriers.", "These formulations include those suitable for oral, rectal, topical, buccal and parenteral (e.g., subcutaneous, intramuscular, intradermal, or intravenous) administration.", "The most suitable form of administration in any given case will depend on the degree and severity of the condition being treated and on the nature of the particular compound being used.", "Formulations suitable for oral administration may be presented in discrete units, such as capsules, cachets, lozenges, or tablets, each containing a predetermined amount of a compound of the present disclosure as powder or granules; as a solution or a suspension in an aqueous or non-aqueous liquid; or as an oil-in-water or water-in-oil emulsion.", "As indicated, such formulations may be prepared by any suitable method of pharmacy which includes the step of bringing into association at least one compound of the present disclosure as the active compound and a carrier or excipient (which may constitute one or more accessory ingredients).", "The carrier must be acceptable in the sense of being compatible with the other ingredients of the formulation and must not be deleterious to the recipient.", "The carrier may be a solid or a liquid, or both, and may be formulated with at least one compound described herein as the active compound in a unit-dose formulation, for example, a tablet, which may contain from about 0.05% to about 95% by weight of the at least one active compound.", "Other pharmacologically active substances may also be present including other compounds.", "The formulations of the present disclosure may be prepared by any of the well-known techniques of pharmacy consisting essentially of admixing the components.", "For solid compositions, conventional nontoxic solid carriers include, for example, pharmaceutical grades of mannitol, lactose, starch, magnesium stearate, sodium saccharin, talc, cellulose, glucose, sucrose, magnesium carbonate, and the like.", "Liquid pharmacologically administrable compositions can, for example, be prepared by, for example, dissolving or dispersing, at least one active compound of the present disclosure as described herein and optional pharmaceutical adjuvants in an excipient, such as, for example, water, saline, aqueous dextrose, glycerol, ethanol, and the like, to thereby form a solution or suspension.", "In general, suitable formulations may be prepared by uniformly and intimately admixing the at least one active compound of the present disclosure with a liquid or finely divided solid carrier, or both, and then, if necessary, shaping the product.", "For example, a tablet may be prepared by compressing or molding a powder or granules of at least one compound of the present disclosure, which may be optionally combined with one or more accessory ingredients.", "Compressed tablets may be prepared by compressing, in a suitable machine, at least one compound of the present disclosure in a free-flowing form, such as a powder or granules, which may be optionally mixed with a binder, lubricant, inert diluent and/or surface active/dispersing agent(s).", "Molded tablets may be made by molding, in a suitable machine, where the powdered form of at least one compound of the present disclosure is moistened with an inert liquid diluent.", "Formulations suitable for buccal (sub-lingual) administration include lozenges comprising at least one compound of the present disclosure in a flavored base, usually sucrose and acacia or tragacanth, and pastilles comprising the at least one compound in an inert base such as gelatin and glycerin or sucrose and acacia.", "Formulations of the present disclosure suitable for parenteral administration comprise sterile aqueous preparations of at least one compound of Formulae I-II or tautomers, stereoisomers, pharmaceutically acceptable salts, and hydrates thereof, which are approximately isotonic with the blood of the intended recipient.", "These preparations are administered intravenously, although administration may also be effected by means of subcutaneous, intramuscular, or intradermal injection.", "Such preparations may conveniently be prepared by admixing at least one compound described herein with water and rendering the resulting solution sterile and isotonic with the blood.", "Injectable compositions according to the present disclosure may contain from about 0.1 to about 5% w/w of the active compound.", "Formulations suitable for rectal administration are presented as unit-dose suppositories.", "These may be prepared by admixing at least one compound as described herein with one or more conventional solid carriers, for example, cocoa butter, and then shaping the resulting mixture.", "Formulations suitable for topical application to the skin may take the form of an ointment, cream, lotion, paste, gel, spray, aerosol, or oil.", "Carriers and excipients which may be used include Vaseline, lanoline, polyethylene glycols, alcohols, and combinations of two or more thereof.", "The active compound (i.e., at least one compound of Formulae I-IV or tautomers, stereoisomers, pharmaceutically acceptable salts, and hydrates thereof) is generally present at a concentration of from about 0.1% to about 15% w/w of the composition, for example, from about 0.5 to about 2%.", "The amount of active compound administered may be dependent on the subject being treated, the subject's weight, the manner of administration and the judgment of the prescribing physician.", "For example, a dosing schedule may involve the daily or semi-daily administration of the encapsulated compound at a perceived dosage of about 1 μg to about 1000 mg.", "In another embodiment, intermittent administration, such as on a monthly or yearly basis, of a dose of the encapsulated compound may be employed.", "Encapsulation facilitates access to the site of action and allows the administration of the active ingredients simultaneously, in theory producing a synergistic effect.", "In accordance with standard dosing regimens, physicians will readily determine optimum dosages and will be able to readily modify administration to achieve such dosages.", "A therapeutically effective amount of a compound or composition disclosed herein can be measured by the therapeutic effectiveness of the compound.", "The dosages, however, may be varied depending upon the requirements of the patient, the severity of the condition being treated, and the compound being used.", "In one embodiment, the therapeutically effective amount of a disclosed compound is sufficient to establish a maximal plasma concentration.", "Preliminary doses as, for example, determined according to animal tests, and the scaling of dosages for human administration is performed according to art-accepted practices.", "Toxicity and therapeutic efficacy can be determined by standard pharmaceutical procedures in cell cultures or experimental animals, e.g., for determining the LD50 (the dose lethal to 50% of the population) and the ED50 (the dose therapeutically effective in 50% of the population).", "The dose ratio between toxic and therapeutic effects is the therapeutic index and it can be expressed as the ratio LD50/ED50.Compositions that exhibit large therapeutic indices are preferable.", "Data obtained from the cell culture assays or animal studies can be used in formulating a range of dosage for use in humans.", "Therapeutically effective dosages achieved in one animal model may be converted for use in another animal, including humans, using conversion factors known in the art (see, e.g., Freireich et al., Cancer.", "Chemother.", "Reports 50(4):219-244 (1966) and Table I for Equivalent Surface Area Dosage Factors).", "TABLE I Equivalent Surface Area Dosage Factors: To: Mouse Rat Monkey Dog Human From: (20 g) (150 g) (3.5 kg) (8 kg) (60 kg) Mouse 1 ½ ¼ ⅙ 1/12 Rat 2 1 ½ ¼ 1/7 Monkey 4 2 1 ⅗ ⅓ Dog 6 4 ⅗ 1 ½ Human 12 7 3 2 1 The dosage of such compounds lies preferably within a range of circulating concentrations that include the ED50 with little or no toxicity.", "The dosage may vary within this range depending upon the dosage form employed and the route of administration utilized.", "Generally, a therapeutically effective amount may vary with the subject's age, condition, and gender, as well as the severity of the medical condition in the subject.", "The dosage may be determined by a physician and adjusted, as necessary, to suit observed effects of the treatment.", "In one embodiment, a compound of Formulae I-II or a tautomer, stereoisomer, pharmaceutically acceptable salt or hydrate thereof, is administered in combination with another therapeutic agent.", "The other therapeutic agent can provide additive or synergistic value relative to the administration of a compound of the present disclosure alone.", "The therapeutic agent can be, for example, a statin; a PPAR agonist, e.g., a thiazolidinedione or fibrate; a niacin, a RVX, FXR or LXR agonist; a bile-acid reuptake inhibitor; a cholesterol absorption inhibitor; a cholesterol synthesis inhibitor; a cholesteryl ester transfer protein (CETP), an ion-exchange resin; an antioxidant; an inhibitor of AcylCoA cholesterol acyltransferase (ACAT inhibitor); a tyrophostine; a sulfonylurea-based drug; a biguanide; an alpha-glucosidase inhibitor; an apolipoprotein E regulator; a HMG-CoA reductase inhibitor, a microsomal triglyceride transfer protein; an LDL-lowing drug; an HDL-raising drug; an HDL enhancer; a regulator of the apolipoprotein A-IV and/or apolipoprotein genes; or any cardiovascular drug.", "In another embodiment, a compound of Formulae I and/or Formula II or a tautomer, stereoisomer, pharmaceutically acceptable salt or hydrate thereof, is administered in combination with one or more anti-inflammatory agents.", "Anti-inflammatory agents can include immunosuppressants, TNF inhibitors, corticosteroids, non-steroidal anti-inflammatory drugs (NSAIDs), disease-modifying anti-rheumatic drugs (DMARDS), and the like.", "Exemplary anti-inflammatory agents include, for example, prednisone; methylprenisolone (Medrol®), triamcinolone, methotrexate (Rheumatrex®, Trexall®), hydroxychloroquine (Plaquenil®), sulfasalzine (Azulfidine®), leflunomide (Arava®), etanercept (Enbrel®), infliximab (Remicade®), adalimumab (Humira®), rituximab (Rituxan®), abatacept (Orencia®), interleukin-1, anakinra (KineretTM), ibuprofen, ketoprofen, fenoprofen, naproxen, aspirin, acetominophen, indomethacin, sulindac, meloxicam, piroxicam, tenoxicam, lornoxicam, ketorolac, etodolac, mefenamic acid, meclofenamic acid, flufenamic acid, tolfenamic acid, diclofenac, oxaprozin, apazone, nimesulide, nabumetone, tenidap, etanercept, tolmetin, phenylbutazone, oxyphenbutazone, diflunisal, salsalate, olsalazine, or sulfasalazine.", "EXAMPLES General Methods.", "Unless otherwise noted, reagents and solvents were used as received from commercial suppliers.", "Proton nuclear magnetic resonance spectra were obtained on a Bruker AVANCE 300 spectrometer at 300 MHz or Bruker AVANCE 500 spectrometer at 500 MHz or a Bruker AVANCE 300 spectrometer at 300 MHz.", "Spectra are given in ppm (6) and coupling constants, J values, are reported in hertz (Hz).", "Tetramethylsilane was used as an internal standard for 1H nuclear magnetic resonance.", "Mass spectra analyses were performed on Waters Aquity UPLC Mass Spectrometer in ESI or APCI mode when appropriate, Agilent 6130A Mass Spectrometer in ESI, APCI, or MultiMode mode when appropriate or Applied Biosystems API-150EX Spectrometer in ESI or APCI mode when appropriate.", "Silica gel chromatographys were in general performed on a Teledyne Isco CombiFlash® Rf 200 system or a Teledyne Isco CombiFlash® Companion system.", "Abbreviations: CDI: 1,1′-carbonyldiimidazole; DMAP: N,N-dimethylaminopropylamine; EDC: 1-(3-dimethylaminopropyl)-3-ethylcarbodiimide hydrochloride; m-CPBA: 3-chloroperoxybenzoic acid; NBS: N-bromosuccinimide.", "General Procedure A Preparation of 9-Benzyl-2-(3,5-dimethylisoxazol-4-yl)-9H-purin-6-amine (Example Compound 1) Step 1: To a slurry of 1 (1.50 g, 8.84 mmol) in DMF (50 mL) was added potassium carbonate (3.64 g, 26.4 mmol) and benzyl chloride (1.01 mL, 8.84 mmol).", "The reaction was stirred at rt for 16 h. The reaction mixture was filtered, the filtrate was poured into water (100 mL) and stirred for 5 minutes.", "The solid was collected and dried to give 2 (1.60 g, 70%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 8.26 (s, 1H), 7.80 (br s, 2H), 7.38-7.26 (m, 5H), 5.34 (s, 2H); ESI m/z 260 [M+H]+.", "Step 2: To a solution of 2 (260 mg, 1.0 mmol) in 1,4-dioxane (10 mL) and DMF (4 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (335 mg, 1.5 mmol), sodium carbonate (2.0 M in H2O, 1.0 mL, 2.0 mmol) and tetrakis(triphenylphosphine) palladium(0) (116 mg, 0.1 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was diluted with methylene chloride (20 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-5% methylene chloride/methanol) followed by trituration with EtOAc/hexanes to afford 9-benzyl-2-(3,5-d imethylisoxazol-4-yl)-9H-purin-6-amine (Example Compound 1) (110 mg, 34%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.29 (s, 1H), 7.36-7.28 (m, 7H), 5.38 (s, 2H), 2.73 (s, 3H), 2.51 (s, 3H); ESI m/z 321 [M+H]+.", "Preparation of 3-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 2) Step 1: To a solution of 4 (500 mg, 2.66 mmol) in 1,4-dioxane (15 mL) was added CDI (517 mg, 3.19 mmol).", "The reaction was heated at 60° C. for 16 h. The solid was collected and dried to give 5 (340 mg, 60%) as a light purple solid: 1H NMR (300 MHz, DMSO-d6) δ 11.58 (br s, 1H), 11.02 (br s, 1H), 7.19 (d, J=8.1 Hz, 1H), 7.13 (d, J=8.1 Hz, 1H).", "Step 2: To a solution of 5 (170 mg, 0.79 mmol) in 1,4-dioxane (12 mL) and DMF (6 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (352 mg, 1.58 mmol), sodium carbonate (2.0 M in H2O, 1.19 mL, 2.37 mmol) and tetrakis(triphenylphosphine) palladium(0) (92 mg, 0.08 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was diluted with methylene chloride (20 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-5% methylene chloride/methanol) to afford 6 (130 mg, 71%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.38 (br s, 1H), 10.90 (br s, 1H), 7.30 (d, J=7.8 Hz, 1H), 7.07 (d, J=8.1 Hz, 1H), 2.49 (s, 3H), 2.33 (s, 3H).", "Step 3: To a solution of 6 (100 mg, 0.43 mmol) in DMF (10 mL) was added potassium carbonate (72 mg, 0.52 mmol) and di-tert-butyl dicarbonate (104 mg, 0.48 mmol).", "The reaction was stirred at rt for 16 h. To the reaction mixture was added potassium carbonate (72 mg, 0.52 mmol) and benzyl chloride (0.14 mL, 0.48 mmol).", "The reaction was stirred at rt for 16 h. The mixture was diluted with EtOAc (100 mL) and washed with brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-30% ethyl acetate/hexanes) afforded 6 (130 mg, 71%) as a colorless gum: 1H NMR (300 MHz, DMSO-d6) δ 7.97 (d, J=8.1 Hz, 1H), 7.38-7.27 (m, 6H), 5.05 (s, 2H), 2.49 (s, 3H), 2.29 (s, 3H), 1.61 (s, 9H).", "Step 4: A solution of 7 (130 mg, 0.31 mmol) in methylene chloride (4 mL) and TFA (2 mL) was stirred at rt for 2 h. The mixture was concentrated, the residue was dissolved in methylene chloride (100 mL), washed with saturated NaHCO3 (50 mL×2) and brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated to afford 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 2) (81 mg, 81%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.31 (s, 1H), 7.40 (d, J=7.8 Hz, 1H), 7.34-7.25 (m, 5H), 7.15 (d, J=7.8 Hz, 1H), 5.03 (s, 2H), 2.47 (s, 3H), 2.28 (s, 3H); ESI m/z 321 [M+H]+.", "Preparation of 1-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 3) Step 1: To a solution of 4 (500 mg, 2.66 mmol) and benzaldehyde (282 mg, 2.66 mmol) in methylene chloride (15 mL) was added acetic acid (319 mg, 5.32 mmol).", "The reaction was stirred at rt for 30 minutes, then NaBH(OAc)3 (1.69 g, 7.98 mmol) was added.", "The reaction mixture was stirred at rt for 16 h. The mixture was diluted with methylene chloride (100 mL) and saturated aq.", "NaHCO3 (50 mL) was added slowly.", "The organic layer was separated, dried over sodium sulfate, filtered and concentrated.", "The residue was triturated with methylene chloride/EtOAc to give 8 (401 mg, 54%) as a light brown solid: 1H NMR (300 MHz, DMSO-d6) δ 7.34-7.22 (m, 5H), 6.48 (d, J=7.8 Hz, 1H), 6.40 (d, J=7.8 Hz, 1H), 6.02 (br s, 2H), 5.54 (t, J=5.7 Hz, 1H), 4.27 (d, J=5.4 Hz, 2H).", "Step 2: To a solution of 8 (400 mg, 1.44 mmol) in 1,4-dioxane (10 mL) was added CDI (514 mg, 3.17 mmol).", "The reaction was heated at 110° C. for 16 h. The reaction mixture was concentrated.", "Purification by chromatography (silica gel, 0-40% ethyl acetate/hexanes) afforded 9 (310 mg, 71%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.96 (s, 1H), 7.35-7.27 (m, 6H), 7.19 (d, J=7.8 Hz, 1H), 5.02 (s, 2H).", "Step 3: To a solution of 9 (310 mg, 1.02 mmol) in 1,4-dioxane (10 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (341 mg, 1.53 mmol), sodium carbonate (2.0 M in H2O, 1.02 mL, 2.04 mmol) and tetrakis(triphenylphosphine)palladium(0) (59 mg, 0.05 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was diluted with methylene chloride (20 mL) and filtered.", "The filtrate was concentrated and the residue was purified by chromatography (silica gel, 0-80% EtOAc/hexanes) and trituration with EtOAc to afford 1-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 3) (202 mg, 62%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.76 (s, 1H), 7.44 (d, J=7.8 Hz, 1H), 7.36-7.28 (m, 5H), 7.11 (d, J=7.8 Hz, 1H), 5.05 (s, 2H), 2.49 (s, 3H), 2.32 (s, 3H); ESI m/z 321 [M+H]+.", "General Procedure B Preparation of 4-(3-Benzyl-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 4) and 4-(1-Benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 5) Step 1: To a solution of 10 (400 mg, 2.0 mmol) in 1,4-dioxane (10 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (669 mg, 1.5 mmol), sodium carbonate (2.0 M in H2O, 2.0 mL, 4.0 mmol) and tetrakis(triphenylphosphine)palladium(0) (116 mg, 0.1 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was concentrated and purified by chromatography (silica gel, 0-8% methylene chloride/methanol) followed by trituration with EtOAc/hexanes to afford 11 (228 mg, 53%) as a light yellow solid: ESI m/z 215 [M+H]+.", "Step 2: To a solution of 11 (220 mg, 1.03 mmol) in CH3CN (10 mL) was added potassium carbonate (426 mg, 3.09 mmol) and benzyl chloride (0.12 mL, 1.03 mmol).", "The reaction was stirred at rt for 16 h. The mixture was concentrated and purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford 4-(3-benzyl-3H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 4) (34 mg, 11%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.34 (d, J=1.8 Hz, 1H), 8.14 (s, 1H), 7.99 (d, J=1.8 Hz, 1H), 7.40-7.31 (m, 5H), 5.52 (s, 2H), 2.44 (s, 3H), 2.30 (s, 3H); ESI m/z 305 [M+H]+; 4-(1-benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 5) (39 mg, 12%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.46 (d, J=1.8 Hz, 1H), 8.29 (s, 1H), 7.40-7.37 (m, 3H), 7.34 (d, J=2.1 Hz, 1H), 7.24-7.21 (m, 2H), 5.41 (s, 2H), 2.33 (s, 3H), 2.16 (s, 3H); ESI m/z 305 [M+H]+.", "Preparation of 3-Benzyl-5-(3,5-dimethylisoxazol-4-yl)benzo[d]oxazol-2(3H)-one (Example Compound 6) Step 1: To a solution of 13 (5.00 g, 22.9 mmol) in acetic acid (50 mL), ethanol (100 mL), and water (5 mL) was added iron powder (6.42 g, 115 mmol).", "The reaction was heated at 80° C. for 2 h under nitrogen.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-100% hexanes/ethyl acetate) to give 14 (3.27 g, 76%) as a brown solid: 1H NMR (300 MHz, CDCl3) δ 6.88 (d, J=2.2 Hz, 1H), 6.77 (dd, J=8.3, 2.3 Hz, 1H), 6.60 (d, J=8.3 Hz, 1H), 6.00-5.20 (br s, 3H).", "Step 2: To a solution of 14 (1.50 g, 7.98 mmol) in 1,4-dioxane (100 mL) was added 1,1′-carbonyldiimidazole (1.55 g, 9.58 mmol).", "The reaction was heated at 80° C. for 17 h under nitrogen.", "The mixture was cooled to room temperature and 2N aq.", "HCl (40 mL) was added.", "The solution was diluted with ethyl acetate (200 mL) and washed with brine (2×50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/hexanes) afforded 15 (1.08 g, 63%) as an orange solid: 1H NMR (500 MHz, DMSO-d6) δ 11.81 (s, 1H), 7.27-7.25 (m, 3H).", "Step 3: To a solution of 15 (150 mg, 0.701 mmol) in acetonitrile (10 mL) was added benzyl bromide (180 mg, 1.05 mmol) and potassium carbonate (193 mg, 1.40 mmol).", "The reaction was heated at 80° C. for 3 h. The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-50% ethyl acetate/hexanes) to afford 16 (195 mg, 92%) as an off-white solid: 1H NMR (500 MHz, CDCl3) δ 7.41-7.30 (m, 5H), 7.22 (dd, J=8.5, 1.7 Hz, 1H), 7.08 (d, J=8.5 Hz, 1H), 6.97 (d, J=1.6 Hz, 1H), 4.97 (s, 2H).", "Step 4: To a solution of 16 (195 mg, 0.641 mmol) in 1,4-dioxane (10 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (172 mg, 0.769 mmol), potassium carbonate (177 mg, 1.28 mmol), and tetrakis(triphenylphosphine)palladium(0) (37 mg, 0.032 mmol).", "The reaction mixture was purged with nitrogen and heated at 100° C. for 4 h. The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-30% ethyl acetate/hexanes).", "It was further purified by reverse phase HPLC on Polaris column eluting with 10-90% CH3CN in H2O to give 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)benzo[d]oxazol-2(3H)-one (Example Compound 6) (115 mg, 56%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.47-7.42 (m, 3H), 7.40-7.34 (m, 2H), 7.34-7.28 (m, 1H), 7.23 (d, J=1.6 Hz, 1H), 7.12 (dd, J=8.2 Hz, 7.7 Hz, 1H), 5.07 (s, 2H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 321 [M+H]+.", "General Procedure C Preparation of 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine (Example Compound 7), 1-Benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-7-amine (Example Compound 8) and N,1-Dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine (Example Compound 9) To a solution of 6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine 17 (290 mg, 1.27 mmol) in CH3CN (15 mL) was added potassium carbonate (350 mg, 2.54 mmol) and benzyl chloride (200 mg, 1.59 mmol).", "The reaction mixture was stirred at 60° C. for 16 h. The mixture was diluted with methylene chloride (20 mL) and filtered through a layer of Celite.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-10% CH3OH/CH2Cl2) to afford 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine (Example Compound 7) (109 mg, 27%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.95 (s, 1H), 7.37-7.34 (m, 3H), 7.23-7.20 (m, 2H), 6.46 (d, J=1.2 Hz, 1H), 6.40 (d, J=1.2 Hz, 1H), 5.34 (s, 2H), 2.31 (s, 3H), 2.16 (s, 3H); ESI MS m/z 319 [M+H]+; 1-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-7-amine (Example Compound 8) (19 mg, 4.7%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.15 (s, 1H), 7.43-7.40 (m, 3H), 7.23 (d, J=1.2 Hz, 1H), 7.20-7.17 (m, 2H), 6.39 (d, J=1.2 Hz, 1H), 5.69 (s, 2H), 2.40 (s, 3H), 2.27 (s, 3H); ESI MS m/z 319 [M+H]+; N,1-dibenzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine (Example Compound 9) (40 mg, 8%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.27 (s, 1H), 7.40-7.18 (m, 10H), 6.62 (d, J=1.2 Hz, 1H), 6.57 (t, J=6.0 Hz, 1H), 5.97 (d, J=1.2 Hz, 1H), 5.41 (s, 2H), 4.48 (d, J=6.0 Hz, 2H), 2.12 (s, 3H), 1.94 (s, 3H); ESI MS m/z 409 [M+H]+.", "Preparation of 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 10) 1-Benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 10) was prepared by following the method for the preparation of Example 3 affording the product (158 mg, 47%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.81 (s, 1H), 7.90 (d, J=2.1 Hz, 1H), 7.44-7.25 (m, 6H), 5.05 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); MM m/z 321 [M+H]+.", "Preparation of 1-Benzyl-7-(3,5-dimethylisoxazol-4-yl)quinoxalin-2(1H)-one (Example Compound 11) Step 1: A solution of 18 (500 mg, 2.3 mmol), benzylamine (1.2 g, 11.4 mmmol) and pyridine (5.0 mL) was stirred at room temperature for 18 hours.", "The solvent was removed in vacuo and the product was purified by chromatography (silica gel, 0-10% ethyl acetate/hexanes) to provide 19 (630 mg, 91%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 8.38 (s, 1H), 8.05 (d, J=9.1 Hz, 1H), 7.40-7.32 (m, 5H), 7.01 (d, J=1.9 Hz, 1H), 6.79 (dd, J=9.1, 1.9 Hz, 1H), 4.51 (d, J=5.5 Hz, 2H).", "Step 2: A mixture of 19 (100 mg, 0.33 mmol), iron powder (127 mg, 2.28 mmol), ammonium chloride (27 mg, 0.5 mmol), water (0.5 mL) and ethanol (3 mL) was heated at reflux for 0.5 hour.", "The reaction mixture was cooled and filtered.", "The solvent was removed to provide 20 (90 mg, 100%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.40-7.29 (m, 5H), 6.81-6.77 (m, 2H), 6.61-6.58 (m, 1H), 4.27 (s, 2H), 3.41 (s, 1H); ESI m/z 278 [M+H]+.", "Step 3: To a mixture of 20 (100 mg, 0.36 mmol), triethylamine (48 mg, 0.47 mmol), CH2Cl2 (0.5 mL) and THF (1.0 mL) was added a solution of ethyl bromoacetate (78 mg, 0.47 mmol) in THF (1.0 mL) at room temperature.", "The reaction mixture was stirred for 18 hours and then heated to 75° C. for 1 hour.", "The reaction mixture was concentrated and the product purified by chromatography (silica gel, 0-30% ethyl acetate/hexanes) to provide 21 (44 mg, 39%) as a tan solid: 1H NMR (500 MHz, CDCl3) δ 7.38-7.29 (m, 4H), 7.24-7.22 (m, 2H), 6.98-6.93 (m, 2H), 6.55 (d, J=8.3 Hz, 1H), 5.13 (s, 2H), 4.05 (s, 2H); ESI m/z 318 [M+H]+.", "Step 4: A mixture of 21 (44 mg, 0.14 mmol), 3 (47 mg, 0.21 mmol), K2CO3 (39 mg, 0.28 mmol), tetrakis(triphenylphosphine)palladium(0) (8 mg, 0.01 mmol), 1,4-dioxane (3 mL) and water (0.5 mL) was heated at 90° C. for 16 hours.", "The reaction mixture was concentrated onto silica gel and the product purified by chromatography (silica gel, 0-50% ethyl acetate/hexanes) to provide 1-benzyl-7-(3,5-dimethylisoxazol-4-yl)quinoxalin-2(1H)-one (Example Compound 11) (16 mg, 34%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.43 (s, 1H), 7.94 (d, J=8.2 Hz, 1H), 7.35-7.32 (m, 2H), 7.29-7.27 (m, 1H), 7.21-7.18 (m, 3H), 7.04 (s, 1H), 5.51 (s, 1H), 2.16 (s, 3H), 2.02 (s, 3H); ESI m/z 332 [M+H]+.", "Preparation of 1-Benzyl-7-(3,5-dimethylisoxazol-4-yl)-3,4-dihydroquinazolin-2(1H)-one (Example Compound 12) Step 1: To a solution of 22 (1.19 g, 5.53 mmol) and benzaldehyde (594 mg, 5.60 mmol) in CH2Cl2 (50 mL) and CH3CN (50 mL) was added acetic acid (0.2 mL).", "The mixture was stirred at rt for 1 h. NaBH(OAc)3 (3.52 g, 16.59 mmol) was added.", "The mixture was stirred at rt for 8 h. The reaction was quenched with saturated aq.", "NaHCO3 (50 mL) and concentrated, the residue was suspended in EtOAc (300 mL), washed with brine (100 mL).", "The organic layer was separated, dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-50% EtOAc/heptane) to afford 23 (201 mg, 12%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.75 (d, J=5.7 Hz, 1H), 7.93 (br.s, 1H), 7.55 (d, J=8.4 Hz, 1H), 7.38-7.31 (m, 6H), 6.76 (d, J=1.8 Hz, 1H), 6.69 (dd, J=8.4, 1.8 Hz, 1H), 4.39 (d, J=6.0 Hz, 2H).", "Step 2: To a solution of 23 (518 mg, 1.70 mmol) in THF (20 mL) was added BH3.THF (1.0 M in THF, 8.50 mL, 8.50 mmol).", "The mixture was heated to reflux for 16 h. MeOH (40 mL) was added slowly followed by 2 N HCl (40 mL).", "The mixture was heated to reflux for 3 h. NH4OH (60 mL) was added, the mixture was extracted with EtOAc (200 mL×3).", "The organic layer was separated, dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% MeOH/methylene chloride) to afford 24 (372 mg, 75%) as an colorless gum: 1H NMR (300 MHz, DMSO-d6) δ 7.32-7.21 (m, 5H), 6.98 (d, J=7.8 Hz, 1H), 6.87 (t, J=6.0 Hz, 1H), 6.65 (dd, J=8.1, 2.1 Hz, 1H), 6.53 (d, J=2.1 Hz, 1H), 4.33 (d, J=5.7 Hz, 2H), 3.71 (s, 2H), 1.92 (br.s, 2H).", "Step 3: Using the procedure used for Example Compound 3 step 2 starting with compound 24 (362 mg, 1.24 mmol) afforded 25 (325 mg, 85%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 7.33-7.31 (m, 3H), 7.25-7.23 (m, 3H), 7.09 (d, J=1.8 Hz, 2H), 6.86 (s, 1H), 5.05 (s, 2H), 4.35 (d, J=1.5 Hz, 2H).", "Step 4: Using the procedure used for Example Compound 3 step 3 starting with compound 25 (317 mg, 1.00 mmol) afforded Example Compound 12 (199 mg, 60%) as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.34-7.21 (m, 7H), 6.90 (dd, J=7.5, 1.0 Hz, 1H), 6.58 (d, J=1.0 Hz, 1H), 5.09 (s, 2H), 4.43 (s, 2H), 2.06 (s, 3H), 1.89 (s, 3H); MM m/z 334 [M+H]+.", "General Procedure D Preparation of 4-(1-benzyl-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazol (Example Compound 13) Step 1: To a mixture of 26 (1.00 g, 5.32 mmol) and 3 (1.78 g, 7.98 mmol) in 1,4-dioxane (35 mL) and water (7.5 mL) was added potassium carbonate (1.47 g, 10.6 mmol) and tetrakis(triphenylphosphine)palladium(0) (307 mg, 0.27 mmol).", "The reaction was stirred and heated at 90° C. for 16 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (15 g) was added.", "The slurry was concentrated to dryness and the resulting powder was loaded onto silica gel and eluted with 0-90% ethyl acetate in hexanes.", "The clean product was concentrated to give 27 (939 mg, 70%) as a yellow-green solid: 1H NMR (500 MHz, CDCl3) δ 7.45 (t, J=2.0 Hz, 1H), 6.78 (t, J=2.0 Hz, 1H), 2.37 (s, 3H), 2.22 (s, 3H).", "Step 2: To a solution of 27 (300 mg, 1.47 mmol) in 1,2-dichloroethane (15 mL) was added benzaldehyde (156 mg, 1.47 mmol) and glacial acetic acid (200 4) at room temperature.", "After stirring for 17 h, CH2Cl2 (20 mL) then saturated aq.", "NaHCO3 (20 mL, slowly) was added.", "The organic layer was separated and dried over Na2SO4.The suspension was filtered and concentrated.", "The material was purified by chromatography (silica gel, 0-60% ethyl acetate in hexanes) to afford a yellow solid which was dissolved in methanol (10 mL), sodium borohydride (52 mg, 1.35 mmol) was added at room temperature.", "After stirring for 1 h, additional sodium borohydride (156 mg, 3.40 mmol) was added and the reaction stirred 1 h. A 2N aq.", "HCl solution was added to the mixture until pH 4 (2 mL) then a saturated NaHCO3 solution was added to basify to pH 8 (2 mL).", "Water was added (10 mL) and the solution was extracted with ethyl acetate (3×100 mL).", "The ethyl acetate extracts were combined, dried over Na2SO4, filtered and concentrated to afford 28 (401 mg, 93%) as a white solid: 1H NMR (500 MHz, CDCl3) δ 7.48 (s, 1H), 7.37-7.26 (m, 5H), 6.58 (s, 1H), 4.38 (s, 2H), 4.33 (br s, 2H), 3.77 (br s, 1H), 2.24 (s, 3H), 2.08 (s, 3H).", "Step 3: To 28 (350 mg, 1.19 mmol) was added triethylorthoacetate (3.0 mL, 16.4 mmol) and sulfamic acid (1 mg).", "The mixture was heated to 100° C. for 1 h. The mixture was diluted with methanol (20 mL) and adsorbed onto silica gel (10 g).", "The material was purified by chromatography (silica gel, 0-60% ethyl acetate in hexanes then 0-5% methanol in CH2Cl2) to afford 4-(1-benzyl-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 13, 169 mg, 45%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.32 (d, J=1.0 Hz, 1H), 7.78 (d, J=1.0 Hz, 1H), 7.36-7.29 (m, 3H), 7.20-7.17 (m, 2H), 5.56 (s, 2H), 2.69 (s, 3H), 2.36 (s, 3H), 2.18 (s, 3H); ESI m/z 319 [M+H]+.", "General Procedure E Preparation of 1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one (Example Compound 91) and 4-Amino-1-(4-chlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 90) Step 1: To a solution of 29 (1.00 g, 4.61 mmol) in 1,4-dioxane (40 mL) and water (4 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (1.23 g, 5.53 mmol), potassium carbonate (1.27 g, 9.22 mmol), and tetrakis(triphenylphosphine)palladium(0) (266 mg, 0.231 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-30% ethyl acetate/hexanes) to give a yellow solid which was dissolved in acetic acid (15 mL), N-bromosuccinimide (753 mg, 4.23 mmol) was added at 0° C. The reaction was warmed to room temperature and stirred overnight.", "The mixture was concentrated in vacuo.", "The residue was suspended in hot MeOH, cooled to room temperature and basified with 10% aq.", "NaHCO3.The mixture was diluted with water and filtered.", "The filter cake was washed with water and dried in vacuo to afford 30 (1.10 g, 87%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 8.04 (d, J=2.1 Hz, 1H), 7.61 (d, J=2.1 Hz, 1H), 6.69 (bs, 2H), 2.40 (s, 3H), 2.26 (s, 3H); ESI m/z 312 [M+H]+.", "Step 2: To a solution of 30 (500 mg, 1.60 mmol) in toluene (50 mL) under nitrogen atmosphere was added 4-chlorobenzylamine (1.36 g, 9.62 mmol), cesium carbonate (1.04 g, 3.02 mmol), 2-dicyclohexylphosphino-2′,4′,6′-tri-i-propyl-1,1′-biphenyl (114 mg, 0.240 mmol), and tris(dibenzylideneacetone)dipalladium(0) (146 mg, 0.160 mmol).", "The reaction mixture was heated at 90° C. overnight, cooled to room temperature, and purified by chromatography (silica gel, 0-50% ethyl acetate in hexanes) to afford 31 (290 mg, 49%) as a red solid: ESI m/z 373 [M+H]+.", "Step 3: To a mixture of 31 (290 mg, 0.779 mmol) in 1,4-dioxane (10 mL) was added 1,1′-carbonyldiimidazole (630 mg, 3.89 mmol) and DMAP (a crystal).", "The reaction was heated in a sealed tube at 130° C. for 4 days.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 91 (144 mg, 46%) as an orange solid: 1H NMR (500 MHz, CD3OD) δ 7.80 (d, J=1.4 Hz, 1H), 7.40-7.35 (m, 4H), 7.24 (d, J=1.4 Hz, 1H), 5.15 (s, 2H), 2.32 (s, 3H), 2.15 (s, 3H); ESI m/z 399 [M+H]+.", "Step 4: To a solution of Example Compound 91 (70 mg, 0.18 mmol) in tetrahydrofuran (10 mL) was added sodium dithionite (183 mg, 1.05 mmol) in water (10 mL).", "The reaction mixture was stirred at room temperature overnight and concentrated under vacuum.", "To the residue was added 2N HCl and heated to reflux, cooled to room temperature, and concentrated in vacuum.", "The residue was dissolved in MeOH and basified by conc.", "NH4OH, concentrated, and purified by chromatography (silica gel, 0-100% hexanes/ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to give Example Compound 90 (34 mg, 51%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.36-7.28 (m, 4H), 6.40 (d, J=1.4 Hz, 1H), 6.25 (d, J=1.4 Hz, 1H), 5.03 (s, 2H), 2.28 (s, 3H), 2.12 (s, 3H); ESI m/z 369 [M+H]+.", "General Procedure F Preparation of 4-(1-(cyclopropylmethyl)-2-methyl-4-nitro-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole (Example Compound 14) and 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine (Example Compound 75) Step 1: A solution of 32 (488 mg, 2.10 mmol) and 2,4-pentanedione (421 mg, 4.21 mmol) in absolute ethanol (28 mL) and 5 N aq.", "HCl (7.8 mL) was heated to reflux for 3 h. The mixture was concentrated to dryness and ethyl acetate was added (200 mL).", "The solution was washed with saturated aq.", "NaHCO3 (250 mL) and saturated aq.", "NaCl solution (250 mL), dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-40% hexanes/ethyl acetate) to afford 33 (495 mg, 92%) as a orange solid: 1H NMR (500 MHz, CDCl3) δ 10.38 (br s, 1H), 8.24 (d, J=2.0 Hz, 1H), 8.12 (d, J=1.0 Hz, 1H), 2.73 (s, 3H).", "Step 2: To a mixture of 33 (200 mg, 0.78 mmol) and 3 (262 mg, 1.17 mmol) in 1,4-dioxane (6 mL) and water (1.5 mL) was added potassium carbonate (216 mg, 1.56 mmol) and tetrakis(triphenylphosphine)palladium(0) (45 mg, 0.04 mmol).", "The reaction was stirred and heated at 90° C. for 17 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (15 g) was added.", "The suspension was concentrated to dryness and the resulting powder was purified by chromatography (silica gel, 0-90% hexanes/ethyl acetate) to give 34 (187 mg, 88%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 8.00 (d, J=1.5 Hz, 1H), 7.89 (s, 1H), 2.76 (s, 3H), 2.45 (s, 3H), 2.30 (s, 3H).", "Step 3: To a solution of 34 (217 mg, 0.797 mmol), potassium carbonate (220 mg, 1.59 mmol), acetonitrile (5 mL) and DMF (1 mL) was added bromomethylcyclopropane (129 mg, 0.956 mmol) and the reaction was heated at 60° C. for 17 h. The material was cooled to room temperature and poured into a saturated aq.", "NaCl solution (30 mL).", "Ethyl acetate (100 mL) was added and the layers were separated.", "The ethyl acetate layer was washed with saturated aq.", "NaCl solution (2×20 mL), dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-90% hexanes/ethyl acetate) to give Example 14 (178 mg, 68%) as an yellow solid: 1H NMR (500 MHz, CD3OD) δ 8.03 (d, J=1.5 Hz, 1H), 7.93 (d, J=1.5 Hz, 1H), 4.27 (d, J=7.0 Hz, 2H), 2.75 (s, 3H), 2.46 (s, 3H), 2.30 (s, 3H), 1.38-1.28 (m, 1H), 0.65-0.60 (m, 2H), 0.51-0.46 (m, 2H).", "ESI m/z 327 [M+H]+ Step 4: To a solution of Example Compound 14 (160 mg, 0.51 mmol) in THF (10 mL) was added a solution of sodium dithionite (446 mg, 2.56 mmol) in water (10 mL) dropwise over 5 min.", "The solution was stirred at room temperature for 16 h and the solvents were removed in vacuo.", "Methanol (20 mL) was added and the suspension stirred at room temperature for 3 h. The mixture was filtered and the filtrate was concentrated to dryness.", "A solution of 2N aq.", "HCl (10 mL) was added to the residue and was heated to reflux for 5 min.", "After concentration to dryness, methanol (20 mL) was added and the solution was adjusted to pH 8 using saturated aq.", "NaHCO3 solution (10 mL).", "Silica gel was added (10 g) and the suspension was concentrated to dryness.", "The resulting powder was purified by chromatography (silica gel, 0-5% methanol/methylene chloride), the product was then purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to give Example Compound 75 (131 mg, 99%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 6.70 (s, 1H), 6.44 (d, J=1.0 Hz, 1H), 4.08 (d, J=6.5 Hz, 2H), 2.61 (s, 3H), 2.40 (s, 3H), 2.25 (s, 3H), 1.30-1.19 (m, 1H), 0.62-0.53 (m, 2H), 0.45-0.40 (m, 2H).", "ESI m/z 297 [M+H]+.", "General Procedure G Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one (Example Compound 15) and 4-amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 16) Step 1: To a solution of 32 (232 mg, 1.0 mmol) in 1,4-dioxane (5 mL) was added CDI (194 mg, 1.2 mmol).", "The reaction was heated at 60° C. for 16 h. The solid was collected and dried to give 35 (202 mg, 78%) as a brown yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 11.83 (br s, 1H), 11.53 (br s, 1H), 7.86 (d, J=1.8 Hz, 1H), 7.43 (d, J=1.8 Hz, 1H).", "Step 2: To a solution of 35 (200 mg, 0.78 mmol) in DMF (7 mL) was added potassium carbonate (118 mg, 0.85 mmol) and benzyl chloride (98 mg, 0.78 mmol).", "The reaction was stirred at rt for 16 h. The mixture was diluted with EtOAc (100 mL) and washed with brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-100% ethyl acetate/hexanes) afforded 36 (101 mg, 37%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 12.15 (s, 1H), 7.90 (d, J=0.9 Hz, 1H), 7.75 (d, J=1.2 Hz, 1H), 7.36-7.28 (m, 5H), 5.10 (s, 2H).", "Step 3: To a solution of 36 (100 mg, 0.29 mmol) in 1,4-dioxane (7 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (128 mg, 0.57 mmol), sodium carbonate (2.0 M in H2O, 0.43 mL, 0.86 mmol) and tetrakis(triphenylphosphine)palladium(0) (34 mg, 0.03 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was diluted with methylene chloride (20 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 10-50% ethyl acetate/hexanes) followed by trituration with ethyl acetate to afford Example Compound 15 (70 mg, 66%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 12.11 (s, 1H), 7.72 (d, J=1.5 Hz, 1H), 7.50 (d, J=1.5 Hz, 1H), 7.42-7.28 (m, 5H), 5.13 (s, 2H), 2.35 (s, 3H), 2.15 (s, 3H); ESI m/z 365 [M+H]+.", "Step 4: To a solution of Example Compound 15 (52 mg, 0.14 mmol) in THF (5 mL) and water (4 mL) was added Na2S2O4 (149 mg, 0.86 mmol).", "The mixture was stirred at rt for 4 h, 2N HCl (1 mL) was added, the mixture was heated to reflux for 15 minutes then cooled to rt.", "Na2CO3 was added slowly to adjust to pH 9.The mixture was extracted with CH2Cl2 (100 mL), the organic layer was washed with brine (50 mL), filtered, concentrated and purified by chromatography (silica gel, 70-100% ethyl acetate/hexanes) to afford Example Compound 16 (30 mg, 63%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 10.44 (s, 1H), 7.36-7.25 (m, 5H), 6.28 (s, 2H), 5.04 (s, 2H), 4.95 (s, 2H), 2.28 (s, 3H), 2.10 (s, 3H); ESI m/z 335 [M+H]+.", "General Procedure H Preparation of 4-(1-benzyl-4-bromo-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole (Example Compound 121) Step 1: To a solution of 30 (1.09 g, 3.49 mmol) in tetrahydrofuran (30 mL) was added sodium dithionite (4.86 g, 28.0 mmol) in water (15 mL).", "The reaction mixture was stirred at room temperature overnight and concentrated under vacuum.", "The residue was dissolved in MeOH/water (1:1, 150 mL) and the solid was precipitated by removing some MeOH under vacuum.", "The solid was filtered, washed with water and dried under vacuum to afford 37 (440 mg, 34%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 6.85 (d, J=1.8 Hz, 1H), 6.51 (d, J=1.8 Hz, 1H), 4.00-3.60 (bs, 2H), 3.60-3.30 (bs, 2H), 2.36 (s, 3H), 2.23 (s, 3H); ESI m/z 282 [M+H]+.", "Step 2: To a solution of 37 (4.01 g, 14.2 mmol) in methanol (87 mL) was added triethyl orthoacetate (3.45 g, 21.3 mmol) and sulfamic acid (69 mg, 0.71 mmol).", "The reaction was stirred at room temperature for 5 h. The reaction mixture was diluted with water (50 mL), basified with NaHCO3 and filtered.", "The solid was dried to afford 38 (4.2 g, 96%) as a brown solid: 1H NMR (300 MHz, DMSO-d6) δ 12.82 (br.s, 1H), 7.42 (d, J=1.5 Hz, 1H), 7.31 (d, J=1.5 Hz, 1H), 2.52 (s, 3H), 2.40 (s, 3H), 2.24 (s, 3H).", "Step 3: The mixture of 38 (300 mg, 0.980 mmol), benzyl bromide (503 mg, 2.94 mmol), and potassium carbonate (676 mg, 4.90 mmol) in acetonitrile (50 mL) was heated in sealed tube at 75° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 121 (276 mg, 71%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.40-7.25 (m, 5H), 7.15 (d, J=7.7 Hz, 2H), 5.51 (s, 2H), 2.64 (s, 3H), 2.32 (s, 3H), 2.15 (s, 3H); ESI m/z 396 [M+H]+.", "Preparation of 4-(1-benzyl-4-methoxy-2-methyl-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole (Example Compound 66) A mixture of Example 121 (80 mg, 0.20 mmol), NaOCH3 (108 mg, 2.0 mmol) and CuI (57 mg, 0.30 mmol) in MeOH (1 mL) and DMF (3 mL) was purged with nitrogen and heated at 100° C. for 6 h. The mixture was diluted with ethyl acetate (100 mL) and washed with brine (50 mL).", "The organic layer was dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 40-100% EtOAc/hexanes) to afford Example Compound 66 (386 mg, 55%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.35-7.30 (m, 3H), 7.09-7.06 (m, 2H), 6.64 (d, J=1.2 Hz, 1H), 6.53 (s, 1H), 5.32 (s, 2H), 4.03 (s, 3H), 2.66 (s, 3H), 2.33 (s, 3H), 2.19 (s, 3H); ESI m/z 348 [M+H]+.", "General procedure I Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1H-benzo[d]imidazol-2-amine (Example Compound 18) and 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1H-benzo[d]imidazole-2,4-diamine (Example Compound 19) Step 1: A mixture of Example Compound 15 (73 mg, 0.668 mmol) in POCl3 (3 mL) was heated at 110° C. for 16 h. The reaction mixture was concentrated, the residue was dissolved in CH2Cl2 (100 mL), washed with saturated NaHCO3 (2×50 mL) and brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was dissolved in a solution of ethylamine in THF (2.0 M, 10 mL), and the mixture was heated at 70° C. for 3 h. The reaction mixture was concentrated, the residue was purified by chromatography (silica gel, 20-60% EtOAc/hexanes) to afford Example Compound 18 (113 mg, 43%) as an orange solid: 1H NMR (300 MHz, CDCl3) δ 7.84 (d, J=1.5 Hz, 1H), 7.42-7.35 (m, 3H), 7.16-7.13 (m, 2H), 7.03 (d, J=1.5 Hz, 1H), 5.15 (s, 2H), 4.29 (t, J=5.4 Hz, 1H), 3.78-3.69 (m, 2H), 2.36 (s, 3H), 2.21 (s, 3H), 1.27 (t, J=7.5 Hz, 3H); ESI m/z 392 [M+H]+.", "Step 2: To a solution of Example Compound 18 (90 mg, 0.23 mmol) in THF (5 mL) and water (4 mL) was added Na2S2O4 (240 mg, 1.38 mmol).", "The mixture was stirred at rt for 4 h, 2N HCl (1 mL) was added, the mixture was heated to reflux for 15 minutes then cooled to rt.", "Na2CO3 was added slowly to adjust to pH 9.The mixture was extracted with CH2Cl2 (100 mL), the organic layer was washed with brine (50 mL), dried over Na2SO4, filtered, concentrated and purified by chromatography (silica gel, 0-10% methanol/ethyl acetate) to afford Example Compound 19 (60 mg, 72%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.34-7.20 (m, 5H), 6.62 (t, J=5.4 Hz, 1H), 6.30 (d, J=1.5 Hz, 1H), 6.21 (d, J=1.5 Hz, 1H), 5.19 (s, 2H), 4.83 (s, 2H), 3.47-3.38 (m, 2H), 2.28 (s, 3H), 2.11 (s, 3H), 1.22 (t, J=7.2 Hz, 3H); ESI m/z 362 [M+H]+.", "General Procedure J Preparation of methyl 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazole-4-carboxylate (Example Compound 20), 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazole-4-carboxamide (Example Compound 21) and 4-(aminomethyl)-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 22) Step 1: To a solution of 39 (2.00 g, 8.70 mmol) in 1,4-dioxane (80 mL) and water (8 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (2.13 g, 9.57 mmol), potassium carbonate (2.40 g, 17.4 mmol) and tetrakis(triphenylphosphine)palladium(0) (502 mg, 0.435 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-50% ethyl acetate in hexanes) to afford 40 (1.43 g, 63%) as an off-white solid: 1H NMR (500 MHz, CDCl3) δ 7.74 (d, J=2.1 Hz, 1H), 7.15 (dd, J=2.1, 8.4 Hz, 1H), 6.73 (d, J=8.4 Hz, 1H), 5.81 (s, 2H), 3.88 (s, 3H), 2.37 (s, 3H), 2.23 (s, 3H); ESI m/z 247 [M+H]+.", "Step 2: To a mixture of 40 (1.34 g, 5.45 mmol) in acetic acid (40 mL) was added N-bromosuccinimide (1.07 g, 5.99 mmol).", "The mixture was stirred at room temperature for 30 min and concentrated.", "The residue was dissolved in MeOH and neutralized to pH 7 with 10% sodium bicarbonate.", "The mixture was diluted with water, filtered.", "The filter cake was washed with water, and dried under vacuum to afford 41 (1.65 g, 93%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 7.74 (d, J=2.1 Hz, 1H), 7.47 (d, J=2.1 Hz, 1H), 6.43 (bs, 2H), 3.90 (s, 3H), 2.37 (s, 3H), 2.23 (s, 3H).", "Step 3: To a solution of 41 (500 mg, 1.54 mmol) in toluene (40 mL) under nitrogen atmosphere was added benzylamine (823 mg, 7.69 mmol), cesium carbonate (1.00 g, 2.08 mmol), 2-dicyclohexylphosphino-2′,4′,6′-triisopropyl-1,1′-biphenyl (110 mg, 0.231 mmol), and tris(dibenzylideneacetone) dipalladium(0) (141 mg, 0.154 mmol).", "The reaction mixture was heated at 90° C. overnight, cooled to room temperature and purified by chromatography (silica gel, 0-20% ethyl acetate in hexanes) to afford 42 (310 mg, 57%) as a light brown solid: 1H NMR (500 MHz, CDCl3) δ 7.40-7.25 (m, 6H), 6.56 (d, J=1.8 Hz, 1H), 5.68 (s, 2H), 4.36 (d, J=4.4 Hz, 2H), 3.88 (s, 3H), 3.68 (s, 1H), 2.22 (s, 3H), 2.09 (s, 3H); ESI m/z 352 [M+H]+.", "Step 4: To a mixture of 42 (310 mg, 0.883 mmol) in 1,4-dioxane (10 mL) was added 1,1′-carbonyldiimidazole (244 mg, 2.12 mmol) and DMAP (one crystal).", "The reaction was heated in a sealed tube at 80° C. for 5 days.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 20 (160 mg, 48%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.54 (d, J=1.5 Hz, 1H), 7.37-7.24 (m, 5H), 7.07 (d, J=1.5 Hz, 1H), 5.14 (s, 2H), 3.97 (s, 3H), 2.27 (s, 3H), 2.09 (s, 3H); HPLC >99%, tR=15.0 min; ESI m/z 378 [M+H]+.", "Step 5: To a mixture of Example Compound 20 (50 mg, 0.13 mmol) in formamide (4 mL) was added potassium tert-butoxide (30 mg, 0.26 mmol).", "The mixture was heated in the microwave at 100° C. for 3 h, concentrated, and purified by chromatography (silica gel, 0-20% methanol in ethyl acetate) to afford Example Compound 21 (13 mg, 26%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.41 (d, J=1.3 Hz, 1H), 7.37-7.24 (m, 5H), 7.00 (d, J=1.4 Hz, 1H), 5.13 (s, 2H), 2.28 (s, 3H), 2.11 (s, 3H); HPLC 98.3%, tR=12.3 min; ESI m/z 363 [M+H]+.", "Step 6: To a solution of Example Compound 21 (40 mg, 0.11 mmol) in THF (10 mL) under nitrogen atmosphere was added sodium borohydride (38 mg, 0.99 mmol).", "The mixture was heated to 65° C. and boron trifluoride diethyl etherate (0.2 mL) was added.", "The mixture was heated at 65° C. for 2 h. After cooling to room temperature, hydrochloride acid (2N, 5 mL) was added and the mixture stirred for 2 h. The mixture was basified with NaOH (2N, 5 mL), concentrated, and purified by chromatography (silica gel, 0-100% CMA in methylene chloride) (CMA=chloroform:methanol:concentrated ammonium hydroxide=80:18:2).", "It was further purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O to give Example Compound 22 (16 mg, 42%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.37-7.23 (m, 5H), 6.99 (d, J=1.4 Hz, 1H), 6.77 (d, J=1.4 Hz, 1H), 5.10 (s, 2H), 3.93 (s, 2H), 2.27 (s, 3H), 2.10 (s, 3H); ESI m/z 340 [M+H]+.", "General Procedure K 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-amine (Example Compound 55) A mixture of Example 121 (250 mg, 0.63 mmol), BocNH2 (221 mg, 1.89 mmol), Xantphos (73 mg, 0.126 mmol), Pd2(dba)3 (58 mg, 0.063 mmol) and Cs2CO3 (720 mg, 2.21 mmol) in 1,4-dioxane (13 mL) was purged with nitrogen and heated at 100° C. for 18 h. The mixture was diluted with methylene chloride (200 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-50% EtOAc/hexanes) to afford a light brown foam which was dissolved in CH2Cl2 (4 mL), TFA (2 mL) was added.", "The mixture was stirred at rt for 2 h, concentrated, the residue was dissolved in ethyl acetate (100 mL) and washed with saturated NaHCO3 (50 mL×2).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-10% MeOH/EtOAc) afforded Example Compound 55 (146 mg, 88%) as an off-white solid: 1H NMR (500 MHz, CDCl3) δ 7.34-7.28 (m, 3H), 7.09-7.08 (m, 2H), 6.42 (d, J=1.5 Hz, 1H), 6.36 (d, J=1.5 Hz, 1H), 5.28 (s, 2H), 4.42 (br.s, 2H), 2.60 (s, 3H), 2.31 (s, 3H), 2.17 (s, 3H); ESI m/z 333 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridine-3-carbonitrile (Example Compound 88) and 4-(1-benzyl-3-chloro-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 89) Step 1: To a suspension of 43 (200 mg, 1.0 mmol) in CH3CN (6 mL) was added CISO2NCO (360 mg, 2.5 mmol).", "The reaction mixture was stirred at 60° C. for 4 h. After the mixture was cooled to rt, DMF (1 mL) was added.", "The mixture was stirred at rt for 1 h. The mixture was diluted with 30% i-PrOH in CHCl3 (50 mL) and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The crude was dissolved in CH3CN (4 mL), potassium carbonate (280 mg, 2.0 mmol) and benzyl chloride (128 mg, 1.0 mmol) were added.", "The reaction was stirred at 70° C. for 16 h. The reaction mixture was filtered through a layer of celite, concentrated.", "The residue was purified by chromatography (silica gel, 0-50% ethyl acetate/hexanes) to afford 44 (16 mg, 5%) as a yellow oil and 45 (12 mg, 4%) as an off-white solid; 44: ESI MS m/z 312 [M+H]+; 45: ESI MS m/z 321 [M+H]+.", "Step 2: Using the similar procedure used for General Procedure C step 1 on compound 44 (16 mg, 0.051 mmol) afforded Example Compound 88 (6 mg, 36%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.55 (s, 1H), 7.98 (s, 1H), 7.50 (s, 1H), 7.41-7.40 (m, 3H), 7.20-7.15 (m, 2H), 5.42 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI MS m/z 329 [M+H]+.", "Using the similar procedure used for General Procedure C step 1 on compound 45 (12 mg, 0.037 mmol) afforded Example Compound 89 (8 mg, 64%) as a yellow solid: 1H NMR (300 MHz, CDCl3) δ 8.49 (s, 1H), 7.55 (s, 1H), 7.50 (s, 1H), 7.38-7.36 (m, 3H), 7.18-7.16 (m, 2H), 5.36 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI MS m/z 338 [M+H]+.", "General Procedure M Preparation of 5-(3,5-dimethylisoxazol-4-yl)-N-phenyl-1H-pyrrolo[3,2-b]pyridin-3-amine (Example Compound 23) Step 1: To a solution of 46 (500 mg, 2.54 mmol) in 1,4-dioxane (10 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (792 mg, 3.56 mmol), sodium carbonate (538 mg in 2 mL H2O, 5.08 mmol) and tetrakis(triphenylphosphine)palladium(0) (294 mg, 0.25 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 16 h. The mixture was filtered through a layer of Celite.", "The filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded 47 (700 mg, >100%) as a yellow oil: 1H NMR (300 MHz, DMSO-d6) δ 11.4 (s, 1H), 7.85 (dd, J=8.1, 0.9 Hz, 1H), 7.68 (t, J=3.0 Hz, 1H), 7.23 (d, J=8.1 Hz, 1H), 6.58 (d, J=2.1 Hz, 1H), 2.49 (s, 3H), 2.37 (s, 3H).", "Step 2: To a solution of 47 (700 mg, 2.54 mmol) in DMF (8 mL) at 0° C. was added NBS (497 mg, 2.79 mmol).", "The reaction mixture was stirred at 0° C. for 2 h. The mixture was diluted with methylene chloride (50 mL) and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded 48 (660 mg, 89%) as a brown solid: 1H NMR (300 MHz, DMSO-d6) δ 11.8 (s, 1H), 7.92 (d, J=6.0 Hz, 1H), 7.90 (s, 1H), 7.36 (d, J=8.4 Hz, 1H), 2.49 (s, 3H), 2.37 (s, 3H); ESI m/z 292 [M+H]+.", "Step 3: To a solution of 48 (250 mg, 0.86 mmol) in CH2Cl2 (5 mL) was added NEt3 (130 mg, 1.28 mmol), DMAP (12 mg, 0.1 mmol) and di-tert-butyl dicarbonate (224 mg, 1.03 mmol).", "The reaction was stirred at rt for 16 h. The reaction mixture was concentrated.", "Purification by chromatography (silica gel, 0-30% ethyl acetate/hexanes) afforded 49 (210 mg, 70%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.43 (d, J=5.4 Hz, 1H), 7.93 (s, 1H), 7.34 (d, J=5.1 Hz, 1H), 2.64 (s, 3H), 2.50 (s, 3H), 1.69 (s, 9H).", "Step 4: To a solution of 49 (100 mg, 0.26 mmol) in 1,4-dioxane (5 mL) under nitrogen atmosphere was added aniline (71 mg, 0.76 mmol), cesium carbonate (250 mg, 0.76 mmol), X-phos (24 mg, 0.05 mmol), and tris(dibenzylideneacetone)dipalladium(0) (23 mg, 0.03 mmol).", "The reaction mixture was heated at 90° C. for 16 h. The mixture was diluted with methylene chloride (10 mL) and filtered through a layer of Celite.", "The filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/hexanes) gave a red oil which was dissolved in methylene chloride (5 mL), TFA (2 mL) was added, the mixture was stirred at rt for 2 h. The mixture was concentrated, the residue was dissolved in methylene chloride (100 mL), washed with saturated NaHCO3 (50 mL×2) and brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 23 (47 mg, 64%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 11.1 (d, J=1.8 Hz, 1H), 7.82 (d, J=8.4 Hz, 1H), 7.61 (d, J=2.7 Hz, 1H), 7.43 (s, 1H), 7.25 (d, J=8.4 Hz, 1H), 7.09 (d, J=8.4 Hz, 1H), 7.07 (d, J=7.2 Hz, 1H), 6.85 (d, J=7.5 Hz, 2H), 6.60 (t, J=7.2 Hz, 1H), 2.48 (s, 3H), 2.29 (s, 3H); ESI MS m/z 305 [M+H]+.", "General Procedure N Preparation of 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-3-methyl-1H-pyrazolo[4,3-b]pyridine-4-oxide (Example Compound 24) and 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-3-methyl-1H-pyrazolo[4,3-b]pyridin-5(4H)-one (Example Compound 25) Step 1: To a solution of Example Compound 53 (85 mg, 0.25 mmol) in CH2Cl2 (3 mL) was added m-CPBA (160 mg, 0.5 mmol).", "The reaction mixture was stirred at rt for 7 h. The mixture was diluted with methylene chloride (50 mL) and washed with 10% Na2S2O3 solution (10 mL), 2N NaOH solution (10 mL) and brine (10 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-70% ethyl acetate/dichloromethane) afforded Example Compound 24 (60 mg, 67%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.21 (d, J=0.9 Hz, 1H), 7.83 (d, J=0.9 Hz, 1H), 7.40-7.35 (m, 2H), 7.20-7.14 (m, 2H), 5.59 (s, 2H), 2.69 (s, 3H), 2.45 (s, 3H), 2.27 (s, 3H); ESI MS m/z 353 [M+H]+.", "Step 2: A solution of Example Compound 24 (32 mg, 0.091 mmol) in Ac2O (3 mL) was heated at 130° C. for 2 h. The mixture was concentrated.", "The residue was diluted with 1:1 CH3OH/H2O (10 mL) and stirred at 80° C. for 10 h. The reaction mixture was concentrated.", "Purification by chromatography (silica gel, 0-5% methanol/dichloromethane) afforded Example Compound 25 (20 mg, 63%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 12.0 (s, 1H), 8.07 (s, 1H), 7.36-7.31 (m, 2H), 7.19-7.13 (m, 2H), 5.45 (s, 2H), 2.30 (s, 6H), 2.14 (s, 3H); ESI MS m/z 353 [M+H]+.", "Preparation of 4-(3-benzyl-3H-imidazo[4,5-b]pyridin-5-yl)-3,5-dimethylisoxazole (Example Compound 26) Step 1: To a solution of 50 (560 mg, 2.57 mmol) in CH3CN (15 mL) was added K2CO3 (887 mg, 6.43 mmol) and benzyl chloride (484 mg, 2.83 mmol).", "The reaction was heated at 60° C. for 16 h. The mixture was diluted with ethyl acetate (100 mL), filtered and concentrated to give 51 (790 mg, 100%) as a yellow solid: 1H NMR (300 MHz, CDCl3) δ 8.58 (br s, 1H), 8.24 (d, J=8.4 Hz, 1H), 7.46-7.35 (m, 5H), 6.82 (d, J=8.7 Hz, 1H), 4.82 (d, J=5.7 Hz, 2H).", "Step 2: To a solution of 51 (790 mg, 2.56 mmol) in 1,4-dioxane (25 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (1.14 g, 5.12 mmol), sodium carbonate (2.0 M in H2O, 3.84 mL, 7.68 mmol) and tetrakis(triphenylphosphine)palladium(0) (300 mg, 0.26 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 8 h. The mixture was diluted with methylene chloride (200 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-20% EtOAc/hexanes) to afford 52 (500 mg, 60%) as a yellow oil: 1H NMR (300 MHz, DMSO-d6) δ 9.09 (t, J=6.0 Hz, 1H), 8.51 (d, J=8.4 Hz, 1H), 7.32-7.20 (m, 5H), 6.96 (d, J=8.7 Hz, 1H), 4.85 (d, J=6.3 Hz, 2H), 2.47 (s, 3H), 2.25 (s, 3H); ESI m/z 325 [M+H]+.", "Step 3: To a solution of 52 (500 mg, 1.54 mmol) in THF (15 mL) and water (12 mL) was added Na2S2O4 (1.61 g, 9.24 mmol).", "The mixture was stirred at rt for 5 h; 2 N HCl (10 mL) was added, and the mixture was heated to reflux for 15 minutes then cooled to rt.", "Na2CO3 was added slowly to adjust to pH 9.The mixture was extracted with ethyl acetate (100 mL), the organic layer was washed with brine (50 mL), filtered and concentrated to give 53 (460 mg, 100%) as a brown oil: 1H NMR (300 MHz, DMSO-d6) δ 7.33-7.18 (m, 5H), 6.78 (d, J=7.5 Hz, 1H), 6.52 (d, J=7.5 Hz, 1H), 6.29 (t, J=5.7 Hz, 1H), 4.94 (s, 2H), 4.60 (d, J=5.7 Hz, 2H), 2.36 (s, 3H), 2.17 (s, 3H); ESI m/z 295 [M+H]+.", "Step 4: A solution of 53 (150 mg, 0.51 mmol), trimethylorthoformate (81 mg, 0.765 mmol) and sulfamic acid (3 mg) in MeOH (5 mL) was heated to reflux for 4 h. The mixture was concentrated, the residue was purified by chromatography (silica gel, 30-100% ethyl acetate/hexanes) to afford Example Compound 26 (100 mg, 65%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.67 (s, 1H), 8.17 (d, J=8.1 Hz, 1H), 7.44 (d, J=8.1 Hz, 1H), 7.36-7.27 (m, 5H), 5.52 (s, 2H), 2.54 (s, 3H), 2.34 (s, 3H); ESI m/z 305 [M+H]+.", "Preparation of 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-1H-benzo[d]imidazol-4-amine (Example Compound 27), 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-N-methyl-1H-benzo[d]imidazol-4-amine (Example Compound 28) and 6-(3,5-dimethylisoxazol-4-yl)-1-(4-fluorobenzyl)-N,N-dimethyl-1H-benzo[d]imidazol-4-amine (Example Compound 29) Example Compound 27 was made followed by the similar procedure described for Example 7: 1H NMR (300 MHz, DMSO-d6) δ 8.23 (s, 1H), 7.42 (dd, J=8.0, 6.0 Hz, 2H), 7.17 (dd, J=9.0, 9.0 Hz, 2H), 6.62 (s, 1H), 6.32 (s, 1H), 5.40 (s, 4H), 2.33 (s, 3H), 2.16 (s, 3H); ESI m/z 337 [M+H]+.", "To a solution of Example Compound 27 (35 mg, 0.10 mmol) in methylene chloride (5 mL), was added a 37% solution of formaldehyde in water (8.5 μL) and acetic acid (1 drop).", "The solution was stirred for 45 min, sodium triacetoxyborohydride (66 mg, 0.31 mmol) was added and the mixture stirred for 16 h. The mixture was diluted with methylene chloride (20 mL) and neutralized with saturated sodium bicarbonate (5 mL).", "The organic layer was dried over anhydrous sodium sulfate and concentrated in vacuo.", "The residue was purified by chromatography (silica gel, 0-75% ethyl acetate/methylene chloride) to afford Example Compound 28 as a white solid (8 mg, 22%) and Example Compound 29 as a clear solid (7 mg, 18%).", "Example Compound 28: 1H NMR (500 MHz, DMSO-d6) δ 8.22 (s, 1H), 7.43 (dd, J=8.8, 5.5 Hz, 2H), 7.16 (dd, J=8.8, 5.5 Hz, 2H), 6.65 (d, J=1.0 Hz, 1H), 6.09 (d, J=1.0 Hz, 1H), 5.85 (q, J=5.0 Hz, 1H), 5.41 (s, 2H), 2.83 (d, J=5.5 Hz, 3H), 2.35 (s, 3H), 2.17 (s, 3H); ESI m/z 351 [M+H]+; Example 29: 1H NMR (500 MHz, DMSO-d6) δ 8.28 (s, 1H), 7.41 (dd, J=8.5, 5.5 Hz, 2H), 7.17 (dd, J=9.0, 9.0 Hz, 2H), 6.85 (d, J=1.0 Hz, 1H), 6.25 (d, J=1.0 Hz, 1H), 5.43 (s, 2H), 3.18 (s, 6H), 2.35 (s, 3H), 2.18 (s, 3H); ESI m/z 365 [M+H]+.", "Preparation of 4-(1-benzyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 30) Step 1: To a suspension of 3-amino-5-bromo-2-nitropyridine (54, 780 mg, 3.58 mmol) and potassium carbonate (2.28 g, 16.5 mmol) in dry acetonitrile (50 mL) was added 1-(bromoethyl)benzene (1.22 g, 6.60 mmol).", "The mixture was heated to 80° C. for 48 h then water (20 mL) and ethyl acetate (20 mL) were added.", "The layers were separated and the aqueous layer was extracted with ethyl acetate (2×20 mL).", "The combined ethyl acetate fractions were dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-40% ethyl acetate in hexanes) to afford 55 (219 mg, 19%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 8.14 (d, J=5.0 Hz, 1H), 7.84 (d, J=2.0 Hz, 1H), 7.40-7.29 (m, 6H), 4.64 (quint, J=6.5 Hz, 1H), 1.67 (d, J=7.0 Hz, 3H).", "Step 2: To a mixture of 55 (261 mg, 0.81 mmol) and 3 (217 mg, 0.97 mmol) in 1,4-dioxane (7 mL) and water (1.5 mL) was added potassium carbonate (224 mg, 1.62 mmol) and tetrakis(triphenylphosphine)palladium(0) (47 mg, 0.04 mmol).", "The reaction was stirred and heated at 90° C. for 17 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (15 g) was added.", "The suspension was concentrated to dryness and the resulting powder was loaded onto silica gel and eluted with 0-50% ethyl acetate in hexanes.", "The clean product was concentrated to give 56 (226 mg, 82%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 8.19 (d, J=4.5 Hz, 1H), 7.77 (d, J=2.0 Hz, 1H), 7.40-7.28 (m, 5H), 6.89 (d, J=2.0 Hz, 1H), 4.66 (quint, J=5.0 Hz, 1H), 2.10 (s, 3H), 1.94 (s, 3H), 1.71 (d, J=7.0 Hz, 3H).", "Step 3: To a solution of 56 (226 mg, 0.67 mmol) in THF (20 ml) was added a solution of sodium dithionite (698 mg, 4.01 mmol) in water (20 mL) dropwise over 5 min.", "The solution was stirred at room temperature for 16 h and the solvents were removed in vacuo.", "Methanol (20 mL) was added and the suspension stirred at room temperature for 3 h. The mixture was filtered and the filtrate was concentrated to dryness.", "A solution of 2N aq.", "HCl was added to the residue and was heated to reflux for 5 min.", "After concentration to dryness, methanol was added (10 mL) and the solution was adjusted to pH 8 using saturated aq.", "NaHCO3 solution (20 mL).", "Silica gel was added (10 g) and the suspension was concentrated to dryness.", "The resulting powder was loaded onto silica gel and eluted with 0-70% ethyl acetate in hexanes.", "The clean product was concentrated to give 57 (96 mg, 47%) as a beige solid: 1H NMR (500 MHz, CDCl3) δ 7.42 (d, J=2.0 Hz, 1H), 7.33-7.30 (m, 4H), 7.25-7.22 (m, 1H), 6.34 (d, J=1.5 Hz, 1H), 4.44 (quint, J=5.0 Hz, 1H), 4.36 (br s, 2H), 3.70 (br s, 1H), 2.07 (s, 3H), 1.89 (s, 3H), 1.58 (d, J=6.5 Hz, 3H).", "Step 4: A mixture of 57 (47 mg, 0.15 mmol), trimethylorthoformate (2 mL, 18.3 mmol) and sulfamic acid (1 mg) were heated in a sealed tube at 100° C. for 30 min.", "The mixture was cooled, concentrated and loaded onto silica gel and eluted with 0-20% ethyl acetate in hexanes.", "The resulting material was purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O to afford (Example Compound 30) (19 mg, 39%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.76 (s, 1H), 8.36 (d, J=2.0 Hz, 1H), 7.65 (d, J=2.5 Hz, 1H), 7.40-7.30 (m, 5H), 4.44 (q, J=7.0 Hz, 1H), 2.29 (s, 3H), 2.10 (s, 3H), 2.06 (d, J=7.0 Hz, 3H).", "ESI m/z 319 [M+H]+.", "Preparation of 4-(1-benzyl-1H-imidazo[4,5-c]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 31), 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-c]pyridine 5-oxide (Example 32) and 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-c]pyridin-4-amine (Example Compound 33) Step 1: To a solution of 58 (1.00 g, 5.76 mmol) in 1,4-dioxane (40 mL) and water (4 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (1.93 g, 8.64 mmol), potassium carbonate (1.59 g, 11.5 mmol), and tetrakis(triphenylphosphine)palladium(0) (333 mg, 0.288 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to afford 59 (1.42 g, >99%) as a yellow solid: 1H NMR (300 MHz, CDCl3) δ 9.26 (s, 1H), 6.67 (s, 1H), 6.90-6.00 (bs, 2H), 2.61 (s, 3H), 2.44 (s, 3H); ESI m/z 235 [M+H]+.", "Step 2: A mixture of 59 (710 mg, 3.03 mmol), benzyl bromide (778 mg, 4.55 mmol), and potassium carbonate (836 mg, 6.06 mmol) in acetonitrile (30 mL) was heated in sealed tube at 90° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-30% ethyl acetate in hexanes) to afford 60 (303 mg, 30%) as a brown solid: 1H NMR (500 MHz, CDCl3) δ 9.26 (s, 1H), 8.68 (s, 1H), 7.50-7.10 (m, 5H), 6.50 (s, 1H), 4.65 (d, J=4.1 Hz, 2H), 2.39 (s, 3H), 2.19 (s, 3H); ESI m/z 325 [M+H]+.", "Step 3: To a solution of 60 (300 mg, 0.926 mmol) in tetrahydrofuran (10 mL) was added sodium dithionite (967 mg, 5.56 mmol) in water (10 mL).", "The reaction mixture was stirred at room temperature overnight and concentrated under vacuum.", "The residue was suspended in MeOH and the solid was filtered, washed with MeOH, and the filtrate concentrated under vacuum.", "To the residue was added 2N HCl and heated to just boiling, cooled to room temperature and concentrated under vacuum.", "The residue was dissolved in MeOH and basified with 10% NaHCO3, concentratedand purified by chromatography (silica gel, 0-20% methanol in ethyl acetate) to afford 61 (150 mg, 55%) as a gray solid: 1H NMR (500 MHz, CDCl3) δ 7.99 (s, 1H), 7.40-7.28 (m, 5H), 6.39 (s, 1H), 4.64 (s, 1H), 4.43 (d, J=5.4 Hz, 2H), 3.15 (s, 2H), 2.33 (s, 3H), 2.21 (s, 3H); ESI m/z 295 [M+H]+.", "Step 4: To a solution of 61 (150 mg, 0.51 mmol) in ethanol (5 mL) was added trimethylorthoformate (81 mg, 0.77 mmol) and sulfamic acid (1 mg, 0.01 mmol).", "The reaction was heated in a sealed tube at 90° C. overnight.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 31 (143 mg, 92%) as a yellow solid: 1H NMR (500 MHz, CD3OD) δ 9.00 (d, J=1.0 Hz, 1H), 8.05 (s, 1H), 7.48 (d, J=1.0 Hz, 1H), 7.40-7.30 (m, 5H), 5.58 (s, 2H), 2.40 (s, 3H), 2.25 (s, 3H); ESI m/z 305 [M+H]+.", "Step 5: To a mixture of Example Compound 31 (100 mg, 0.329 mmol) in dichloromethane (5 mL) was added 3-chloroperoxybenzoic acid (264 mg, 77% with water, 1.18 mmol).", "The mixture was stirred at room temperature overnight, concentrated and purified by chromatography (silica gel, 0-20% methanol in ethyl acetate) to afford Example Compound 32 (127 mg, >99%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 8.92 (s, 1H), 8.61 (s, 1H), 7.67 (s, 1H), 7.45-7.25 (m, 5H), 6.57 (s, 2H), 2.28 (s, 3H), 2.17 (s, 3H); ESI m/z 321 [M+H]+.", "Step 6: To a mixture of phosphorus oxybromide (268 mg, 0.938 mmol) in DMF (2 mL) was added Example 32 (100 mg, 0.313 mmol) in DMF (6 mL).", "The mixture was stirred at room temperature for 10 min and heated at 100° C. for 1 h. After cooling to room temperature, water and MeOH were added.", "The mixture was neutralized to pH 7 by addition of 10% sodium bicarbonate and concentrated.", "The residue was purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to afford 62 (30 mg, 25%) as an off-white solid: 1H NMR (500 MHz, CDCl3) δ 8.09 (s, 1H), 7.43-7.35 (m, 3H), 7.23-7.19 (m, 2H), 7.03 (s, 1H), 5.38 (s, 2H), 2.47 (s, 3H), 2.31 (s, 3H); ESI m/z 383 [M+H]+.", "Step 7: To a solution of 62 (30 mg, 0.078 mmol) in toluene (10 mL) under nitrogen atmosphere was added tert-butyl carbamate (27 mg, 0.23 mmol), cesium carbonate (51 mg, 0.16 mmol), 2-dicyclohexylphosphino-2′,4′,6′-triisopropyl-1,1′-biphenyl (6 mg, 0.01 mmol) and tris(dibenzylideneacetone) dipalladium(0) (7 mg, 0.008 mmol).", "The reaction mixture was heated at 90° C. overnight, cooled to room temperature, and purified by chromatography (silica gel, 0-20% methanol in ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O to give Example Compound 33 (10 mg, 40%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 8.21 (s, 1H), 7.42-7.25 (m, 5H), 6.70 (s, 1H), 5.46 (s, 2H), 2.39 (s, 3H), 2.24 (s, 3H); HPLC 96.9%, tR=10.1 min; ESI m/z 320 [M+H]+.", "Preparation of 4-(1-benzyl-3-bromo-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole.", "(Example Compound Compound 34) Step 1: To a solution of 46 (1.0 g, 5.08 mmol) in 1,4-dioxane (50 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (1.47 g, 6.6 mmol), sodium carbonate (1.10 g in 8 mL H2O, 10.2 mmol) and tetrakis(triphenylphosphine)palladium(0) (587 mg, 0.51 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 16 h. The mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded 63 (850 mg, 79%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 11.4 (s, 1H), 8.30 (t, J=2.1 Hz, 1H), 7.75 (dd, J=1.8, 0.9 Hz, 1H), 7.70 (t, J=3.0 Hz, 1H), 6.61-6.59 (m, 1H), 2.42 (s, 3H), 2.24 (s, 3H).", "Step 2/3: To a solution of 63 (500 mg, 2.35 mmol) in DMF (10 mL) at 0° C. was added NBS (500 mg, 2.82 mmol).", "The reaction mixture was stirred at 0° C. for 2 h. The mixture was diluted with methylene chloride (50 mL) and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The crude 64 was carried forward.", "To a solution of 64 (300 mg, 1.03 mmol) in DMF (1 mL) and CH3CN (10 mL) was added potassium carbonate (283 mg, 2.06 mmol) and benzyl chloride (130 mg, 1.03 mmol).", "The reaction was stirred at 70° C. for 16 h. The mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 34 (200 mg, 51%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 8.33 (d, J=1.5 Hz, 1H), 7.86 (s, 1H), 7.80 (d, J=2.0 Hz, 1H), 7.34-7.24 (m, 5H), 5.48 (s, 2H), 2.35 (s, 3H), 2.17 (s, 3H); ESI MS m/z 382 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridine-3-carbaldehyde (Example Compound 35) Step 1: To a mixture of 46 (300 mg, 1.5 mmol) and hexamethylenetetramine (0.32 g, 2.25 mmol) was added AcOH (2 mL).", "The reaction mixture was stirred at 120° C. for 6 h and was quenched with H2O (5 mL).", "The precipitate was collected by filtration to afford 65 (190 mg, 56%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 12.4 (s, 1H), 10.1 (s, 1H), 8.58 (d, J=2.1 Hz, 1H), 8.47 (s, 1H), 8.18 (d, J=2.1 Hz, 1H).", "Step 2:To a solution of 65 (190 mg, 0.84 mmol) in 1,4-dioxane (5 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (245 mg, 1.09 mmol), sodium carbonate (178 mg in 1 mL H2O, 1.68 mmol) and tetrakis(triphenylphosphine)palladium(0) (97 mg, 0.08 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 16 h. The mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded 66 (135 mg, 67%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 12.5 (s, 1H), 10.2 (s, 1H), 8.51 (d, J=1.8 Hz, 1H), 8.49 (d, J=3.0 Hz, 1H), 7.92 (d, J=1.8 Hz, 1H), 2.44 (s, 3H), 2.26 (s, 3H); ESI MS m/z 242 [M+H]+.", "Step 3: To a solution of 66 (92 mg, 0.38 mmol) in DMF (0.5 mL) and CH3CN (5 mL) was added potassium carbonate (105 mg, 0.76 mmol) and benzyl chloride (58 mg, 0.46 mmol).", "The reaction was stirred at 70° C. for 16 h. The reaction mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 35 (72 mg, 57%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 10.2 (s, 1H), 8.73 (s, 1H), 8.53 (d, J=1.8 Hz, 1H), 8.11 (d, J=1.8 Hz, 1H), 7.44-7.30 (m, 5H), 5.59 (s, 2H), 2.40 (s, 3H), 2.21 (s, 3H); ESI MS m/z 332 [M+H]+.", "Preparation of 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)-N,N-dimethylmethanamine (Example Compound 72) A solution of Example Compound 35 (54 mg, 0.16 mmol), dimethylamine (0.25 mL, 2M in THF, 0.49 mmol) and NaBH(OAc)3 (104 mg, 0.49 mmol) in CH2Cl2 (3 mL) was stirred at room temperature for 16 h. The reaction mixture was concentrated under reduced pressure.", "The crude reaction mixture was purified by chromatography (silica gel, 0-10% methanol/dichloromethane) to provide Example Compound 72 (42 mg, 71%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.34 (d, J=1.8 Hz, 1H), 8.30 (s, 1H), 7.36-7.32 (m, 4H), 7.21-7.18 (m, 2H), 5.39 (s, 2H), 4.50 (s, 2H), 2.86 (s, 6H), 2.32 (s, 3H), 2.16 (s, 3H); ESI MS m/z 361 [M+H]+.", "Preparation of 1-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)ethanone (Example Compound 36) Step 1: To a suspension of AlC13 (313 mg, 2.35 mmol) in CH2Cl2 (20 mL) was added 63 (100 mg, 0.47 mmol) and AcCl (184 mg, 2.35 mmol).", "The reaction mixture was stirred at rt for 6 h. The reaction was quenched with methanol (10 mL) carefully and the pH adjusted to neutral with solid Na2CO3.The mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-10% methanol/dichloromethane) afforded 67 (82 mg, 68%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 12.8 (s, 1H), 8.67 (s, 1H), 8.57 (s, 1H), 8.21 (s, 1H), 2.71 (s, 3H), 2.45 (s, 3H), 2.26 (s, 3H); ESI MS m/z 256 [M+H]+.", "Step 2: To a solution of 67 (62 mg, 0.24 mmol) in DMF (0.5 mL) and CH3CN (5 mL) was added potassium carbonate (67 mg, 0.48 mmol) and benzyl chloride (37 mg, 0.29 mmol).", "The reaction was stirred at 70° C. for 16 h. The reaction mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 36 (30 mg, 36%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.59 (d, J=1.5 Hz, 1H), 8.22 (s, 1H), 7.45 (d, J=1.8 Hz, 1H), 7.40-7.36 (m, 3H), 7.21-7.18 (m, 2H), 5.40 (s, 2H), 2.89 (s, 3H), 2.34 (s, 3H), 2.17 (s, 3H); ESI MS m/z 346 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-5-yl formate (Example Compound 37) Step 1: A solution of Example Compound 56 (165 mg, 0.52 mmol) in DMF (2 mL) was added POCl3 (159 mg, 1.03 mmol).", "The reaction mixture was heated at 100° C. for 2 h and concentrated.", "The residue was dissolved in CH2Cl2 (100 mL), washed with saturated NaHCO3 (2×20 mL) and brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 37 (81 mg, 45%) as a yellow solid: 1H NMR (300 MHz, CDCl3) δ 9.90 (s, 1H), 7.62 (s, 1H), 7.43-7.41 (m, 3H), 7.28 (s, 1H), 7.22-7.18 (m, 3H), 5.31 (s, 2H), 2.22 (s, 3H), 2.10 (s, 3H); ESI MS m/z 348 [M+H]+.", "Preparation of 44(6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-imidazo[4,5-b]pyridin-1-yl)methyl)benzamide (Example Compound 38) To a solution of Example Compound 70 (100 mg, 0.29 mmol) in ethanol (3 mL) was added 2N sodium hydroxide in water (1.46 mL, 2.9 mmol).", "The mixture was heated to 85° C. for 20 min, then cooled to room temperature, and neutralized with 2 mL of acetic acid.", "The mixture was basified (pH 8) with solid sodium carbonate, diluted in methylene chloride (100 mL), washed with brine (20 mL), and dried over anhydrous sodium sulfate.", "After filtration, the filtrate was concentrated in vacuo and purified by chromatography (silica gel, 0-20% methanol/methylene chloride) to afford Example Compound 38 as a white solid (71 mg, 68%): 1H NMR (300 MHz, DMSO-d6) δ 8.35 (d, J=1.8 Hz, 1H), 7.99 (d, J=2.1 Hz, 1H), 7.94 (br s, 1H), 7.83 (d, J=8.4 Hz, 2H), 7.37 (br s, 1H), 7.27 (d, J=8.4 Hz, 2H), 5.61 (s, 2H), 2.60 (s, 3H), 2.39 (s, 3H), 2.21 (s, 3H); ESI m/z 362 [M+H]+.", "Preparation of 4-(1-benzyl-3-nitro-1H-pyrrolo[3,2-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 39) Step 1: To a solution of 63 (100 mg, 0.47 mmol) in H2SO4 (0.5 mL) at 0° C. was added HNO3 (35 mg, 0.47 mmol).", "The reaction mixture was stirred at 0° C. for 1 h. The reaction mixture was diluted with H2O (10 mL) and adjusted to neutral pH with 6N NaOH solution.", "The solution was extracted with CH2Cl2 (30 mL).", "The organic layer was dried, filtered and concentrated.", "Purification by chromatography (silica gel, 0-10% methanol/dichloromethane) afforded 68 (82 mg, 68%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 12.9 (s, 1H), 8.85 (s, 1H), 8.58 (d, J=2.1 Hz, 1H), 7.95 (d, J=1.8 Hz, 1H), 2.45 (s, 3H), 2.26 (s, 3H); ESI MS m/z 259 [M+H]+.", "Step 2: To a solution of 68 (82 mg, 0.32 mmol) in DMF (0.5 mL) and CH3CN (5 mL) was added potassium carbonate (88 mg, 0.64 mmol) and benzyl chloride (44 mg, 0.35 mmol).", "The reaction was stirred at 70° C. for 16 h. The reaction mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-50% ethyl acetate/dichloromethane) afforded Example Compound 39 (68 mg, 61%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.74 (s, 1H), 8.47 (s, 1H), 7.56 (s, 1H), 7.45-7.42 (m, 3H), 7.27-7.26 (m, 2H), 5.47 (s, 2H), 2.35 (s, 3H), 2.17 (s, 3H); ESI MS m/z 349 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-ethoxy-1H-benzo[d]imidazol-4-amine (Example Compound 17) Step 1: A mixture of 37 (200 mg, 0.709 mmol) in tetraethoxymethane (340 mg, 1.77 mmol) was heated at 100° C. for 4 h. The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-50% ethyl acetate in hexanes) to afford 69 (177 mg, 74%) as a yellow solid: 1H NMR (500 MHz, CD3OD) δ 7.30-7.15 (m, 2H), 4.57 (q, J=7.0 Hz, 2H), 2.39 (s, 3H), 2.23 (s, 3H), 1.47 (t, J=7.0 Hz, 3H); ESI m/z 336 [M+H]+.", "Step 2: To a solution of 69 (250 mg, 0.74 mmol) in CH3CN (8 mL) and DMF (2 mL) was added K2CO3 (155 mg, 0.82 mmol) and benzyl chloride (104 mg, 0.82 mmol).", "The reaction was heated at 60° C. for 16 h. The mixture was diluted with ethyl acetate (100 mL), filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-30% EtOAc/hexanes) to afford 70 (200 mg, 63%) as an off-white solid and 71 (87 mg, 27%) as a colorless oil: 70: 1H NMR (300 MHz, CDCl3) δ 7.34-7.29 (m, 3H), 7.21-7.18 (m, 3H), 6.77 (d, J=1.5 Hz, 1H), 5.16 (s, 2H), 4.75 (q, J=7.5 Hz, 2H), 2.29 (s, 3H), 2.14 (s, 3H), 1.50 (t, J=7.0 Hz, 3H); 71: 1H NMR (300 MHz, CDCl3) δ 7.37 (d, J=1.5 Hz, 1H), 7.34-7.28 (m, 3H), 7.18 (d, J=7.5 Hz, 2H), 7.12 (d, J=1.5 Hz, 1H), 5.60 (s, 2H), 4.63 (q, J=7.0 Hz, 2H), 2.41 (s, 3H), 2.28 (s, 3H), 1.45 (t, J=7.0 Hz, 3H).", "Step 3: A mixture of 70 (100 mg, 0.235 mmol), BocN H2 (82 mg, 0.705 mmol), Xantphos (28 mg, 0.048 mmol), Pd2(dba)3 (22 mg, 0.024 mmol) and Cs2CO3 (268 mg, 0.823 mmol) in 1,4-dioxane (8 mL) was purged with nitrogen and heated at 100° C. for 18 h. The mixture was diluted with methylene chloride (200 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-30% EtOAc/hexanes) to afford 72 (90 mg, 83%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.74 (br s, 1H), 7.41 (s, 1H), 7.32-7.29 (m, 3H), 7.22-7.19 (m, 2H), 6.51 (d, J=1.5 Hz, 1H), 5.14 (s, 2H), 4.64 (q, J=7.2 Hz, 2H), 2.32 (s, 3H), 2.17 (s, 3H), 1.49 (t, J=7.2 Hz, 3H), 1.46 (s, 9H).", "Step 4: A solution of 72 (90 mg, 0.195 mmol) in TFA (1 mL) and CH2Cl2 (2 mL) was stirred at rt for 1 h. The mixture was concentrated, the residue was dissolved in ethyl acetate (100 mL) and washed with saturated NaHCO3 (50 mL×2).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "Purification by chromatography (silica gel, 40-100% EtOAc/hexanes) afforded Example Compound 17 (51 mg, 72%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.35-7.20 (m, 5H), 6.33 (d, J=1.5 Hz, 1H), 6.30 (d, J=1.5 Hz, 1H), 5.13 (s, 2H), 4.68 (q, J=6.9 Hz, 2H), 4.30 (br s, 2H), 2.30 (s, 3H), 2.16 (s, 3H), 1.49 (t, J=7.2 Hz, 3H); ESI m/z 363 [M+H]+.", "Preparation of 4-(1-benzyl-2-ethoxy-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 59) To a mixture of 28 (50 mg, 0.17 mmol) and tetraethyl orthocarbonate (131 mg, 0.68 mmol) was added sulfamic acid (3 mg, 0.034 mmol).", "The mixture was then heated to 100° C. for 8 h, then diluted with ethyl acetate (30 mL), washed with brine (15 mL), dried over anhydrous sodium sulfate, and concentrated in vacuo.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford Example Compound 59 (24 mg, 41%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.75 (d, J=1.2 Hz, 1H), 7.38-7.22 (m, 5H), 7.18 (d, J=1.5 Hz, 1H), 4.99 (s, 2H), 4.34 (q, J=7.2 Hz, 2H), 2.37 (s, 3H), 2.18 (s, 3H), 1.42 (t, J=7.2 Hz, 3H); ESI m/z 349 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazole-4-carbonitrile (Example Compound 85) Compound 73 was prepared by following the method for General Procedure J steps 1 to 3 starting with 2-amino-5-bromobenzonitrile.", "Using the procedure used for General Procedure D step 3 on compound 73 (30 mg, 0.09 mmol) afforded Example Compound 85 (10 mg, 31%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.63 (d, J=1.5 Hz, 1H), 7.60 (d, J=1.5 Hz, 1H), 7.38-7.27 (m, 3H), 7.19-7.14 (m, 2H), 5.57 (s, 2H), 2.69 (s, 3H), 2.32 (s, 3H), 2.16 (s, 3H); ESI m/z 343 [M+H]+.", "General Procedure O Preparation of N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-oxo-2,3-dihydro-1H-benzo[d]imidazol-4-yl)acetamide (Example Compound 111) A solution of Example Compound 16 (34 mg, 0.10 mmol), acetic anhydride (12 mg, 0.12 mmol) and i-Pr2NEt (26 mg, 0.20 mmol) in THF (3 mL) was stirred at rt for 16 h. The mixture was concentrated, and the residue was purified by chromatography (silica gel, 0-5% methanol/EtOAc) to afford Example Compound 111 (28 mg, 74%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 10.78 (s, 1H), 9.85 (s, 1H), 7.60-7.46 (m, 5H), 7.28 (d, J=1.2 Hz, 1H), 7.06 (d, J=1.2 Hz, 1H), 5.22 (s, 2H), 2.51 (s, 3H), 2.33 (s, 3H), 2.27 (s, 3H); ESI m/z 377 [M+H]+.", "General Procedure P Preparation of 6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 110) and 4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 115) Step 1: To a solution of 30 (1.00 g, 3.21 mmol) in toluene (70 mL) under nitrogen atmosphere was added benzyl amine (1.94 g, 16.0 mmol), potassium tert-butoxide (539 mg, 4.82 mmol), 2-dicyclohexylphosphino-2′,4′,6′-tri-i-propyl-1,1′-biphenyl (229 mg, 0.482 mmol), and tris(dibenzylideneacetone) dipalladium(0) (293 mg, 0.321 mmol).", "The reaction mixture was heated at 90° C. overnight, cooled to room temperature, and purified by chromatography (silica gel, 0-50% ethyl acetate in hexanes) to afford 74 (700 mg, 62%) as a red-brown solid: 1H NMR (500 MHz, CDCl3) δ 7.50 (d, J=1.8 Hz, 1H), 7.70-7.22 (m, 5H), 6.41 (d, J=1.6 Hz, 1H), 6.07 (s, 2H), 4.48 (q, J=3.5 Hz, 1H), 3.65 (s, 1H), 2.05 (s, 3H), 1.90 (s, 3H), 1.62 (d, J=6.6 Hz, 3H); ESI m/z 353 [M+H]+.", "Step 2: To a mixture of 74 (600 mg, 1.70 mmol) in 1,4-dioxane (40 mL) was added 1,1′-carbonyldiimidazole (2.76 mg, 17.0 mmol) and DMAP (a crystal).", "The reaction was heated in a sealed tube at 120° C. for 2 days.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 110 (420 mg, 65%) as an orange solid: 1H NMR (500 MHz, CD3OD) δ 7.75 (d, J=1.3 Hz, 1H), 7.44 (d, J=7.7 Hz, 2H), 7.38 (t, J=7.7 Hz, 2H), 7.31 (t, J=7.7 Hz, 1H), 6.88 (d, J=1.3 Hz, 1H), 5.88 (q, J=7.1 Hz, 1H), 2.20 (s, 3H), 2.02 (s, 3H), 1.91 (d, J=7.2 Hz, 3H); ESI m/z 377 [M−H]+.", "Step 3: To a solution of Example Compound 110 (100 mg, 0.265 mmol) in tetrahydrofuran (10 mL) was added sodium dithionite (276 mg, 1.59 mmol) in water (10 mL).", "The reaction mixture was stirred at room temperature overnight and concentrated under vacuum.", "The residue was added 2N HCl and heated to just boiling, cooled to room temperature, and concentrated in vacuum.", "The residue was dissolved in MeOH and basified by conc.", "NH4OH, concentrated, and purified by chromatography (silica gel, 0-100% hexanes/ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris C18 column eluted with 10-90% CH3CN in H2O to give Example Compound 115 (49 mg, 53%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.42-7.32 (m, 4H), 7.26 (t, J=6.9 Hz, 1H), 6.35 (s, 1H), 5.94 (s, 1H), 5.78 (q, J=7.2 Hz, 1H), 2.17 (s, 3H), 2.00 (s, 3H), 1.86 (d, J=7.2 Hz, 3H); ESI m/z 349 [M+H]+.", "General Procedure Q Preparation of 4-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine (Example Compound 114) A mixture of Example Compound 10 (90 mg, 0.28 mmol) and phosphorus (V) oxychloride (1 mL) was heated to 110° C. for 5 h, then cooled to room temperature.", "The mixture was concentrated, dissolved with methylene chloride (75 mL), and washed with saturated sodium bicarbonate solution (20 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was dissolved in a 2.0 M solution of morpholine in tetrahydrofuran (5.6 mL, 11.2 mmol) and the mixture was heated to 75° C. for 3 h. The reaction mixture was concentrated, and the residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride), and then triturated with ethyl acetate/hexanes to afford Example Compound 114 (62 mg, 57%) as a white solid: 1H NMR (500 MHz, CDCl3) δ 8.24 (d, J=2.0 Hz, 1H), 7.41-7.34 (m, 3H), 7.15 (d, J=6.5 Hz, 2H), 7.06 (d, J=1.0 Hz, 1H), 5.26 (s, 2H), 3.83 (t, J=4.5 Hz, 4H), 3.50 (t, J=4.5 Hz, 4H), 2.29 (s, 3H), 2.11 (s, 3H); ESI m/z 390 [M+H]+.", "General Procedure R Preparation of 1-(3,4-dichlorobenzyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 101) Compound 75 was prepared according to General Procedure D, steps 1-2.To a solution of 75 (218 mg, 0.60 mmol) in 1,4-dioxane (5 mL) was added 1,1′-carbonyldiimidazole (117 mg, 0.72 mmol), and the mixture was heated to 100° C. for 16 h. The mixture was diluted with methylene chloride (70 mL), and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford Example Compound 101 (155 mg, 66%) as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 11.83 (s, 1H), 7.92 (d, J=1.5 Hz, 1H), 7.73 (d, J=2.0 Hz, 1H), 7.61 (d, J=8.0 Hz, 1H), 7.53 (d, J=2.0 Hz, 1H), 7.35 (dd, J=8.5, 2.0 Hz, 1H), 5.05 (s, 2H), 2.37 (s, 3H), 2.19 (s, 3H); ESI m/z 389 [M+H]+.", "General Procedure S Preparation of (S)-3,5-dimethyl-4-(2-methyl-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-6-yl)isoxazole (Example Compound 125) and (5)-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1-(1-phenylethyl)-1H-benzo[d]imidazol-4-amine (Example Compound 143) Compound 76 was prepared by following the method of General Procedure P step 1 starting with (S)-1-phenylethanamine.", "Step 1: Using the procedure used in General Procedure F step 1 starting with compound 76 (140 mg, 0.40 mmol) afforded Example Compound 125 (108 mg, 72%) as a yellow solid:1H NMR (300 MHz, DMSO-d6) δ 7.87 (d, J=1.5 Hz, 1H), 7.42-7.30 (m, 6H), 6.11 (q, J=7.2 Hz, 1H), 2.74 (s, 3H), 2.23 (s, 3H), 2.04 (s, 3H), 1.94 (d, J=6.9 Hz, 3H); ESI MS m/z 377 [M+H]+.", "Step 2: Using the procedure used in General Procedure P step 3 starting with compound Example Compound 125 (80 mg, 0.21 mmol) afforded Example Compound 143 (53 mg, 72%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.39-7.26 (m, 5H), 6.23 (d, J=1.5 Hz, 1H), 6.14 (d, J=1.2 Hz, 1H), 5.86 (q, J=7.2 Hz, 1H), 5.26 (s, 2H), 2.58 (s, 3H), 2.20 (s, 3H), 2.02 (s, 3H), 1.86 (d, J=6.9 Hz, 3H); ESI MS m/z 347 [M+H]+.", "General Procedure T Preparation of 4-(1-benzyl-2-(pyridin-3-yloxy)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 236) A mixture of Example Compound 10 (100 mg, 0.31 mmol) and phosphorus (V) oxychloride (1 mL) was heated to 110° C. for 5 h, then cooled to room temperature.", "The mixture was concentrated, dissolved with methylene chloride (75 mL), and washed with saturated sodium bicarbonate solution (20 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was dissolved in N,N-dimethylformamide (2.5 mL), 3-hydroxypyridine (109 mg, 1.15 mmol) and potassium carbonate (175 mg, 1.27 mmol) were added.", "The mixture was heated to 100° C. for 16 h, then diluted with ethyl acetate (75 mL), washed with brine (2×25 mL), dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford Example Compound 236 (58 mg, 47%) as a light brown solid: 1H NMR (300 MHz, DMSO-d6) δ 8.74 (d, J=2.7 Hz, 1H), 8.57 (dd, J=4.5, 0.9 Hz, 1H), 8.27 (d, J=1.8 Hz, 1H), 8.02-7.98 (m, 2H), 7.59 (dd, J=8.4, 4.5 Hz, 1H), 7.47 (d, J=6.9 Hz, 2H), 7.42-7.30 (m, 3H), 5.53 (s, 2H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 398 [M+H]+.", "Preparation of 6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1-(1-phenylethyl)-1H-benzo[d]imidazol-2-amine (Example Compound 127) and 6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1-(1-phenylethyl)-1H-benzo[d]imidazole-2,4-diamine (Example Compound 134) Step 1: To Example Compound 110 (200 mg, 0.529 mmol) was added phosphorus(V) oxychloride (2 mL, 21.5 mmol) and N,N-dimethylformamide (one drop).", "The reaction was heated at 90° C. overnight.", "The mixture was concentrated, the residue was dissolved in tetrahydrofuran (5 mL), ethylamine (10 mL, 1M in tetrahydrofuran) was added.", "The reaction mixture was heated in a sealed tube at 70° C. for 2 days.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give Example Compound 127 (40 mg, 19%) as a yellow solid: 1H NMR (500 MHz, CD3OD) δ 7.70 (d, J=1.5 Hz, 1H), 7.45-7.30 (m, 5H), 6.72 (d, J=1.5 Hz, 1H), 5.86 (q, J=7.0 Hz, 1H), 3.72 (q,J=7.2 Hz, 2H), 2.17 (s, 3H), 1.98 (s, 3H), 1.90 (d, J=7.0 Hz, 3H), 1.36 (t, J=7.2 Hz, 3H); ESI m/z 406 [M−H]+.", "Step 2: To a solution of Example Compound 127 (35 mg, 0.086 mmol) in tetrahydrofuran (10 mL) was added sodium dithionite (90 mg, 0.52 mmol) in water (10 mL).", "The reaction mixture was stirred at room temperature overnight and concentrated under vacuum.", "The residue was added 2N HCl and heated to just boiling, cooled to room temperature, and concentrated in vacuum.", "The residue was dissolved in MeOH and basified by conc.", "NH4OH, concentrated, and purified by chromatography (silica gel, 0-100% hexanes/ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to give Example Compound 134 (15 mg, 47%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.40-7.25 (m, 5H), 6.31 (d, J=1.5 Hz, 1H), 5.92 (d, J=1.5 Hz, 1H), 5.72 (q,J=6.9 Hz, 1H), 3.53 (q,J=7.2 Hz, 2H), 2.15 (s, 3H), 1.99 (s, 3H), 1.86 (d, J=7.0 Hz, 3H), 1.33 (t, J=7.2 Hz, 3H); ESI m/z 376 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-4-nitro-1H-benzo[d]imidazol-2(3H)-one (Example Compound 150) and 4-amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-1H-benzo[d]imidazol-2(3H)-one (Example Compound 162) Step 1: A mixture of Example Compound 15 (73 mg, 0.20 mmol), CH3I (85 mg, 0.60 mmol) and K2CO3 (110 mg, 0.8 mmol) in DMF (3 mL) was stirred at rt for 16 h. The reaction mixture was diluted with EtOAc (100 mL) and washed with brine (3×50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was triturated with EtOAc/hexanes to afford Example Compound 150 (65 mg, 86%) as a yellow solid: 1H NMR (300 MHz, CDC13) δ 7.48 (d, J=1.5 Hz, 1H), 7.35-7.30 (m, 5H), 6.84 (d, J=1.5 Hz, 1H), 5.15 (s, 2H), 3.65 (s, 3H), 2.26 (s, 3H), 2.09 (s, 3H); ESI m/z 379 [M+H]+.", "Step 2: To a solution of Example Compound 150 (57 mg, 0.15 mmol) in THF (5 mL) and water (4 mL) was added Na2S2O4 (153 mg, 0.90 mmol).", "The mixture was stirred at rt for 4 h, 2N HCl (1 mL) was added, the mixture was heated to reflux for 15 minutes.", "After cooled to rt, Na2CO3 was added slowly to adjust to pH 9.The mixture was extracted with CH2Cl2 (100 mL), the organic layer was washed with brine (50 mL), filtered, concentrated and purified by chromatography (silica gel, 0-10% methanol/ethyl acetate) to afford Example Compound 162 (60 mg, 72%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.36-7.24 (m, 5H), 6.40 (d, J=1.5 Hz, 1H), 6.39 (d, J=1.8 Hz, 1H), 5.08 (s, 2H), 4.99 (s, 2H), 3.62 (s, 3H), 2.29 (s, 3H), 2.12 (s, 3H); ESI m/z 349 [M+H]+.", "HPLC>99% Preparation of 4-(1-benzyl-2-methyl-4-(methylsulfonyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole (Example Compound 168) A mixture of Example Compound 121 (100 mg, 0.25 mmol), sodium methanesulfinate (39 mg, 0.38 mmol), CuI (5 mg, 0.025 mmol), L-proline (6 mg, 0.05 mmol) and NaOH (2 mg, 0.05 mmol) in DMSO (3 mL) was heated at 150° C. in a microwave reactor for 2 h. The mixture was diluted with ethyl acetate (100 mL) and washed with brine (50 mL).", "The organic layer was dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 50-100% EtOAc/hexanes) to afford Example Compound 168 (13 mg, 13%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 7.75 (d, J=1.5 Hz, 1H), 7.37-7.33 (m, 3H), 7.24 (d, J=1.5 Hz, 1H), 7.11-7.08 (m, 2H), 5.39 (s, 2H), 3.54 (s, 3H), 2.73 (s, 3H), 2.31 (s, 3H), 2.16 (s, 3H); ESI m/z 396 [M+H]+.", "HPLC 92.3%.", "Preparation of 4-(1-benzyl-2,7-dimethyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 181) Step 1: To a solution of 77 (4.4 g, 16.5 mmol) in 1,4-dioxane (100 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (4.4 g, 19.8 mmol), Na2CO3 (2.0 M in H2O, 25 mL, 50.0 mmol) and tetrakis(triphenylphosphine)palladium(0) (959 mg, 0.83 mmol).", "The reaction mixture was purged with nitrogen and heated at 80° C. for 16 h. The mixture was diluted with EtOAc (100 mL) and washed with brine (50 mL).", "The organic layer was dried over Na2SO4, and filtered.", "The filtrate was concentrated and then purified by chromatography (silica gel, 0-60% ethyl acetate/hexanes) to afford 78 (2.64 g, 57%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.71 (s, 1H), 6.32 (s, 2H), 2.22 (s, 3H), 2.08 (s, 3H), 2.02 (s, 3H).", "Step 2: A mixture of 78 (1.3 g, 4.61 mmol), benzylamine (2.51 mL, 23.05 mmol), X-phos (658 mg, 1.38 mmol), Pd2(dba)3 (632 mg, 0.69 mmol) and t-BuOK (774 mg, 6.92 mmol) in toluene (50 mL) was purged with nitrogen for 10 minutes and then heated at 90° C. for 18 h. The mixture was diluted with methylene chloride (200 mL) and filtered.", "The filtrate was concentrated and purified by chromatography (silica gel, 0-100% EtOAc/hexanes) to afford 79 (125 mg, 9%) as a brown gum: 1H NMR (300 MHz, DMSO-d6) δ 7.38 (s, 1H), 7.31-7.22 (m, 5H), 5.68 (s, 2H), 4.28 (t, J=7.5 Hz, 1H), 4.01 (d, J=7.0 Hz, 2H), 2.14 (s, 3H), 1.93 (s, 3H), 1.74 (s, 3H).", "Step 3: To a solution of 79 (80 mg, 0.26 mmol) in triethylorthoacetate (2 mL) was added AcOH (0.2 mL).", "The mixture was heated to 120° C. for 2 h. The mixture was concentrated, the residue was dissolved in EtOAc (100 mL) and washed with saturated NaHCO3 (50 mL×2).", "The organic layer was dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% MeOH/ethyl acetate) to afford Example Compound 181 (39 mg, 45%) as an off-white solid: 1H NMR (300 MHz, CDCl3) δ 8.23 (s, 1H), 7.37-7.31 (m, 3H), 6.95-6.92 (m, 2H), 5.58 (s, 2H), 2.64 (s, 3H), 2.23 (s, 3H), 2.22 (s, 3H), 2.06 (s, 3H); ESI m/z 333 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-7-methyl-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 180) A mixture of 79 (31 mg, 0.10 mmol) and CDI (33 mg, 0.2 mmol) in dioxane (3 mL) was heated to 120° C. for 16 h. The mixture was concentrated, the residue was purified by chromatography (silica gel, 50-100% ethyl acetate/hexanes) to afford Example Compound 180 (10 mg, 30%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.89 (s, 1H), 7.74 (s, 1H), 7.38-7.24 (m, 3H), 7.17-7.14 (m, 2H), 5.26 (s, 2H), 2.16 (s, 3H), 2.01 (s, 3H), 1.99 (s, 3H); ESI m/z 335 [M+H]+.", "Preparation of 3,5-dimethyl-4-(2-methyl-1-(1-phenylethyI)-1H-imidazo[4,5-b]pyridin-6-ypisoxazole (Example Compound 108) Step 1: To a suspension of 27 (660 mg, 3.23 mmol) in acetonitrile (33 mL) was added (1-bromoethyl)benzene (658 mg, 3.55 mmol) and potassium carbonate (893 mg, 6.46 mmol).", "The mixture was heated to 60° C. for 16 hours, then cooled, diluted with methylene chloride (120 mL) and washed with brine (40 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford 57 (256 mg, 26%) as white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.36 (d, J=1.5 Hz, 2H), 7.30 (t, J=7.5 Hz, 2H), 7.20-7.17 (m, 2H), 6.15 (d, J=2.0 Hz, 1H), 5.82 (s, 2H), 5.40 (d, J=5.5 Hz, 1H), 4.51-4.45 (m, 1H), 2.05 (s, 3H), 1.84 (s, 3H), 1.48 (d, J=7.0 Hz, 3H).", "Step 2: To a solution of 57 (41 mg, 0.13 mmol) in triethylorthoacetate (0.24 mL, 1.33 mmol) was added acetic acid (204, 0.36 mmol).", "The mixture was heated to 100° C. for 1 h, then one drop of concentrated HCl was added.", "The mixture was heated to 100° C. for 10 min.", "The mixture was basified with saturated sodium bicarbonate, diluted with methylene chloride (45 mL) and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-3% methanol/methylene chloride) followed by trituration with methylene chloride/hexanes to afford Example Compound 108 (11 mg, 28%) as white solid: 1H NMR (500 MHz, DMSO-d6) δ 8.27 (d, J=2.0 Hz, 1H), 7.44 (d, J=2.0 Hz, 1H), 7.40-7.36 (m, 4H), 7.33-7.30 (m, 1H), 6.01 (q, J=7.0 Hz, 1H), 2.70 (s, 3H), 2.26 (s, 3H), 2.06 (s, 3H), 1.93 (d, J=7.0 Hz, 3H); ESI m/z 333 [M+H]+.", "Preparation of 6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 112) and 6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2-amine (Example Compound 113) Step 1: To a suspension of 57 (250 mg, 0.81 mmol) in 1,4-dioxane (6 mL), was added 1,1′-carbonyldiimidazole (158 mg, 0.97 mmol).", "The mixture was purged with nitrogen for 5 min, and then heated to 100° C. for 16 h. The mixture was diluted with methylene chloride (100 mL), filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride) then triturated with methylene chloride/hexanes to afford Example Compound 112 (258 mg, 95%) as off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 11.78 (s, 1H), 7.87 (d, J=2.0 Hz, 1H), 7.44 (d, J=7.5 Hz, 2H), 7.36 (t, J=7.5 Hz, 2H), 7.29 (t, J=7.5 Hz, 1H), 7.09 (d, J=2.0 Hz, 1H), 5.72 (q, J=7.0 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J=7.0 Hz, 3H); ESI m/z 335 [M+H]+.", "Step 2: A mixture of Example Compound 112 (100 mg, 0.30 mmol) and phosphorus (V) oxychloride (1 mL) was heated to 110° C. for 5 h, and cooled to room temperature.", "The reaction mixture was concentrated, diluted with methylene chloride (75 mL), and washed with saturated sodium bicarbonate solution (20 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was dissolved in a 2.0 M solution of ethylamine in tetrahydrofuran (6.0 mL, 12.0 mmol) and the mixture was heated to 75° C. for 7 h. The reaction mixture was concentrated, and the residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride), then triturated with ethyl acetate/hexanes to afford Example Compound 113 (52 mg, 49%) as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.90 (d, J=2.0 Hz, 1H), 7.40-7.28 (m, 6H), 6.81 (d, J=2.0 Hz, 1H), 5.84 (q, J=7.0 Hz, 1H), 3.54-3.48 (m, 2H), 2.20 (s, 3H), 1.99 (s, 3H), 1.83 (d, J=7.0 Hz, 3H), 1.27 (t, J=7.0 Hz, 3H); ESI m/z 362 [M+H]+.", "Preparation of 6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Enantiomer A) (Example Compound 218) and 6-(3,5-dimethylisoxazol-4-yl)-1-(1-phenylethyl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Enantiomer B) (Example Compound 219) Example Compound 112 (87 mg) was separated by SFC chiral HPLC (Chiralpak AS-H, 30 mm×250 mm, mobile phase 30% EtOH in CO2 (0.2% Et2NH), 120 bar, flow rate 80 mL/min) to afford Example Compound 218 (Enantiomer A) (41 mg, 46%) and Example Compound 219 (Enantiomer B) (41 mg, 46%) as off-white solids.", "Example Compound 218 (Enantiomer A): 1H NMR (500 MHz, DMSO-d6) δ 11.77 (s, 1H), 7.87 (d, J=2.0 Hz, 1H), 7.44 (d, J=7.5 Hz, 2H), 7.37 (t, J=7.5 Hz, 2H), 7.29 (t, J=7.5 Hz, 1H), 7.09 (d, J=2.0 Hz, 1H), 5.72 (q, J=7.5 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J=7.5 Hz, 3H); ESI m/z 335 [M+H]+; HPLC (Chiralcel OD, 4.6 mm×250 mm, 10% EtOH in heptane, 1 mL/min) >99%, tR=9.4 min.", "Example Compound 219 (Enantiomer B): 1H NMR (500 MHz, DMSO-d6) δ 11.78 (s, 1H), 7.87 (d, J=1.5 Hz, 1H), 7.44 (d, J=7.5 Hz, 2H), 7.36 (t, J=7.5 Hz, 2H), 7.29 (t, J=7.5 Hz, 1H), 7.08 (d, J=2.0 Hz, 1H), 5.72 (q, J=7.5 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J=7.5 Hz, 3H); ESI m/z 335 [M+H]+; HPLC (Chiralcel OD, 4.6 mm×250 mm, 10% EtOH in heptane, 1 mL/min) >99%, tR=10.9 min.", "Preparation of 3-benzyl-5-(3,5-dimethylisoxazol-4-yl)-1-ethyl-1H-benzo[cf]imidazol-2(3H)-one (Example Compound 122) Step 1: To a solution of 20 (214 mg, 0.77 mmol) in 1,4-dioxane (5 mL) was added 1,1′-carbonyldiimidazole (150 mg, 0.93 mmol) and the mixture was heated to 100° C. for 15 h. The mixture was concentrated and purified by chromatography (silica gel, 0-20% ethyl acetate/hexanes) to afford 80 (142 mg, 61%) as a white solid; 1H NMR (500 MHz, DMSO-d6) δ 11.13 (s, 1H), 7.35-7.25 (m, 6H), 7.12 (dd, J=8.5, 2.0 Hz, 1H), 6.94 (d, J=8.0 Hz, 1H), 5.01 (s, 2H).", "Step 2: To a solution of 80 (100 mg, 0.33 mmol) in 1,4-dioxane (5 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (110 mg, 0.49 mmol), potassium carbonate (91 mg, 0.66 mmol), and water (1 mL).", "The mixture was purged with nitrogen for 10 min, tetrakis(triphenylphosphine)palladium(0) (19 mg, 0.016 mmol) was added, and the mixture was heated to 90° C. for 16 h. The mixture was diluted with methylene chloride (100 mL), and washed with brine (30 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride) then triturated with ethyl acetate/hexanes to afford 81 (55 mg, 52%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.07 (s, 1H), 7.40-7.23 (m, 5H), 7.06 (d, J=8.1 Hz, 1H), 7.02 (s, 1H), 6.95 (dd, J=7.8, 1.5 Hz, 1H), 5.03 (s, 2H), 2.30 (s, 3H), 2.13 (s, 3H); ESI m/z 320 [M+H]+.", "Step 3: To a solution of 81 (36 mg, 0.11 mmol) in acetonitrile (3 mL) was added potassium carbonate (109 mg, 0.79 mmol) and iodoethane (80 mg, 0.56 mmol), then the mixture was heated to 40° C. for 48 h. The mixture was diluted with methylene chloride (75 mL), and washed with brine (20 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-20% ethyl acetate/methylene chloride), then triturated with ethyl acetate/hexanes to afford Example Compound 122 (14 mg, 37%) as a yellow-white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.37 (d, J=7.5 Hz, 2H), 7.33 (t, J=7.0 Hz, 2H), 7.29 (d, J=8.0 Hz, 1H), 7.26 (t, J=7.0 Hz, 1H), 7.09 (d, J=1.5 Hz, 1H), 7.03 (dd, J=8.0, 1.5 Hz, 1H), 5.08 (s, 2H), 3.94 (q, J=7.0 Hz, 2H), 2.31 (s, 3H), 2.13 (s, 3H), 1.26 (t,J=7.0 Hz, 3H); ESI m/z 348 [M+H]+.", "Preparation of 1-benzyl-N6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazole-4,6-diamine (Example Compound 142) Step 1: To a suspension of 33 (790 mg, 3.09 mmol) in acetonitrile (15 mL) was added benzyl chloride (703 mg, 5.55 mmol) and potassium carbonate (1.07 g, 7.71 mmol).", "The reaction mixture was heated to 60° C. for 16 h, then concentrated, and the residue was purified by chromatography (silica gel, 0-30% ethyl acetate/hexanes) to afford 82 (813 mg, 76%) as a yellow solid: 1H NMR (300 MHz, DMSO-d6) δ 8.33 (d, J=1.8 Hz, 1H), 8.12 (d, J=1.8 Hz, 1H), 7.39-7.27 (m, 3H), 7.13 (d, J=6.6 Hz, 2H), 5.62 (s, 2H), 2.60 (s, 3H).", "Step 2: To a solution of 82 (150 mg, 0.43 mmol) in toluene (5 mL) was added 83 (73 mg, 0.65 mmol), cesium carbonate (282 mg, 0.87 mmol) and XPhos (41 mg, 0.087 mmol).", "The solution was purged with nitrogen for 5 min, then tris(dibenzylideneacetone)dipalladium(0) (40 mg, 0.043 mmol) was added and heated to 110° C. for 16 h. The mixture was filtered through celite and concentrated, the residue was purified by chromatography (silica gel, 0-7% methanol/methylene chloride) to afford 84 (80 mg, 49%) as a brown oil: 1H NMR (500 MHz, DMSO-d6) δ 7.59 (s, 1H), 7.34-7.28 (m, 4H), 7.06 (d,l =7.0 Hz, 2H), 6.76 (d,l =2.5 Hz, 1H), 5.44 (s, 2H), 2.54 (s, 3H), 2.13 (s, 3H), 1.91 (s, 3H).", "Step 3: To a solution of 84 (78 mg, 0.21 mmol) in tetrahydrofuran (5 mL) was added a solution of sodium dithionite (215 mg, 1.24 mmol) in water (4 mL).", "The mixture was stirred at room temperature for 2 h, the 2N HCl (1 mL) was added, the mixture was heated to reflux for 15 min.", "The mixture was basified by sodium carbonate, and extracted with methylene chloride (50 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford Example Compound 142 (38 mg, 53%) as a red-brown solid: 1H NMR (500 MHz, DMSO-d6) δ 7.31 (t, J=7.5 Hz, 2H), 7.25 (t, J=7.5 Hz, 1H), 7.04 (d, J=7.5 Hz, 2H), 6.69 (s, 1H), 5.73 (d, J=2.0 Hz, 1H), 5.60 (d, J=2.0 Hz, 1H), 5.18 (s, 2H), 5.05 (s, 2H), 2.38 (s, 3H), 2.13 (s, 3H), 1.92 (s, 3H); ESI m/z 348 [M+H]+.", "General Procedure U Preparation of 1-benzyl-2-methyl-6-(5-methylisoxazol-4-yl)-1H-benzo[d]imidazol-4-amine (Example Compound 201) To a solution of 82 (100 mg, 0.29 mmol) in 1,4-dioxane (5 mL) was added 5-methylisoxazole-4-boronic acid pinacol ester (91 mg, 0.43 mmol), sodium carbonate (80 mg, 0.58 mmol), water (1 mL), and tetrakis(triphenylphosphine)palladium(0) (17 mg, 0.01 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 5 h. The mixture was diluted with methylene chloride (70 mL), washed with brine (25 mL), dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-5% ethyl acetate/methylene chloride) to a yellow solid which was dissolved in THF (4 mL), a solution of sodium dithionite (159 mg, 0.91 mmol) in water (2 mL) was added and the mixture was stirred at room temperature for 2 h. 2 N HCl (1 mL) was added to the mixture, and the mixture was heated to reflux for 15 min.", "The mixture was basified by saturated aqueous sodium bicarbonate solution, and extracted with methylene chloride (40 mL×2).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-8% methanol/methylene chloride) and triturated with ethyl acetate/hexanes to afford Example Compound 201 (12 mg, 25%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.69 (d, J=0.6 Hz, 1H), 7.36-7.26 (m, 3H), 7.15 (d, J=6.9 Hz, 2H), 6.78 (d, J=1.5 Hz, 1H), 6.47 (d, J=1.5 Hz, 1H), 5.40 (s, 2H), 5.33 (s, 2H), 2.50 (s, 3H), 2.47 (s, 3H); ESI m/z 319 [M+H]+.", "Preparation of N-(1-benzyl-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazol-4-amine (Example Compound 155) Step 1: To a suspension of 2,3-diamino-5-bromopyridine 26 (1.5 g, 7.98 mmol) in methylene chloride (80 mL) was added benzaldehyde (931 mg, 8.78 mmol) and acetic acid (40 drops).", "The mixture was stirred at room temperature for 16 h, then washed with saturated sodium bicarbonate solution (40 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was dissolved in methanol (50 mL) and sodium borohydride (815 mg, 21.5 mmol) was slowly added.", "The mixture was stirred at room temperature for 1 h. The mixture was diluted with methylene chloride (100 mL), washed with saturated sodium bicarbonate (40 mL), dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) to afford 85 (1.12 g, 51%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 7.35-7.34 (m, 4H), 7.28-7.23 (m, 2H), 6.54 (d, J=2.0 Hz, 1H), 5.78 (s, 2H), 5.73 (t, J=5.5 Hz, 1H), 4.30 (d, J=5.5 Hz, 2H).", "Step 2: To a suspension of 85 (970 mg, 3.49 mmol) in triethylorthoacetate (5.66 g, 37.9 mmol) was added acetic acid (539 μL, 9.42 mmol).", "The mixture was heated to 100° C. for 40 min.", "The reaction mixture was basified with saturated sodium bicarbonate (8 mL), diluted with methylene chloride (50 mL), and washed with saturated sodium bicarbonate (30 mL).", "The organic layer was dried over sodium sulfate, filtered, and concentrated.", "The residue was purified by chromatography (silica gel, 0-8% methanol/methylene chloride) to afford 86 (305 mg, 30%) as a light brown solid: 1H NMR (500 MHz, DMSO-d6) δ 8.41 (d, J=2.0 Hz, 1H), 8.29 (d, J=2.0 Hz, 1H), 7.35 (t, J=7.0 Hz, 2H), 7.30 (t, J=7.0 Hz, 1H), 7.15 (d, J=7.0 Hz, 2H), 5.52 (s, 2H), 2.55 (s, 3H).", "Step 3: To a solution of 86 (80 mg, 0.26 mmol) in toluene (5 mL), was added 83 (44 mg, 0.40 mmol), cesium carbonate (173 mg, 0.53 mmol), and XPhos (25 mg, 0.053 mmol).", "The solution was purged with nitrogen for 5 min, then tris(dibenzylideneacetone)dipalladium(0) (24 mg, 0.026 mmol) was added.", "The mixture was heated to 110° C. for 16 h. The reaction mixture was diluted with methylene chloride (20 mL), filtered through celite, and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% methanol/methylene chloride) then triturated with methylene chloride/hexanes to afford Example Compound 155 (40 mg, 45%) as a light-brown solid: 1H NMR (500 MHz, DMSO-d6) δ 7.88 (d, J=2.5 Hz, 1H), 7.34-7.30 (m, 3H), 7.27 (t, f=7.0 Hz, 1H), 7.05 (d, J=7.0 Hz, 2H), 6.71 (d, J=2.5 Hz, 1H), 5.38 (s, 2H), 2.47 (s, 3H), 2.14 (s, 3H), 1.92 (s, 3H); ESI m/z 334 [M+H]+.", "Preparation of 1-benzyl-2-methyl-6-(1-methyl-1H-1,2,3-triazol-5-yl)-1H-imidazo[4,5-b]pyridine (Example Compound 206) To a solution of 86 (100 mg, 0.33 mmol) in 1,4-dioxane (5 mL) was added 1-methyl-5-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)-1H-1,2,3-triazole (138 mg, 0.66 mmol), K2CO3 (137 mg, 0.99 mmol), water (1 mL), and tetrakis(triphenylphosphine)palladium(0) (19 mg, 0.02 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 16 h. The mixture was diluted with ethyl acetate (70 mL), washed with brine (25 mL), dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-8% methanol/methylene chloride) followed by trituration with methylene chloride/hexanes to afford Example Compound 206 (14 mg, 14%) as a white solid; 1H NMR (500 MHz, DMSO-d6) δ 8.54 (d, J=2.5 Hz, 1H), 8.27 (d, J=2.0 Hz, 1H), 7.96 (s, 1H), 7.35 (t, J=7.0 Hz, 2H), 7.29 (t, J=7.0 Hz, 1H), 7.21 (d, J=7.0 Hz, 2H), 5.58 (s, 2H), 4.07 (s, 3H), 2.60 (s, 3H); ESI m/z 305 [M+H]+.", "Preparation of 1-benzyl-2-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridine (Example Compound 154) 1-Benzyl-2-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridine (Example Compound 154) was prepared by following the similar method for the preparation of Example Compound 206 as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 8.48 (d, J=2.0 Hz, 1H), 8.14 (d, J=2.0 Hz, 1H), 7.50 (d, J=2.0 Hz, 1H), 7.35 (t, J=7.0 Hz, 2H), 7.29 (t, J=7.0 Hz, 1H), 7.21 (d, J=7.0 Hz, 2H), 6.46 (d, J=2.0 Hz, 1H), 5.57 (s, 2H), 3.83 (s, 3H), 2.60 (s, 3H); ESI m/z 304 [M+H]+.", "Preparation of 4-(1-benzyl-2-cyclopropyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 138) To a solution of diamine 28 (100 mg, 0.340 mmol) in 1,4-dioxane (2 mL) was added cyclopropanecarboxaldehyde (29 mg, 0.408 mmol) and acetic acid (0.67 mL).", "The mixture was heated at 110° C. for 24 h. The mixture was then diluted with methylene chloride and washed with saturated sodium bicarbonate.", "The organic layer was then dried with sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride) to afford Example Compound 138 (68 mg, 58%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 8.29 (d, J=2.1 Hz, 1H), 7.95 (d, J=2.0 Hz, 1H), 7.37-7.33 (m, 2H), 7.30-7.28 (m, 3H), 5.67 (s, 2H), 2.38 (s, 3H), 2.37-2.35 (m, 1H), 2.20 (s, 3H), 1.13-1.11 (m, 4H); ESI m/z 345 [M+H]+.", "HPLC>99%.", "Preparation of 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one (Example Compound 145), 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-4-nitro-1H-benzo[d]imidazol-2-amine (Example Compound 159), 4-Amino-1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 161) and 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N2-ethyl-1H-benzo[d]imidazole-2,4-diamine (Example Compound 160) Step 1: To a mixture of 32 (1.50 g, 6.46 mmol) and 3 (2.16 g, 9.70 mmol) in 1,4-dioxane (40 mL) and water (4 mL) was added potassium carbonate (1.79 g, 12.9 mmol) and tetrakis(triphenylphosphine)palladium(0) (373 mg, 0.32 mmol).", "The reaction was stirred and heated at 90° C. for 17 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (20 g) was added.", "The suspension was concentrated to dryness and the resulting powder was loaded onto silica gel and eluted with 0-50% ethyl acetate in hexanes.", "The clean product was concentrated to give 87 (585 mg, 36%) as a brown solid: 1H NMR (500 MHz, CDCl3) δ 7.62 (d, J=2.0 Hz, 1H), 6.81 (d, J=2.0 Hz, 1H), 6.01 (br s, 2H), 3.52 (br s, 2H), 2.39 (s, 3H), 2.25 (s, 3H).", "Step 2: To a solution of 87 (250 mg, 1.01 mmol), a catalytic amount of DMAP and 1,4-dioxane (4 mL) in a pressure tube was added 1,1′-carbonyldiimidazole (327 mg, 2.01 mmol).", "The tube was sealed and heated to 80° C. for 17 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (10 g) was added.", "The suspension was concentrated to dryness and the resulting powder was loaded onto silica gel (40 g) and eluted with 0-70% ethyl acetate in hexanes.", "The clean product was concentrated to give 88 (167 mg, 60%) as an orange solid: 1H NMR (500 MHz, CDCl3) δ 7.74 (d, J=1.5 Hz, 1H), 7.17 (d, J=1.5 Hz, 1H), 2.43 (s, 3H), 2.28 (s, 3H).", "Step 3: To a solution of 88 (309 mg, 1.13 mmol), potassium carbonate (312 mg, 2.25 mmol), acetonitrile (5 mL) and DMF (2 mL) in a pressure tube was added (bromomethyl)cyclopropane (183 mg, 1.35 mmol) and the reaction was sealed and heated at 80° C. for 17 h. The material was cooled to room temperature and poured into a saturated aq.", "NaCl solution (30 mL).", "Ethyl acetate (100 mL) was added and the layers were separated.", "The ethyl acetate layer was washed with saturated aq.", "NaCl solution (2×100 mL), dried over Na2SO4, filtered and the filtrate was concentrated.", "The resulting oil in CH2Cl2 (10 mL) was loaded onto silica gel (80 g) and eluted with 0-40% ethyl acetate in hexanes.", "The clean product was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 145 (88 mg, 35%) as a yellow solid: 1H NMR (500 MHz, CD3OD) δ 7.82 (d, J=1.5 Hz, 1H), 7.52 (d, J=1.0 Hz, 1H), 3.87 (d, J=7.0 Hz, 2H), 2.45 (s, 3H), 2.29 (s, 3H), 1.30-1.18 (m, 1H), 0.60-0.52 (m, 2H), 0.47-0.43 (m, 2H).", "ESI m/z 329 [M+H]+.", "HPLC>99%.", "Step 4: A solution of Example Compound 145 (171 mg, 0.521 mmol) in phosphorus(V) oxychloride (4 mL) was placed in a sealed tube and heated at 110° C. for 8 h. The solvent was removed in vacuo and a saturated aq.", "NaHCO3 solution (5 mL) was added.", "The mixture was extracted with ethyl acetate (2×20 mL) and the combined extracts were dried over Na2SO4, filtered and the filtrate was concentrated.", "THF (5 mL) and 2.0M ethylamine solution in THF were then added and the reaction was heated at 70° C. for 12 h. The reaction was concentrated to dryness and the residue diluted with CH2Cl2 (5 mL).", "The resulting solution was loaded onto silica gel (40 g) and eluted with 0-80% ethyl acetate in hexanes.", "The clean product was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 159 (105 mg, 57%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 7.78 (d, J=1.5 Hz, 1H), 7.44 (d, J=1.5 Hz, 1H), 4.03 (d, J=6.5 Hz, 2H), 3.67 (q, J=7.0 Hz, 2H), 2.44 (s, 3H), 2.29 (s, 3H), 1.33 (t, J=7.0 Hz, 3H), 1.30-1.18 (m, 1H), 0.60-0.52 (m, 2H), 0.47-0.41 (m, 2H).", "ESI m/z 356 [M+H]+.", "HPLC >99%.", "Step 5:A solution of Example Compound 145 (59 mg, 0.215 mmol) in THF (10 ml) was added a solution of sodium dithionite (225 mg, 1.29 mmol) in water (10 mL) dropwise over 5 min.", "The solution was stirred at room temperature for 16 h and the solvents were removed in vacuo.", "Methanol (20 mL) was added and the suspension stirred at room temperature for 3 h. The mixture was filtered and the filtrate was concentrated to dryness.", "A solution of 2N aq.", "HCl (10 mL) was added to the residue and heated to reflux for 5 min.", "After concentration to dryness, methanol was added (10 mL) and the solution was adjusted to pH 8 using saturated aq.", "NaHCO3 solution (15 mL).", "Silica gel was added (10 g) and the suspension was concentrated to dryness.", "The resulting powder was loaded onto silica gel and eluted with 0-4% methanol in methylene chloride.", "The clean product was then purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 161 (32 mg, 50%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 6.49 (d, J=1.5 Hz, 1H), 6.42 (d, J=1.5 Hz, 1H), 3.75 (d, J=6.5 Hz, 2H), 2.39 (s, 3H), 2.24 (s, 3H), 1.28-1.18 (m, 1H), 0.56-0.48 (m, 2H), 0.44-0.39 (m, 2H).", "ESI m/z 299 [M+H]+.", "HPLC 97.4%.", "Step 6: A solution of Example Compound 159 (90 mg, 0.253 mmol) in THF (10 ml) was added a solution of sodium dithionite (265 mg, 1.52 mmol) in water (10 mL) dropwise over 5 min.", "The solution was stirred at room temperature for 16 h and the solvents were removed in vacuo.", "Methanol (20 mL) was added and the suspension stirred at room temperature for 3 h. The mixture was filtered and the filtrate was concentrated to dryness.", "A solution of 2N aq.", "HCl (10 mL) was added to the residue and heated to reflux for 5 min.", "After concentration to dryness, methanol was added (10 mL) and the solution was adjusted to pH 8 using saturated aq.", "NaHCO3 solution (15 mL).", "Silica gel was added (10 g) and the suspension was concentrated to dryness.", "The resulting powder was loaded onto silica gel and eluted with 0-4% methanol in methylene chloride.", "The clean product was then purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 160 (61 mg, 74%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 6.49 (d, J=1.5 Hz, 1H), 6.37 (d, J=1.5 Hz, 1H), 3.88 (d, J=6.5 Hz, 2H), 3.48 (q, J=7.0 Hz, 2H), 2.39 (s, 3H), 2.24 (s, 3H), 1.28-1.18 (m, 1H), 0.53-0.48 (m, 2H), 0.40-0.35 (m, 2H).", "ESI m/z 326 [M+H]+.", "HPLC>99%.", "Preparation of 4-amino-6-(3,5-dimethylisoxazol-4-yl)-1-(4-hydroxybenzyl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 129) To a solution of Example Compound 104 (54 mg, 0.15 mmol) in dichloromethane (5 mL) under nitrogen atmosphere was added boron tribromide (0.45 mL, 1M in dichloromethane, 0.45 mmol).", "The reaction mixture was stirred at room temperature overnight, treated with methanol, and concentrated in vacuum.", "The residue was dissolved in methanol, basified with ammonium hydroxide, concentrated in vacuum, and purified by chromatography (silica gel, 0-20% methanol in ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to give Example Compound 129 (31 mg, 59%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.17 (d, J=8.6 Hz, 2H), 6.72 (d, J=8.6 Hz, 2H), 6.39 (d, J=1.3 Hz, 1H), 6.26 (d, J=1.3 Hz, 1H), 4.94 (s, 2H), 2.28 (s, 3H), 2.12 (s, 3H); HPLC>99%, tR=11.0 min; ESI m/z 351 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-2-methyl-1H-benzo[d]imidazol-4-ol (Example Compound 173) Step 1: To a solution of 89 (5.00 g, 32.5 mmol) and triethylamine (9.04 mL, 65.0 mmol) in N,N-dimethylformamide (150 mL) was added tert-butylchlorodimethylsilane (5.86 g, 39.0 mmol) at room temperature.", "The reaction mixture was stirred at room temperature for 1 h and diluted with ethyl acetate.", "The mixture was washed with water, brine, dried over sodium sulfate, and filtered.", "The filtrate was concentrated to afford 90 (8.59 g, 98%) as a brown oil: 1H NMR (300 MHz, CDCl3) δ 7.75 (dd, J=1.3, 8.9 Hz, 1H), 6.89 (dd, J=1.2, 7.6 Hz, 1H), 6.53 (dd, J=8.8, 7.6 Hz, 1H), 6.45-6.15 (bs, 2H), 1.03 (s, 9H), 0.28 (s, 6H).", "Step 2: To a solution of 90 (8.59 g, 32.1 mmol) in acetic acid (120 mL) was added N-bromosuccinimide (6.28 g, 35.3 mmol) at room temperature.", "The reaction mixture was stirred at room temperature for 30 min and then concentrated.", "The residue was dissolved in methanol and basified with 5% aqueous sodium bicarbonate.", "The precipitate formed was filtered, washed with water, and dried under vacuum to afford 91 (8.56 g, 76%) as an orange solid: 1H NMR (500 MHz, CDCl3) δ 7.91 (d, J=2.1 Hz, 1H), 6.96 (d, J=2.1 Hz, 1H), 6.50-6.12 (bs, 2H), 1.03 (s, 9H), 0.30 (s, 6H).", "Step 3: To a solution of 91 (5.00 g, 14.4 mmol) in tetrahydrofuran (60 mL) was added platinum on carbon (1.00 g, 5% Pt on carbon).", "The reaction mixture was stirred under hydrogen atmosphere at room temperature overnight.", "The mixture was filtered, washed with MeOH, and the filtrate was concentrated to afford 92 (5.65 g, >99%) as a dark brown oil: 1H NMR (500 MHz, CDCl3) δ 6.51 (d, J=2.0 Hz, 1H), 6.46 (d, J=2.0 Hz, 1H), 3.50-2.50 (bs, 4H), 1.01 (s, 9H), 0.24 (s, 6H); ESI m/z 317 [M+H]+.", "Step 4: To a solution of 92 (2.00 g, 6.31 mmol) in ethanol (50 mL) was added triethylorthoacetate (3.07 g, 18.9 mmol) and sulfamic acid (1 mg, 0.01 mmol).", "The reaction was heated in a sealed tube at 80° C. overnight.", "The mixture was concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to afford 93 (2.07 g, 96%) as a light red solid: 1H NMR (500 MHz, CDCl3) δ 8.75 (s, 1H), 7.45 (s, 1H), 6.78 (s, 1H), 3.61 (s, 3H), 1.03 (s, 9H), 0.28 (s, 6H); ESI m/z 341 [M+H]+.", "Step 5: A mixture of 93 (200 mg, 0.587 mmol), benzyl bromide (150 mg, 0.880 mmol), and potassium bicarbonate (113 mg, 0.822 mmol) in acetonitrile (20 mL) was heated at 45° C. for 2 days.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-30% ethyl acetate in hexanes) to afford 94 (303 mg, 30%) as a brown solid: 1H NMR (500 MHz, CDCl3) δ 7.36-7.26 (m, 3H), 7.01 (d, J=8.2 Hz, 2H), 6.97 (d, J=1.6 Hz, 1H), 6.81 (d, J=1.6 Hz, 1H), 5.22 (s, 2H), 2.50 (s, 3H), 1.05 (s, 9H), 0.30 (s, 6H); ESI m/z 431 [M+H]+.", "Step 6: To a solution of 94 (75 mg, 0.17 mmol) in 1,4-dioxane (10 mL) and water (1 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (58 mg, 0.26 mmol), potassium bicarbonate (70 mg, 0.70 mmol), and tetrakis(triphenylphosphine)palladium(0) (10 mg, 0.0087 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 2h.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to give 95 (53 mg, 70%) as an off-white solid: 1H NMR (500 MHz, CD3OD) δ 7.33 (t,J=6.3 Hz, 2H), 7.27 (t, J=5.1 Hz, 1H), 7.14 (d, J=7.1 Hz, 2H), 6.89 (d, J=1.3 Hz, 1H), 6.58 (d, J=1.3 Hz, 1H), 5.45 (s, 2H), 2.59 (s, 3H), 2.32 (s, 3H), 2.16 (s, 3H), 1.05 (s, 9H), 0.30 (s, 6H); HPLC>99%, tR=16.4 min; ESI m/z 448 [M+H]+.", "Step 7: A mixture of 95 (48 mg, 0.11 mmol) and potassium carbonate (30 mg, 0.22 mmol) in acetonitrile (10 mL) was heated in a sealed tube at 80° C. overnight.", "The reaction mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-20% methanol in ethyl acetate).", "It was further purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to give Example Compound 173 (32 mg, 87%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 9.84 (s, 1H), 7.33 (t, J=7.6 Hz, 2H), 7.26 (t, J=7.3 Hz, 1H), 7.18 (d, J=7.1 Hz, 2H), 6.86 (d, J=1.3 Hz, 1H), 6.47 (d, J=1.3 Hz, 1H), 5.42 (s, 2H), 2.52 (s, 3H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 334 [M+H]+.", "Preparation of 4-Amino-1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2(3H)-thione (Example Compound 177) A mixture of Example Compound 16 (34 mg, 0.10 mmol) and Lawesson's reagent (202 mg, 0.5 mmol) was heated to 180° C. in microwave reactor for 2 h. The mixture was concentrated, the residue was purified by chromatography (silica gel, 0-40% EtOAc/hexanes) followed by chromatography (C18, 10-70% CH3CN/water) to give Example Compound 177 (13 mg, 37%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 12.56 (s, 1H), 7.45-7.42 (m, 2H), 7.34-7.25 (m, 3H), 6.44 (d, J=1.2 Hz, 1H), 6.39 (d, J=1.5 Hz, 1H), 5.44 (s, 4H), 2.29 (s, 3H), 2.11 (s, 3H); ESI m/z 351 [M+H]+.", "HPLC 98.6% Preparation of 1-benzyl-3-methyl-6-(1-methyl-1H-pyrazol-5-yl)-4-nitro-1H-benzo[d]imidazol-2(3H)-one (Example Compound 198) and 4-amino-1-benzyl-3-methyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-benzo[d]imidazol-2(3H)-one (Example Compound 199) Compound 96 was prepared by following the similar method for the preparation of Example Compound 15 using 1-methyl-5-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)-1H-pyrazole.", "Step 1: A mixture of 96 (70 mg, 0.20 mmol), CH3I (85 mg, 0.60 mmol) and K2CO3 (110 mg, 0.8 mmol) in DMF (3 mL) was stirred at rt for 16 h. The reaction mixture was diluted with EtOAc (100 mL) and washed with brine (3×50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 20-70% ethyl acetate/hexanes) to afford Example Compound 198 (50 mg, 68%) as a yellow solid: 1H NMR (300 MHz, CDCl3) δ 7.66 (d, J=1.5 Hz, 1H), 7.50 (d, J=1.8 Hz, 1H), 7.36-7.30 (m, 5H), 7.02 (d, J=1.5 Hz, 1H), 6.27 (d, J=1.2 Hz, 1H), 5.16 (s, 2H), 3.69 (s, 3H), 3.65 (s, 3H); ESI m/z 364 [M+H]+.", "Step 2: To a solution of Example Compound 198 (45 mg, 0.12 mmol) in THF (5 mL) and water (4 mL) was added Na2S2O4 (129 mg, 0.74 mmol).", "The mixture was stirred at rt for 4 h, 2N HCl (1 mL) was added, the mixture was heated to reflux for 15 minutes then cooled to rt.", "Na2CO3 was added slowly to adjust to pH 9.The mixture was extracted with CH2Cl2 (100 mL), the organic layer was washed with brine (50 mL), filtered, concentrated and purified by chromatography (silica gel, 0-10% methanol/ethyl acetate) to afford Example Compound 199 (37 mg, 90%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.39 (d, J=1.8 Hz, 1H), 7.35-7.24 (m, 5H), 6.56 (d, J=1.5 Hz, 1H), 6.54 (d, J=1.5 Hz, 1H), 6.20 (d, J=1.8 Hz, 1H), 5.15 (s, 2H), 5.01 (s, 2H), 3.72 (s, 3H), 3.63 (s, 3H); ESI m/z 334 [M+H]+.", "Preparation of 4-(1-benzyl-2-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 220) To a solution of 28 (100 mg, 0.34 mmol) and tetrahydro-2H-pyran-4-carboxylic acid (65 mg, 0.51 mmol) in CH2Cl2 was added EDC (131 mg, 0.68 mmol), i-Pr2NEt (132 mg, 1.02 mmol) and DMAP (10 mg).", "The reaction mixture was stirred at rt for 16 h. The mixture was diluted with EtOAc (100 mL), washed with brine (50 mL) and saturated NaHCO3 (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was dissolved in AcOH (2 mL) and heated to reflux for 5 h. The mixture was concentrated, the residue was dissolved in EtOAc (100 mL), washed with saturated NaHCO3 (2×50 mL) and brine (50 mL).", "The organic layer was dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-10% MeOH/EtOAc) to give Example Compound 220 (47 mg, 36%) as a light brown solid: 1H NMR (300 MHz, CDCl3) δ 8.41 (d, J=1.8 Hz, 1H), 7.38-7.32 (m, 3H), 7.24 (d, J=2.1 Hz, 1H), 7.08-7.05 (m, 2H), 5.42 (s, 2H), 4.12 (dd, J=11.7, 1.8 Hz, 2H), 3.52 (td, J=11.7, 1.8 Hz, 2H), 3.20-3.12 (m, 1H), 2.36-2.23 (m, 5H), 2.14 (s, 3H), 1.83-1.78 (m, 2H); ESI m/z 389 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-methyl-1H-imidazo[4,5-b]pyridine-2-carboxamide (Example Compound 221) A mixture of 28 (300 mg, 1.02 mmol) and methyl 2,2,2-trimethoxyacetate (1.5 mL) was heated to 120° C. for 16 h. The mixture was purified by chromatography (silica gel, 20-80% EtOAc/hexanes) to give a brown solid.", "The solid was dissolved in CH3NH2/THF (2 M) (3 mL) and heated 80° C. for 16 h. The mixture was concentrated, the residue was purified by chromatography (C18, 10-70% CH3CN/water) to give Example Compound 221 (45 mg, 12%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 8.31 (q, J=4.5 Hz, 1H), 8.27 (d, J=1.8 Hz, 1H), 7.54 (d, J=1.8 Hz, 1H), 7.36-7.24 (m, 5H), 5.54 (s, 2H), 3.00 (d, J=4.8 Hz, 3H), 2.21 (s, 3H), 2.00 (s, 3H); ESI m/z 362 [M+H]+.", "Preparation of 1-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 171) Step 1: To a solution of 85 (1.14 g, 4.09 mmol) in 1,4-dioxane (41 mL) was added 1,1′-carbonyldiimidazole (796 mg, 4.91 mmol).", "The reaction mixture was heated at 110° C. for 16 h. The reaction mixture was concentrated.", "Purification by chromatography (silica gel, 0-100% ethyl acetate/hexanes) afforded 97 (1.03 g, 83%) as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 11.89 (s, 1H), 8.00 (d, J=2.0 Hz, 1H), 7.68 (d, J=2.0 Hz, 1H), 7.37-7.32 (m, 4H), 7.30-7.26 (m, 1H), 5.02 (s, 2H).", "Step 2: To a solution of 97 (334 mg, 1.09 mmol) in 1,4-dioxane (11 mL) was added 1-methyl-1H-pyrazole-5-boronic acid pinacol ester (457 mg, 2.20 mmol), sodium carbonate (1.0 M in H2O, 3.29 mL, 3.29 mmol) and tetrakis(triphenylphosphine)palladium(0) (127 mg, 0.1 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 32 h. The mixture was diluted with methylene chloride (80 mL), washed with brine (40 mL), dried over sodium sulfate, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-5% methanol/methylene chloride) followed by trituration with EtOAc to afford Example Compound 171 (173 mg, 52%) as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 11.87 (s, 1H), 8.04 (d, J=1.5 Hz, 1H), 7.57 (d, J=1.5, 1H), 7.46 (d, J=2.0 Hz, 1H), 7.38 (d, J=7.5 Hz, 2H), 7.34 (t, J=7.5 Hz, 2H), 7.27 (t, J=7.0 Hz, 1H), 6.37 (d, J=1.5 Hz, 1H), 5.06 (s, 2H), 3.77 (s, 3H); ESI m/z 306 [M+H]+.", "Preparation of N-(1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-yl)acetamide (Example Compound 99) A solution of Example Compound 39 (100 mg, 0.29 mmol) in EtOH (3 mL) and AcOH (1 mL) was added iron powder (162 mg, 2.9 mmol).", "The reaction mixture was heated at 80° C. for 1 h. It was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-5% methanol/dichloromethane) afforded Example Compound 99 (28 mg, 27%) as a red solid: 1H NMR (300 MHz, DMSO-d6) δ 10.2 (s, 1H), 8.32 (d, J=1.8 Hz, 1H), 8.23 (s, 1H), 7.97 (d, J=1.8 Hz, 1H), 7.32-7.25 (m, 5H), 5.45 (s, 2H), 2.40 (s, 3H), 2.22 (s, 3H), 2.12 (s, 3H); ESI MS m/z 361 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-pyrrolo[3,2-b]pyridin-3-amine (Example Compound 100) To a solution of Example Compound 39 (100 mg, 0.29 mmol) in EtOH (3 mL) and H2504 (0.5 mL) was added iron powder (162 mg, 2.9 mmol).", "The reaction mixture was heated at 80° C. for 1 h. It was diluted with EtOH (20 mL), adjusted to pH 7 by 6 N aq.", "NaOH.", "The mixture was filtered through a layer of Celite and the filtrate was concentrated.", "Purification by chromatography (silica gel, 0-5% methanol/dichloromethane) afforded Example Compound 100 (12 mg, 13%) as a red solid: 1H NMR (300 MHz, DMSO-d6) δ 8.18 (d, J=1.8 Hz, 1H), 7.82 (d, J=1.8 Hz, 1H), 7.33-7.21 (m, 5H), 7.06 (s, 1H), 5.30 (s, 2H), 4.26 (s, 2H), 2.37 (s, 3H), 2.21 (s, 3H); ESI MS m/z 319 [M+H]+.", "Preparation of 4-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3,4-dihydroquinoxalin-2(1H)-one (Example Compound 156) Step 1: 4-Bromo-2-fluoro-1-nitrobenzene (1.00 g, 4.54 mmol), ethyl 2-(benzylamino)acetate (0.87 g, 4.5 mmol), and potassium carbonate (0.78 g, 5.7 mmol) in ethanol (15 mL) and water (11 mL) were heated at 85° C. for 10 h then stirred at rt for 8 h. The reaction mixture was diluted with water and brine then washed with methylene chloride.", "The resultant aqueous layer was filtered to afford 99 as an orange solid (1.28 g, 72%): 1H NMR (300 MHz, DMSO-d6): δ 7.57 (d, J=8.6 Hz, 1H), 7.37-7.21 (m, 6H), 6.97 (dd, J=8.7, 2.0 Hz, 1H), 4.52 (s, 2H), 3.40 (s, 2H).", "Step 2: To a solution of 99 (1.28 g, 3.51 mmol) in acetic acid (14 mL) at rt was added iron (470 mg, 8.4 mmol) and the resultant slurry was heated to 90° C. for 2.25 h. The mixture was cooled to rt and filtered through Celite, rinsing with methylene chloride.", "The filtrate was concentrated in vacuo and the resultant oil was partitioned between methylene chloride and saturated aqueous sodium bicarbonate.", "The aqueous layer was extracted with methylene chloride and the combined organic layers were dried with sodium sulfate, concentrated in vacuo, and purified by flash column chromatography (silica gel, 0-100% ethyl acetate/methylene chloride) to afford 100 as a white solid (430 mg, 39% yield): 1H NMR (300 MHz, CDCl3) δ 8.74 (br s, 1H), 7.39-7.26 (m, 5H), 6.89-6.85 (m, 2H), 6.62 (d, J=8.0 Hz, 2H), 4.39 (s, 2H), 3.80 (s, 2H).", "Step 3: Using the similar procedure used for Example Compound 7 step 1 on compound 100 afforded Example Compound 156 as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 10.58 (s, 1H), 7.38-7.34 (m, 4H), 7.30-7.23 (m, 1H), 6.87 (d, J=7.9 Hz, 1H), 6.65 (d, J=7.9 Hz, 1H), 6.51 (s, 1H), 4.46 (s, 2H), 3.86 (s, 2H), 2.15 (s, 3H), 1.97 (s, 3H); ESI m/z 334 [M+H]+.", "Preparation of 4-benzyl-6-(1-methyl-1H-pyrazol-5-yl)-3,4-dihydroquinoxalin-2(1H)-one (Example Compound 166) Using the similar procedure used for Example Compound 7 step 1 on compound 100 afforded Example Compound 166 as a white solid: 1H NMR (500 MHz, DMSO-d6) δ 10.62 (s, 1H), 7.37-7.33 (m, 5H), 7.29-7.25 (m, 1H), 6.90 (d, J=7.9 Hz, 1H), 6.80 (dd, J=7.9, 1.8 Hz, 1H), 6.70 (d, J=1.6 Hz, 1H), 6.18 (d, J=1.8 Hz, 1H), 4.49 (s, 2H), 3.83 (s, 2H), 3.58 (s, 3H); ESI m/z 319 [M+H]+.", "Preparation of (R)-4-benzyl-6-(3,5-dimethylisoxazol-4-yl)-3-methyl-3,4-dihydroquinoxalin-2(1H)-one (Example Compound 174) Step 1: 4-Bromo-2-fluoro-1-nitrobenzene (0.50 g, 2.3 mmol), (R)-methyl 2-(benzylamino)propanoate (0.55 g, 2.3 mmol), and potassium carbonate (0.47 g, 3.4 mmol) in ethanol (8 mL) and water (6 mL) were heated at 85° C. for 10 h then stirred at rt for 8 h. The reaction mixture was diluted with water and filtered.", "The pH of the filtrate was adjusted to ˜4 with 6N aqueous HCl and the resultant slurry was re-filtered to afford 101 as a sticky orange solid (not weighed; used directly in the next step).", "Step 2: Using the similar procedure used for Example Compound 156 step 2 on compound 101 afforded compound 102 as a white solid (430 mg, 39% yield): 1H NMR (500 MHz, DMSO-d6) δ 10.57 (br s, 1H), 7.39-7.25 (m, 5H), 6.87-6.66 (m, 3H), 4.60 (d, J=15.5 Hz, 1H), 4.29 (d, J=15.2 Hz, 1H), 3.85 (q, J=6.9 Hz, 1H), 1.08 (d, J=6.7 Hz, 3H).", "Step 3: Using the similar procedure used for Example Compound 156 step 3 on compound 102 afforded Example Compound 174 as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 10.53 (s, 1H), 7.37-7.32 (m, 4H), 7.26-7.23 (m, 1H), 6.88 (d, J=7.9 Hz, 1H), 6.66 (dd, J=7.9, 1.7 Hz, 1H), 6.42 (d, J=1.5 Hz, 1H), 4.54 (d, J=15.6 Hz, 1H), 4.37 (d, J=15.7 Hz, 1H), 3.98 (q, J=6.7 Hz, 1H), 2.11 (s, 3H), 1.93 (s, 3H), 1.12 (d, J=6.7 Hz, 3H); ESI m/z 348 [M+H]+.", "Preparation of 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 118) and 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-ethyl-1H-imidazo[4,5-b]pyridin-2-amine (Example Compound 131) Step 1: To a stirred solution of 26 (2.00 g, 10.6 mmol) in dry CH2Cl2 (50 mL) was added glacial acetic acid (0.61 mL, 10.8 mmol) and cyclopropanecarboxaldehyde (0.81 mL, 12.3 mmol).", "The solution was stirred at room temperature for 1 h and was cooled to 0° C. Sodium borohydride (1.21 g, 31.8 mmol) was added carefully and the reaction was allowed to warm to room temperature.", "After stirring at ambient temperature for 15 h, saturated aq.", "NaHCO3 (20 mL) was added to basify and then the mixture was extracted with CH2Cl2 (2×100 mL).", "The combined methylene chloride layers were dried over Na2SO4, filtered and the filtrate was concentrated to a brown residue.", "The residue was diluted with CH2Cl2 (20 mL), the solution was loaded onto silica gel (120 g) and eluted with 0-70% ethyl acetate in hexanes to afford 103 (330 mg, 13%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 7.62 (d, J=2.0 Hz, 1H), 6.83 (d, J=1.5 Hz, 1H), 4.17 (br s, 2H), 3.39 (br s, 1H), 2.90 (d, J=5.0 Hz, 1H), 2.89 (d, J=5.0 Hz, 1H), 1.19-1.07 (m, 1H), 0.63-0.56 (m, 2H), 0.27-0.22 (m, 2H).", "Step 2: To a mixture of 103 (300 mg, 1.24 mmol) and 3 (415 mg, 1.86 mmol) in 1,4-dioxane (10 mL) and water (2.5 mL) was added potassium carbonate (343 mg, 2.48 mmol) and tetrakis(triphenylphosphine)palladium(0) (76 mg, 0.062 mmol).", "The reaction was stirred and heated at 90° C. for 17 h. The mixture was diluted with methanol (20 mL) and silica gel (10 g) was added.", "The suspension was concentrated to dryness and the resulting powder was loaded onto silica gel (80 g) and eluted with 0-80% ethyl acetate in hexanes.", "The clean product was concentrated to give 104 (312 mg, 97%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 7.48 (d, J=1.5 Hz, 1H), 6.61 (d, J=1.5 Hz, 1H), 4.27 (br s, 2H), 3.39 (br s, 1H), 2.92 (t, J=6.0 Hz, 2H), 2.38 (s, 3H), 2.24 (s, 3H), 1.18-1.09 (m, 1H), 0.63-0.56 (m, 2H), 0.28-0.22 (m, 2H).", "Step 3: To a solution of 104 (310 mg, 1.20 mmol), a catalytic amount of DMAP and 1,4-dioxane (4 mL) in a pressure tube was added 1,1′-carbonyldiimidazole (390 mg, 2.40 mmol).", "The tube was sealed and heated to 80° C. for 2 h. The reaction mixture was diluted with methanol (20 mL) and silica gel (10 g) was added.", "The suspension was concentrated to dryness and the resulting powder was loaded onto silica gel (40 g) and eluted with 0-80% ethyl acetate in hexanes.", "The clean product was concentrated to give 1-(cyclopropylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (275 mg, 81%) as a yellow solid.", "A 50 mg sample was then purified by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 118 (37 mg) as a white solid: 1H NMR (500 MHz, CD3OD) δ 7.90 (d, J=1.5 Hz, 1H), 7.50 (d, J=1.5 Hz, 1H), 3.81 (d, J=7.0 Hz, 2H), 2.42 (s, 3H), 2.26 (s, 3H), 1.31-1.20 (m, 1H), 0.60-0.53 (m, 2H), 0.44-0.38 (m, 2H); ESI m/z 285 [M+H]+.", "Step 4: A solution of Example Compound 118 (220 mg, 0.774 mmol) in phosphorus(V) oxychloride (3 mL) was placed in a sealed tube and heated at 110° C. for 6 h. The solvent was removed in vacuo and a saturated aq.", "NaHCO3 solution (5 mL) was added.", "The mixture was extracted with ethyl acetate (2×20 mL) and the combined extracts were dried over Na2SO4, filtered and the filtrate was concentrated.", "THF (5 mL) and 2.0 M ethylamine solution in THF (6 mL, 12.0 mmol) were then added and the reaction was heated at 70° C. for 17 h. The reaction was concentrated to dryness and the residue diluted with CH2Cl2 (5 mL).", "The resulting solution was loaded onto silica gel (40 g) and eluted with 0-80% ethyl acetate in hexanes.", "The clean product was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 131 (91 mg, 38%) as a white solid: 1H NMR (500 MHz, CDCl3) δ 7.93 (d, J=2.0 Hz, 1H), 7.48 (d, J=1.5 Hz, 1H), 3.98 (d, J=6.5 Hz, 2H), 3.57 (q, J=7.0 Hz, 2H), 2.42 (s, 3H), 2.26 (s, 3H), 1.30 (t, J=7.0 Hz, 3H), 1.29-1.19 (m, 1H), 0.59-0.52 (m, 2H), 0.45-0.39 (m, 2H); ESI m/z 312 [M+H]+.", "Preparation of 4-(1-(cyclohexylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 191), 4-(1-(cyclopentylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 192) and 4-(1-(cyclobutylmethyl)-2-methyl-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 193) Step 1: A mixture of 2,3-diamino-5-bromopyridine (10.0 g, 0.053 mol), cyclohexanecarboxaldehyde (6.08 g, 0.054 mol) and glacial acetic acid (3.05 mL) in dry CH2Cl2 (250 mL) was stirred for 1.5 h at room temperature.", "Sodium borohydride (6.06 g, 0.159 mol) was added portionwise over 20 min and the mixture was stirred for 17 h at room temperature.", "Saturated aq.", "NaHCO3was added until the mixture reached pH 8 (70 mL) and the aqueous layer extracted with CH2Cl2 (100 mL).", "The combined CH2Cl2 layers were combined, washed with water (500 mL), dried over Na2SO4, filtered and concentrated.", "The brown solid was taken up in methanol (100 mL) and silica gel (40 g) was added.", "The suspension was concentrated to dryness and the material was purified by chromatography (silica gel, 0-50% EtOAc/hexane then 0-10% Et0Ac/CH2C12) to afford 105a (1.30 g, 9%) as a brown-gray solid: 1H NMR (500 MHz, CDCl3) δ 7.60 (d, J=2.0 Hz, 1H), 6.85 (d, J=2.0 Hz, 1H), 4.11 (br s, 2H), 3.28 (br s, 1H), 2.88 (d, J=5.0 Hz, 2H), 1.88-1.64 (m, 4H), 1.70-1.52 (m, 1H), 1.38-1.15 (m, 4H), 1.10-0.96 (m, 2H).", "105b was prepared starting with cyclopentanecarbaldehyde (14% yield; brown-gray solid): 1H NMR (500 MHz, CDCl3) δ 7.60 (d, J=2.0 Hz, 1H), 6.86 (d, J=2.0 Hz, 1H), 4.14 (br s, 2H), 3.28 (br s, 1H), 2.99-2.93 (m, 2H), 2.23-2.11 (m, 1H), 1.88-1.71 (m, 2H), 1.70-1.53 (m, 4H), 1.32-1.23 (m, 2H).", "105c was prepared starting with cyclobutanecarbaldehyde (15% yield; brown-gray solid): 1H NMR (500 MHz, CDCl3) δ 7.61 (d, J=2.0 Hz, 1H), 6.86 (d, J=2.0 Hz, 1H), 4.12 (br s, 2H), 3.14 (br s, 1H), 3.09-3.02 (m, 2H), 2.67-2.52 (m, 1H), 2.18-2.11 (m, 2H), 2.07-1.86 (m, 2H), 1.80-1.71 (m, 2H).", "Step 2: To a mixture of 105a (500 mg, 1.76 mmol), 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (589 mg, 2.64 mmol), potassium carbonate (487 mg, 3.52 mmol), water (4 mL) and 1,4-dioxane (16 mL) was added tetrakis(triphenylphosphine)palladium (0) and the mixture was heated to 90° C. for 17 h. The two phase mixture was diluted with methanol (20 mL) and silica gel was added.", "After concentrating to dryness the material was purified by chromatography (silica gel, 0-80% EtOAc/hexane) to afford 106a (551 mg, 99%) as a brown solid: 1H NMR (500 MHz, CDCl3) δ 7.47 (d, J=2.0 Hz, 1H), 6.62 (d, J=2.0 Hz, 1H), 4.25 (br s, 2H), 3.34 (br s, 1H), 2.92 (t, J=6.0 Hz, 2H), 2.38 (s, 3H), 2.25 (s, 3H), 1.88-1.67 (m, 4H), 1.67-1.56 (m, 1H), 1.33-1.19 (m, 4H), 1.10-0.96 (m, 2H).", "106b was prepared starting with 105b (96% yield; brown-gray solid): 1H NMR (500 MHz, CDCl3) δ 7.47 (d, J=1.5 Hz, 1H), 6.64 (d, J=1.5 Hz, 1H), 4.25 (br s, 2H), 3.28 (br s, 1H), 2.99 (t, J=6.0 Hz, 1H), 2.38 (s, 3H), 2.24 (s, 3H), 2.24-2.17 (m, 1H), 1.90-1.81 (m, 2H), 1.72-1.55 (m, 4H), 1.38-1.22 (m, 2H).", "106c was prepared starting with 105c (95% yield; brown-gray solid): 1H NMR (500 MHz, CDCl3) δ 7.65 (d, J=1.5 Hz, 1H), 6.64 (d, J=2.0 Hz, 1H), 4.26 (br s, 2H), 3.18 (br s, 1H), 3.09 (t, J=6.0 Hz, 1H), 2.67-2.58 (m, 1H), 2.20-2.12 (m, 2H), 2.02-1.86 (m, 2H), 1.82-1.72 (m, 2H).", "Step 3: A solution of 106a (100 mg, 0.33 mmol), triethylorthoacetate (5 mL) and glacial acetic acid (0.10 mL) was heated in a sealed tube for 24 hours at 80° C. The mixture was evaporated to dryness and methanol (10 mL), saturated aq.", "NaHCO3 (5 ml) and silica gel (10 g) were added.", "After concentrating to dryness the resulting powder was loaded onto silica gel and eluted with 0-5% methanol in methylene chloride.", "The clean product was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 191 (56 mg, 52%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J=1.5 Hz, 1H), 7.96 (d, J=2.0 Hz, 1H), 4.14 (d, J=7.5 Hz, 2H), 2.69 (s, 3H), 2.44 (s, 3H), 2.28 (s, 3H), 1.95-1.82 (m, 1H), 1.76-1.50 (m, 5H), 1.29-1.07 (m, 5H); ESI m/z 325 [M+H]+.", "Starting with 106b, Example Compound 192 (31 mg, 29%) was prepared as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J=2.0 Hz, 1H), 7.98 (d, J=2.0 Hz, 1H), 4.26 (d, J=8.0 Hz, 2H), 2.71 (s, 3H), 2.49-2.38 (m, 1H), 2.44 (s, 3H), 2.28 (s, 3H), 1.80-1.68 (m, 4H), 1.66-1.57 (m, 2H), 1.40-1.27 (m, 2H); ESI m/z 311 [M+H]+.", "Starting with 106c, Example Compound 193 (33 mg, 30%) was prepared as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J=1.5 Hz, 1H), 8.00 (d, J=1.5 Hz, 1H), 4.33 (d, J=7.0 Hz, 2H), 2.92-2.80 (m, 1H), 2.70 (s, 3H), 2.45 (s, 3H), 2.28 (s, 3H), 2.10-1.98 (m, 2H), 1.96-1.81 (m, 4H); ESI m/z 297 [M+H]+.", "Preparation of 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 202) and 1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2(3H)-one (Example Compound 203) A solution of 106b (1.30 g, 4.54 mmol), 1,1′-carbonyldiimidazole (1.47 g) and N,N-dimethylaminopyridine (5 mg) in 1,4-dioxane (16 mL) was heated at 80° C. for 2 h and cooled to room temperature.", "To the mixture was added silica gel (10 g) and methanol (20 mL) and the suspension was concentrated to a dry powder.", "This material was loaded onto silica gel (80 g) and eluted with 0-90% ethyl acetate in hexanes to give 1.08 g (76%) of Example Compound 202 as a yellow solid.", "A 100 mg sample of the product was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 202 as a white solid: 1H NMR (500 MHz, CD3OD) δ 7.90 (d, J=1.5 Hz, 1H), 7.47 (d, J=2.0 Hz, 1H), 3.86 (d, J=7.5 Hz, 2H), 2.52-2.38 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 1.78-1.68 (m, 4H), 1.60-1.52 (m, 2H), 1.41-1.30 (m, 2H); ESI m/z 313 [M+H]+.", "Starting with 106c, Example Compound 203 (76% yield, white solid) was synthesized in a similar procedure as Example Compound 202: 1H NMR (500 MHz, CD3OD) δ 7.89 (d, J=1.5 Hz, 1H), 7.46 (d, J=2.0 Hz, 1H), 3.94 (d, J=7.0 Hz, 2H), 2.86-2.77 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 2.08-1.98 (m, 2H), 1.94-1.80 (m, 4H); ESI m/z 299 [M+H]+.", "Preparation of 4-(1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine (Example Compound 208) and 4-(2-(azetidin-1-yl)-1-(cyclopentylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example Compound 209) A solution of Example Compound 202 (175 mg, 0.56 mmol) and phosphorus(V) oxychloride (4 mL) was heated to 110° C. for 17 h. The reaction was concentrated in vacuo and saturated aq.", "NaHCO3 (5 mL) and ethyl acetate (20 mL) were added.", "The ethyl acetate layer was separated, dried over Na2SO4, filtered and the filtrate was concentrated to a dark yellow solid.", "The solid was dissolved in THF (5 mL) and morpholine (732 mg, 8.40 mmol) was added.", "The stirred solution was heated to 70° C. for 17 h. To the cooled mixture was added silica gel (5 g) and methanol (20 mL) and the suspension was concentrated to a dry powder.", "This material was loaded onto silica gel (40 g) and eluted with 0-3% methanol in methylene chloride to give 143 mg (67%) of product as an off-white solid.", "The product sample was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example Compound 208 as a white solid: 1H NMR (500 MHz, CD3OD) δ 8.17 (d, J=1.5 Hz, 1H), 7.81 (d, J=2.0 Hz, 1H), 4.14 (d, J=7.5 Hz, 2H), 3.87 (t, J=5.0 Hz, 4H), 3.41 (t, J=5.0 Hz, 4H), 2.58-2.49 (m, 1H), 2.43 (s, 3H), 2.27 (s, 3H), 1.75-1.66 (m, 2H), 1.62-1.50 (m, 4H), 1.30-1.19 (m, 2H).", "ESI m/z 382 [M+H]+.", "Example Compound 209 was synthesized using a similar procedure as was used for Example Compound 208; Example Compound 209 was collected as a white solid (166 mg, 84%): 1H NMR (500 MHz, CD3OD) δ 8.00 (d, J=1.5 Hz, 1H), 7.59 (d, J=1.5 Hz, 1H), 4.42-4.37 (m, 4H), 4.01 (d, J=8.0 Hz, 2H), 2.57-2.44 (m, 2H), 2.50-2.41 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 1.76-1.51 (m, 6H), 1.32-1.22 (m, 2H).", "ESI m/z 352 [M+H]+.", "Preparation of 4-(1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-1H-imidazo[4,5-b]pyridin-2-yl)morpholine (Example 210) and 4-(2-(azetidin-1-yl)-1-(cyclobutylmethyl)-1H-imidazo[4,5-b]pyridin-6-yl)-3,5-dimethylisoxazole (Example 211) Example 210 and Example 211 were synthesized using a similar procedure as was used for Example 208.Example 210 collected as white solid (176 mg, 82% yield): 1H NMR (500 MHz, CD3OD) δ 8.16 (d, J=1.5 Hz, 1H), 7.80 (d, J=2.0 Hz, 1H), 4.24 (d, J=7.0 Hz, 2H), 3.88 (t, J=5.0 Hz, 4H), 3.41 (t, J=5.0 Hz, 4H), 2.93-2.82 (m, 1H), 2.43 (s, 3H), 2.27 (s, 3H), 1.98-1.91 (m, 2H), 1.90-1.76 (m, 4H).", "ESI m/z 368 [M+H]+.", "Example 211 collected as white solid (180 mg, 91% yield): 1H NMR (500 MHz, CD3OD) δ 7.99 (d, J=2.0 Hz, 1H), 7.61 (d, J=2.0 Hz, 1H), 4.38 (m, 4H), 4.10 (d, J=7.0 Hz, 2H), 2.88-2.79 (m, 1H), 2.57-2.48 (m, 2H), 2.41 (s, 3H), 2.25 (s, 3H), 2.04-1.95 (m, 2H), 1.95-1.78 (m, 4H).", "ESI m/z 338 [M+H]+.", "Preparation of 1-(cyclopentylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine (Example 222) A solution of Example 202 (175 mg, 0.56 mmol) and phosphorus (V) oxychloride (4 mL) was heated to 110° C. for 17 h. The reaction was concentrated in vacuo and saturated aq.", "NaHCO3 (5 mL) and ethyl acetate (20 mL) were added.", "The ethyl acetate layer was separated, dried over Na2SO4, filtered and the filtrate was concentrated to a dark yellow solid.", "The solid was dissolved in propionitrile (5 mL) and 4-aminotetrahydropyran (283 mg, 28.0 mmol) was added.", "The stirred solution was heated to 180° C. in a microwave reactor for 6 h. To the cooled mixture was added silica gel (10 g) and methanol (20 mL) and the suspension was concentrated to a dry powder.", "This material was loaded onto silica gel (40 g) and eluted with 0-3% methanol in methylene chloride to give a yellow solid.", "The material was then purified by reverse phase HPLC on a Polaris column eluting with 10-90% CH3CN in H2O and the clean fractions were frozen and lyophilized to give Example 222 (70 mg, 31%) as a white solid: 1H NMR (500 MHz, CD3OD) δ 7.94 (d, J=1.5 Hz, 1H), 7.50 (d, J=2.0 Hz, 1H), 4.17-4.05 (m, 1H), 4.05 (d, J=8.0 Hz, 2H), 4.02-3.97 (m, 2H), 3.57 (t, J=11.75 Hz, 2H), 2.44-2.36 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 2.08-2.00 (m, 2H), 1.78-1.64 (m, 6H), 1.62-1.54 (m, 2H), 1.38-1.25 (m, 2H).", "ESI m/z 396 [M+H]+.", "Preparation of 1-(cyclobutylmethyl)-6-(3,5-dimethylisoxazol-4-yl)-N-(tetrahydro-2H-pyran-4-yl)-1H-imidazo[4,5-b]pyridin-2-amine (Example Compound 223) Example Compound 223 was synthesized using a similar procedure as was used for Example Compound 222.Example Compound 223 collected as white solid (45 mg, 20% yield): 1H NMR (500 MHz, CD3OD) δ 7.93 (d, J=2.0 Hz, 1H), 7.52 (d, J=2.0 Hz, 1H), 4.17-4.05 (m, 1H), 4.10 (d, J=7.5 Hz, 2H), 4.03-3.97 (m, 2H), 3.56 (t, J=11.75 Hz, 2H), 2.86-2.78 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 2.08-1.92 (m, 8H), 1.75-1.64 (m, 2H).", "ESI m/z 382 [M+H]+.", "Preparation of 4-(1-benzyl-7-methoxy-2-(trifluoromethyl)-1H-benzo[d]imidazol-6-yl)-3,5-dimethylisoxazole (Example Compound 241) Step 1: To a solution of 107 (136 mg, 0.627 mmol) in THF (6 mL) was added di-tert-butyl dicarbonate (137 mg, 0.627 mmol) and the reaction was stirred at rt for 16 h. The reaction was then concentrated and the residue was purified by chromatography (silica gel, 0-25% ethyl acetate/hexanes) to afford an off-white solid which was dissolved in CH2Cl2 (3 mL), benzaldehyde in CH2Cl2 (2 mL) was added followed by AcOH (2 drops).", "The reaction was stirred at rt for 1 h and NaBH(OAc)3 (283 mg, 1.34 mmol) was added.", "The reaction was then stirred at rt for 16 h. The reaction was quenched with saturated NaHCO3 and extracted with CH2Cl2 (2×50 mL).", "The combined organics were dried with Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-30% ethyl acetate/hexanes) to afford 108 (97 mg, 38%) as an off-white solid: 1H NMR (500 MHz, DMSO-d6) δ 8.43 (s, 1H), 7.32-7.26 (m, 4H), 7.23-7.00 (m, 1H), 6.95 (s, 2H), 4.87 (t, J=6.9 Hz, 1H), 4.31 (d, J=6.9 Hz, 2H), 3.64 (s, 3H), 1.42 (s, 9H).", "Step 2: To a solution of 108 (135 mg, 0.332 mmol) in CH2Cl2 (5 mL) at 0° C. was added TFA (0.51 mL, 6.63 mmol) and the reaction was warmed to room temperature and stirred for 16 h. The reaction was then concentrated to afford 109 (114 mg, 90%): ESI m/z 385 [M+H]+.", "Step 3:Using the procedure used in General Procedure B step 1 starting with compound 109 (114 mg, 0.296 mmol) afforded Example Compound 241 (45 mg, 38%) as an off white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.72 (d, J=8.4 Hz, 1H), 7.36-7.26 (m, 4H), 7.03-7.00 (m, 2H), 5.81 (s, 2H), 3.13 (s, 3H), 2.27 (s, 3H), 2.09 (s, 3H); ESI m/z 402 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2-carboximidamide (Example Compound 243) and 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-1H-benzo[d]imidazole-2-carboxamide (Example Compound 244) Step 1: To a solution of 20 (3.00 g, 10.8 mmol) in 1,4-dioxane (60 mL) and water (6 mL) was added 3,5-dimethyl-4-(4,4,5,5-tetramethyl-1,3,2-dioxaborolan-2-yl)isoxazole (2.90 g, 13.0 mmol), tetrakis(triphenylphosphine)palladium(0) (624 mg, 0.54 mmol) and potassium carbonate (2.98 g, 21.6 mmol).", "The reaction mixture was purged with nitrogen and heated at 90° C. for 18 h. The mixture was cooled to room temperature, concentrated and purified by chromatography (silica gel, 0-20% ethyl acetate in hexanes) to afford 110 (3.18 g, 99%) as a yellow solid: 1H NMR (500 MHz, CDCl3) δ 7.38 (d, J=8.3 Hz, 2H), 7.34 (t, J=7.3 Hz, 2H), 7.28 (t, J=7.1 Hz, 1H), 6.78 (d, J=7.8 Hz, 1H), 6.55 (dd, J=1.8, 7.7 Hz, 1H), 6.43 (d, J=1.8 Hz, 1H), 4.35 (s, 2H), 3.88 (s, 1H), 3.42 (s, 2H), 2.23 (s, 3H), 2.11 (s, 3H); ESI m/z 294 [M+H]+.", "Step 1: To a solution of 110 (100 mg, 0.34 mmol) in acetic acid (2 mL) was added methyl 2,2,2-trichloroacetimidate (66 mg, 0.38 mmol) at room temperature.", "The reaction mixture was stirred at room temperature for 1 h and then water was added.", "The precipitate formed was collected by filtration, the filter cake was washed with water, and dried under vacuum at 40° C. to afford 111 (110 mg, 77%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 7.93 (dd, J=0.4, 8.4 Hz, 1H), 7.40-7.25 (m, 4H), 7.19-7.11 (m, 3H), 5.96 (s, 2H), 2.21 (s, 3H), 2.03 (s, 3H); ESI m/z 422 [M+H]+.", "Step 2: To a solution of 111 (100 mg, 0.238 mmol) in ethanol (1 mL) was added concentrated ammonium hydroxide (1 mL).", "The reaction mixture was heated at 120° C. for 1 h. The mixture was cooled to room temperature and concentrated.", "The residue was purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes then to 20% methanol in ethyl acetate) followed by reverse phase HPLC on a Polaris C18 column eluting with 10-90% CH3CN in H2O to afford Example Compound 243 (21 mg, 25%) and Example Compound 244 (29 mg, 35%) as an off-white solids.", "Example Compound 243: 1H NMR (500 MHz, DMSO-d6) δ 7.77 (d, J=8.3 Hz, 1H), 7.49 (s, 1H), 7.36 (s, 1H), 7.33-7.19 (m, 6H), 6.58 (s, 2H), 6.27 (s, 2H), 2.32 (s, 3H), 2.15 (s, 3H); ESI m/z 346 [M+H]+; Example Compound 244: 1H NMR (500 MHz, DMSO-d6) δ 8.38 (s, 1H), 7.92 (s, 1H), 7.82 (d, J=8.5 Hz, 1H), 7.63 (d, J=1.0 Hz, 1H), 7.33-7.28 (m, 5H), 7.27-7.22 (m, 1H), 6.02 (s, 2H), 2.35 (s, 3H), 2.18 (s, 3H); ESI m/z 347 [M+H]+.", "Preparation of 1-benzyl-6-(3,5-dimethylisoxazol-4-yl)-N-(pyridin-3-yl)-1H-benzo[d]imidazol-2-amine (Example Compound 248) Step1: A solution of 81 (500 mg, 1.57 mmol) and phosphorus(V) oxychloride (2 mL) was heated to 100° C. for 17 h. The reaction was concentrated in vacuo and saturated aq.", "NaHCO3 (5 mL) and ethyl acetate (20 mL) were added.", "The ethyl acetate layer was separated, dried over Na2SO4, filtered and concentrated.", "The residue was purified by chromatography (silica gel, 0-30% ethyl acetate in hexanes) to afford 112 (415 mg, 78%) as a light brown oil: ESI m/z 338 [M+H]+.", "Step 2: A mixture of 112 (20 mg, 0.06 mmol), pyridin-3-amine (28 mg, 0.30 mmol) and p-TsOH.H2O (22 mg, 0.12 mmol) in NMP was heated at 190° C. in a microwave reactor for 2 h. The mixture was concentrated, and the residue was purified by chromatography (silica gel, 0-100% ethyl acetate in hexanes) to afford Example Compound 248 as an light brown oil: ESI m/z 396 [M+H]+.", "Preparation of 3-(1-benzyl-1H-benzo[d]imidazol-6-yl)-4-ethyl-1H-1,2,4-triazol-5(4H)-one (Example Compound 249) Step 1: A solution of 113 (1.20 g, 4.51 mmol) and hydrazine monohydrate (3.27 mL, 67.65 mmol) in EtOH (20 mL) was heated to reflux for 16 h. The mixture was cooled to rt, the precipitate was collected by filtration, the filter cake was dried to afford 114 (1.02 g, 85%) as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 9.74 (s, 1H), 8.54 (s, 1H), 8.07 (s, 1H), 7.73-7.67 (m, 2H), 7.38-7.26 (m, 5H), 5.54 (s, 2H), 4.47 (s, 2H).", "Step 2: A suspension of 114 (500 mg, 1.88 mmol) and ethylisocyanate (160 mg, 2.26 mmol) in THF was stirred at rt for 5 h. The mixture was filtered, the filter cake was washed with ethyl acetate, and dried to afford 115 (610 mg, 96%) as a white solid: 1H NMR (300 MHz, DMSO-d6) δ 10.09 (s, 1H), 8.57 (s, 1H), 8.14 (s, 1H), 7.81-7.79 (m, 2H), 7.72 (d, J=8.4 Hz, 1H), 7.38-7.28 (m, 5H), 6.47 (t, J=5.4 Hz, 1H), 5.55 (s, 2H), 3.09-3.00 (m, 2H), 1.00 (t, J=7.2 Hz, 3H).", "Step 3: A suspension of 115 (337 mg, 1.0 mmol) in 3 N NaOH (5 mL) was heated to reflux for 16 h. The mixture was adjusted to pH 8 by 2 N HCl, and then was extracted with CH2Cl2 (3×50 mL).", "The combined organic layers were dried over Na2SO4, filtered and concentrated.", "The residue was triturated with EtOAc/CH2Cl2 to afford Example Compound 249 as an off-white solid: 1H NMR (300 MHz, DMSO-d6) δ 11.85 (s, 1H), 8.59 (s, 1H), 7.81-7.76 (m, 2H), 7.43 (dd, J=8.1, 1.5 Hz, 1H), 7.35-7.28 (m, 5H), 5.58 (s, 2H), 3.63 (q, J=7.2, Hz 2H), 0.98 (t, J=7.2 Hz, 3H); ESI m/z 320 [M+H]+.", "TABLE 2 Example Compounds Purity Example General HPLC Compound Chemical Name Structure procedure Characterization (%) 1 9-benzyl-2- (3,5-dimethyl- isoxazol-4-yl)- 9H-purin-6- amine A 1H NMR (300 MHz, DMSO- d6) δ 8.29 (s, 1H), 7.36-7.28 (m, 7H), 5.38 (s, 2H), 2.73 (s, 3H), 2.51 (s, 3H); ESI m/z 321 [M + H]+.", "96.6 2 3-benzyl-5- (3,5-dimethyl- isoxazol-4-yl)- 1H-imidazo [4,5-b]pyridin- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 11.31 (s, 1H), 7.40 (d, J = 7.8 Hz, 1H), 7.34-7.25 (m, 5 H), 7.15 (d, J = 7.8 Hz, 1H), 5.03 (s, 2H), 2.47 (s, 3H), 2.28 (s, >99 3H); ESI m/z 321 [M + H]+.", "3 1-benzyl-5- (3,5-dimethyl- isoxazol-4-yl)- 1H-imidazo [4,5-b]pyridin- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 11.76 (s, 1H), 7.44 (d, J = 7.8 Hz, 1H), 7.36-7.28 (m, 5H), 7.11 (d, J = 7.8 Hz, 1H), 5.05 (s, 2H), 2.49 (s, 3H), 2.32 (s, 3H); ESI m/z 321 [M + H]+.", ">99 4 4-(3-benzyl- 3H-imidazo [4,5-b] pyridin-6-yl)- 3,5-dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.62 (s, 1H), 8.36 (br s, 1H), 7.65 (s, 1H), 7.45 (s, 5H), 5.96 (s, 2H), 2.34 (s, 3H), 2.17 (s, 3H); ESI m/z 305 [M + H]+.", ">99 5 4-(1-benzyl- 1H-imidazo [4,5-b] pyridin-6-yl)- 3,5-dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.62 (s, 1H), 8.36 (br s, 1H), 7.65 (s, 1H), 7.45 (s, 5H), 5.96 (s, 2H), 2.34 (s, 3H), 2.17 (s, >99 3H); ESI m/z 305 [M + H]+.", "6 3-benzyl-5- (3,5-dimethyl- isoxazol-4- yl)benzo[d] oxazol-2(3H)- one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.47-7.42 (m, 3H), 7.40- 7.34 (m, 2H), 7.34-7.28 (m, 1H), 7.23 (d, J = 1.6 Hz, 1H), 7.12 (dd, J = 8.2 Hz, 7.7 Hz, 1H), 5.07 (s, 2H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 321 >99 [M + H]+ 7 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H-benzo [d]imidazol- 4-amine C 1H NMR (300 MHz, CDCl3) δ 7.95 (s, 1H), 7.37-7.34 (m, 3H), 7.23-7.20 (m, 2H), 6.46 (d, J = 1.2 Hz, 1H), 6.40 (d, J = 1.2 Hz, 1H), 5.34 (s, 2H), 2.31 (s, 3H), 2.16 (s, 3H); ESI MS m/z 319 [M + H]+ >99 8 1-benzyl-5- (3,5-dimethyl- isoxazol-4- yl)-1H-benzo [d]imidazol- 7-amine C 1H NMR (300 MHz, CDCl3) δ 8.15 (s, 1H), 7.43-7.40 (m, 3H), 7.23 (d, J = 1.2 Hz, 1H), 7.20-7.17 (m, 2H), 6.39 (d, J = 1.2 Hz, 1H), 5.69 (s, 2H), 2.40 (s, 3H), 2.27 (s, 3H); ESI MS m/z 319 [M + H]+ 95.2 9 N,1-dibenzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H-benzo [d]imidazol- 4-amine C 1H NMR (300 MHz, DMSO- d6) δ 8.27 (s, 1H), 7.40-7.18 (m, 10H), 6.62 (d, J = 1.2 Hz, 1H), 6.57 (t, J = 6.0 Hz, 1H), 5.97 (d, J = 1.2 Hz, 1H), 5.41 (s, 2H), 4.48 (d, J = 6.0 Hz, 2H), 2.12 (s, 3H), 1.94 (s, 3H); ESI MS m/z 409 [M + H]+.", ">99 10 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo[4,5- b]pyridin- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 11.81 (s, 1H), 7.90 (d, J = 2.1 Hz, 1H), 7.44-7.25 (m, 6H), 5.05 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); MM m/z 321 >99 [M + H]+.", "11 1-benzyl-7- (3,5-dimethyl- isoxazol-4- yl)quinoxalin- 2(1H)-one No general procedure 1H NMR (300 MHz, CDCl3) δ 8.43 (s, 1H), 7.94 (d, J = 8.2 Hz, 1H), 7.35-7.32 (m, 2H), 7.29-7.27 (m, 1H), 7.21- 7.18 (m, 3H), 7.04 (s, 1H), 5.51 (s, 2H), >99 2.16 (s, 3H), 2.02 (s, 3H); ESI m/z 332 [M + H]+.", "12 1-benzyl-7- (3,5-dimethyl- isoxazol-4- yl)-3,4- dihydro- quinazolin- 2(1H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.34-7.21 (m, 7H), 6.90 (dd, J = 7.5, 1.0 Hz, 1H), 6.58 (d, J = 1.0 Hz, 1H), 5.09 (s, 2H), 4.43 (s, 2H), >99 2.06 (s, 3H), 1.89 (s, 3H); MM m/z 334 [M + H]+.", "13 4-(1-benzyl- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole D 1H NMR (500 MHz, CD3OD) δ 8.32 (d, J = 1.0 Hz, 1H), 7.78 (d, J = 1.0 Hz, 1H), 7.36- 7.29 (m, 3H), 7.20-7.17 (m, 2H), 5.56 (s, >99 2H), 2.69 (s, 3H), 2.36 (s, 3H), 2.18 (s, 3H); ESI m/z 319 [M + H]+.", "14 4-(1-(cyclo- propyl- methyl)-2- methyl-4- nitro-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole F 1H NMR (500 MHz, CD3OD) δ 8.03 (d, J = 1.5 Hz, 1H), 7.93 (d, J = 1.5 Hz, 1H), 4.27 (d, J = 7.0 Hz, 2H), 2.75 (s, 3H), 2.46 (s, 3H), 2.30 (s, 3H), 1.38-1.28 97.3 (m, 1H), 0.65- 0.60 (m, 2H), 0.51-0.46 (m, 2H).", "ESI m/z 327 [M + H]+ 15 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-4-nitro- 1H-benzo[d] imidazol- 2(3H)-one G 1H NMR (300 MHz, DMSO- d6) δ 12.11 (s, 1H), 7.72 (d, J = 1.5 Hz, 1H), 7.50 (d, J = 1.5 Hz, 1H), 7.42-7.28 (m, 5H), 5.13 (s, 2H), 2.35 (s, 3H), 2.15 (s, 3H); ESI 98.5 m/z 365 [M + H]+.", "16 4-amino-1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazol- 2(3H)-one G 1H NMR (500 MHz, DMSO- d6) δ 10.44 (s, 1H), 7.36-7.25 (m, 5H), 6.28 (s, 2H), 5.04 (s, 2H), 4.95 (s, 2H), 2.28 (s, 3H), 2.10 (s, 3H); ESI m/z 335 [M + H]+.", "98.6 17 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- ethoxy-1H- benzo[d] imidazol- 4-amine No general procedure 1H NMR (300 MHz, CDCl3) δ 7.35-7.20 (m, 5H), 6.33 (d, J = 1.5 Hz, 1H), 6.30 (d, J = 1.5 Hz, 1H), 5.13 (s, 2H), 4.68 (q, J = 6.9 Hz, 2H), 4.30 (br.s, 2H), 2.30 99 (s, 3H), 2.16 (s, 3H), 1.49 (t, J = 7.2 Hz, 3H); ESI m/z 363 [M + H]+.", "18 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-ethyl- 4-nitro-1H- benzo[d] imidazol-2- amine I 1H NMR (300 MHz, CDCl3) δ 7.84 (d, J = 1.5 Hz, 1H), 7.42-7.35 (m, 3H), 7.16-7.13 (m, 2H), 7.03 (d, J = 1.5 Hz, 1H), 5.15 (s, 2H), 4.29 (t, J = 5.4 Hz, 1H), 3.78-3.69 (m, 99 2H), 2.36 (s, 3H), 2.21 (s, 3H), 1.27 (t, J = 7.5 Hz, 3H); ESI m/z 392 [M + H]+.", "19 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N2- ethyl-1H- benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 7.34- 7.20 (m, 5H), 6.62 (t, J = 5.4 Hz, 1H), 6.30 (d, J = 1.5 Hz, 1H), 6.21 (d, J = 1.5 Hz, 1H), 5.19 (s, 2H), 4.83 (s, 2H), 3.47-3.38 (m, 96.8 2H), 2.28 (s, 3H), 2.11 (s, 3H), 1.22 (t, J = 7.2 Hz, 3H); ESI m/z 362 [M + H]+.", "20 methyl 1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2-oxo- 2,3-dihydro- 1H-benzo[d] imidazole- 4-carboxylate J 1H NMR (500 MHz, CD3OD) δ 7.54 (d, J = 1.5 Hz, 1H), 7.37-7.24 (m, 5H), 7.07 (d, J = 1.5 Hz, 1H), 5.14 (s, 2H), 3.97 (s, 3H), 2.27 (s, 3H), 2.09 (s, 3H); ESI m/z 378 [M + H]+.", ">99 21 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2-oxo- 2,3-dihydro- 1H-benzo[d] imidazole-4- carboxamide J 1H NMR (500 MHz, CD3OD) δ 7.41 (d, J = 1.3 Hz, 1H), 7.37-7.24 (m, 5H), 7.00 (d, J = 1.4 Hz, 1H), 5.13 (s, 2H), 2.28 (s, 3H), 2.11 (s, 3H); ESI m/z 363 [M + H]+.", "98.3 22 4-(amino- methyl)-1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazol- 2(3H)-one J 1H NMR (500 MHz, CD3OD) δ 7.37-7.23 (m, 5H), 6.99 (d, J = 1.4 Hz, 1H), 6.77 (d, J = 1.4 Hz, 1H), 5.10 (s, 2H), 3.93 (s, 2H), 2.27 (s, 3H), 2.10 (s, 3H); ESI m/z 349 [M + H]+.", "93.9 23 5-(3,5- dimethyl- isoxazol-4- yl)-N- phenyl-1H- pyrrolo [3,2-b] pyridin-3- amine M 1H NMR (300 MHz, DMSO- d6) δ 11.1 (d, J = 1.8 Hz, 1H), 7.82 (d, J = 8.4 Hz, 1H), 7.61 (d, J = 2.7 Hz, 1H), 7.43 (s, 1H), 7.25 (d, >99 J = 8.4 Hz, 1H), 7.09 (d, J = 8.4 Hz, 1H), 7.07 (d, J = 7.2 Hz, 1H), 6.85 (d, J = 7.5 Hz, 2H), 6.60 (t, J = 7.2 Hz, 1H), 2.48 (s, 3H), 2.29 (s, 3H); ESI MS m/z 305 [M + H]+.", "24 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-3- methyl-1H- pyrazolo [4,3-b] pyridine 4-oxide N 1H NMR (300 MHz, DMSO- d6) δ 8.21 (d, J = 0.9 Hz, 1H), 7.83 (d, J = 0.9 Hz, 1H), 7.40-7.35 (m, 2H), 7.20- 7.14 (m, 2H), 5.59 (s, 2H), 2.69 (s, 3H), >99 2.45 (s, 3H), 2.27 (s, 3H); ESI MS m/z 353 [M + H]+.", "25 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-3- methyl-1H- pyrazolo [4,3-b] pyridin- 5(4H)-one N 1H NMR (300 MHz, DMSO- d6) δ 12.0 (s, 1H), 8.07 (s, 1H), 7.36-7.31 (m, 2H), 7.19- 7.13 (m, 2H), 5.45 (s, 2H), 2.30 (s, 6H), 2.14 (s, 3H); ESI MS m/z 96.2 353 [M + H]+.", "26 4-(3- benzyl-3H- imidazo [4,5-b] pyridin- 5-yl)- 3,5-dimethyl- isoxazole No general procedure 1H NMR (300 MHz, DMSO- d6) δ 8.67 (s, 1H), 8.17 (d, J = 8.1 Hz, 1H), 7.44 (d, J = 8.1 Hz, 1H), 7.36-7.27 (m, 5H), 5.52 98 (s, 2H), 2.54 (s, 3H), 2.34 (s, 3H); ESI m/z 305 [M + H]+.", "27 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)- 1H-benzo [d]imidazol- 4-amine C 1H NMR (300 MHz, DMSO- d6) δ 8.23 (s, 1H), 7.42 (dd, J = 8.0, 6.0 Hz, 2H), 7.17 (dd, J = 9.0, 9.0 Hz, 2H), 6.62 (s, 1H), 6.32 (s, 1H), 5.40 (s, 4H), 2.33 (s, >99 3H), 2.16 (s, 3H); ESI m/z 337 [M + H]+.", "28 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-N- methyl-1H- benzo[d] imidazol- 4-amine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.22 (s, 1H), 7.43 (dd, J = 8.8, 5.5 Hz, 2H), 7.16 (dd, J = 8.8, 5.5 Hz, 2H), 6.65 (d, J = 1.0 Hz, 1H), 6.09 (d, J = 1.0 Hz, 1H), 5.85 (q, J = 5.0 Hz, >99 1H), 5.41 (s, 2H), 2.83 (d, J = 5.5 Hz, 3H), 2.35 (s, 3H), 2.17 (s, 3H); ESI m/z 351 [M + H]+ 29 6-(3,5- dimethyl- isoxazol- 4-yl)-1-(4- fluoro- benzyl)- N,N- dimethyl- 1H-benzo [d]imidazol- 4-amine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.28 (s, 1H), 7.41 (dd, J = 8.5, 5.5 Hz, 2H), 7.17 (dd, J = 9.0, 9.0 Hz, 2H), 6.85 (d, J = 1.0 Hz, 1H), 6.25 (d, J = 1.0 Hz, 1H), 5.43 (s, 2H), 98.1 3.18 (s, 6H), 2.35 (s, 3H), 2.18 (s, 3H); ESI m/z 365 [M + H]+.", "30 3,5-dimethyl- 4-phenyl- ethyl)-1H- imidazo [4,5-b] pyridin-6- yl)isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 8.76 (s, 1H), 8.36 (d, J = 2.0 Hz, 1H), 7.65 (d, J = 2.5 Hz, 1H), 7.40-7.30 (m, 5H), 4.44 (q, J = 7.0 Hz, 98.6 1H), 2.29 (s, 3H), 2.10 (s, 3H), 2.06 (d, J = 7.0 Hz, 3H).", "ESI m/z 319 [M + H]+.", "31 4-(1-benzyl- 1H-imidazo [4,5-c] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 9.00 (d, J = 1.0 Hz, 1H), 8.05 (s, 1H), 7.48 (d, J = 1.0 Hz, 1H), 7.40-7.30 (m, 5H), 5.58 (s, 98.6 2H), 2.40 (s, 3H), 2.25 (s, 3H); ESI m/z 305 [M + H]+.", "32 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-c] pyridine 5-oxide No general procedure 1H NMR (500 MHz, CD3OD) δ 8.92 (s, 1H), 8.61 (s, 1H), 7.67 (s, 1H), 7.45-7.25 (m, 5H), 6.57 (s, 2H), 2.28 (s, 3H), 2.17 (s, 98.7 3H); ESI m/z 321 [M + H]+.", "33 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-c] pyridin-4- amine No general procedure 1H NMR (500 MHz, CD3OD) δ 8.21 (s, 1H), 7.42-7.25 (m, 5H), 6.70 (s, 1H), 5.46 (s, 2H), 2.39 (s, 3H), 2.24 (s, 5H); ESI m/z 320 [M + H]+.", "96.9 34 4-(1-benzyl- 3-bromo- 1H-pyrrolo [3,2-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 8.33 (d, J = 1.5 Hz, 1H), 7.86 (s, 1H), 7.80 (d, J = 2.0 Hz, 1H), 7.34-7.24 (m, 5H), 5.48 (s, 2H), 2.35 (s, 3H), 2.17 (s, >99 3H); ESI MS m/z 382 [M + H]+.", "35 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridine-3- carbaldehyde No general procedure 1H NMR (300 MHz, DMSO- d6) δ 10.2 (s, 1H), 8.73 (s, 1H), 8.53 (d, J = 1.8 Hz, 1H), 8.11 (d, J = 1.8 Hz, 1H), 7.44-7.30 (m, 5H), 5.59 (s, 2H), 2.40 (s, 3H), 2.21 >99 (s, 3H); ESI MS m/z 332 [M + H]+ 36 1-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-3- yl)ethanone No general procedure 1H NMR (300 MHz, CDCl3) δ 8.59 (d, J = 1.5 Hz, 1H), 8.22 (s, 1H), 7.45 (d, J = 1.8 Hz, 1H), 7.40-7.36 (m, 3H), 7.21-7.18 (m, 2H), 5.40 (s, 2H), 2.89 (s, 3H), 2.34 >99 (s, 3H), 2.17 (s, 3H); ESI MS m/z 346 [M + H]+.", "37 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-5- yl formate No general procedure 1H NMR (300 MHz, CDCl3) δ 9.90 (s, 1H), 7.62 (s, 1H), 7.43-7.41 (m, 3H), 7.28 (s, 1H), 7.22-7.18 (m, 3H), 5.31 (s, 2H), 2.22 (s, 3H), 2.10 (s, 3H); ESI MS m/z 348 [M + H]+.", ">99 38 4-((6-(3,5- dimethyl- isoxazol- 4-yl)-2- methyl-1H- imidazo [4,5-b] pyridin-1- yl)methyl) benzamide No general procedure 1H NMR (300 MHz, DMSO- d6) δ 8.35 (d, J = 1.8 Hz, 1H), 7.99 (d, J = 2.1 Hz, 1H), 7.94 (br.s, 1H), 7.83 (d, J = 8.4 Hz, 2H), 7.37 (br.s, 1H), 7.27 (d, J = 8.4 Hz, >99 2H), 5.61 (s, 2H), 2.60 (s, 3H), 2.39 (s, 3H), 2.21 (s, 3H); ESI m/z 362 [M + H]+.", "39 4-(1-benzyl- 3-nitro-1H- pyrrolo [3,2-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) δ 8.74 (s, 1H), 8.47 (s, 1H), 7.56 (s, 1H), 7.45-7.42 (m, 3H), 7.27-7.26 (m, 2H), 5.47 (s, 2H), 2.35 (s, 3H), 2.17 (s, 3H); ESI MS m/z 349 [M + H]+.", ">99 40 3,5-dimethyl- 4-(3-(4- (trifluoro- methyl) benzyl)-3H- imidazo [4,5-b] pyridin-6- yl)isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.33 (d, J = 2.1 Hz, 1H), 8.15 (s, 1H), 8.00 (d, J = 2.1 Hz, 1H), 7.64 (d, J = 8.1 Hz, 2H), 7.45 (d, J = 8.1 Hz, 2H), 5.58 (s, 2H), 2.44 (s, 3H), 2.30 (s, 3H); MM m/z 373 [M + H]+ 98.3 41 3,5-dimethyl- 4-(1-(4- (trifluoro- methyl) benzyl)-1H- imidazo [4,5-b] pyridin-6- yl)isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.49 (d, J = 2.1 Hz, 1H), 8.29 (s, 1H), 7.66 (d, J = 8.1 Hz, 2H), 7.34-7.30 (m, 3H), 5.50 (s, 2H), 2.33 (s, 3H), 2.16 (s, 3H); MM m/z 373 [M + H]+ 98.9 42 4-(3-(4- chloro- benzyl)-3H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.32 (d, J = 2.1 Hz, 1H), 8.11 (s, 1H), 7.98 (d, J = 2.11 Hz, 1H), 7.37-7.27 (m, 4H), 5.48 (s, 2H), 2.44 (s, 3H), 2.29 (s, 3H); MM m/z 339 [M + H]+.", ">99 43 4-(1-(4- chloro- benzyl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.47 (d, J = 2.1 Hz, 1H), 8.25 (s, 1H), 7.37 (d, J = 8.7 Hz, 2H), 7.32 (d, J = 2.1 Hz, 1H), 7.16 (d, J = 8.7 Hz, 2H), 5.39 (s, 2H), >99 2.35 (s, 3H), 2.18 (s, 3H); MM m/z 339 [M + H]+ 44 4-(3-(4- fluoro- benzyl)-3H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.33 (d, J = 2.1 Hz, 1H), 8.10 (s, 1H), 7.98 (d, J = 2.1 Hz, 1H), 7.38-7.33 (m, 2H), 7.09-7.03 (m, 2H), 5.48 (s, 2H), 2.44 (s, 3H), 2.30 (s, 3H); MM m/z 323 [M + H]+ >99 45 4-(1-(4- fluoro- benzyl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.47 (d, J = 2.1 Hz, 1H), 8.25 (s, 1H), 7.34 (d, J = 2.1 Hz, 1H), 7.24-7.19 (m, 2H), 7.09 (t, 98.4 J = 8.7 Hz, 2H), 5.38 (s, 2H), 2.35 (s, 3H), 2.18 (s, 3H); MM m/z 323 [M + H]+ 46 3,5-dimethyl- 4-(3- (pyridin-2- ylmethyl)- 3H-imidazo [4,5-b] pyridin-6- yl)isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.62-8.59 (m, 1H), 8.33 (s, 1H), 8.31 (d, J = 2.1 Hz, 1H), 7.98 (d, J = 2.1 Hz, 1H), 7.71-7.65 (m, 1H), 7.33- 7.23 (m, 2H), 5.63 (s, 2H), 2.43 (s, 3H), 2.29 (s, 3H); 95.5 MM m/z 306 [M + H]+ 47 3,5-dimethyl- 4-(1- (pyridin-2- ylmethyl)- 1H-imidazo [4,5-b] pyridin-6- yl)isoxazole B 1H NMR (300 MHz, CDCl3) δ 8.62-8.59 (m, 1H), 8.46 (d, J = 2.1 Hz, 1H), 8.34 (s, 1H), 7.72-7.66 (m, 1H), 7.59 (d, J = 2.1 Hz, 98.3 1H), 7.31-7.27 (m, 1H), 7.13 (d, J = 7.8 Hz, 1H), 5.51 (s, 2H), 2.38 (s, 3H), 2.22 (s, 3H); MM m/z 306 [M + H]+ 48 4-(1-(4- fluoro- benzyl)-1H- pyrrolo [3,2-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A: using 6-bromo- 1H- pyrrolo [3,2-b] pyridine as starting material 1H NMR (300 MHz, CD3OD) δ 8.26 (d, J = 1.8 Hz, 1H), 7.78 (dd, J = 0.9, 1.8 Hz, 1H), 7.75 (d, J = 3.3 Hz, 1H), 7.29-7.24 97.6 (m, 2H), 7.08- 7.02 (m, 2H), 6.70 (dd, J = 0.6, 3.3 Hz, 1H), 5.47 (s, 2H), 2.36 (s, 3H), 2.19 (s, 3H); ESI MS m/z 322 [M + H]+.", "49 4-(1-(4- fluoro- benzyl)-1H- pyrrolo [2,3-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A: using 6-bromo- 1H- pyrrolo [2,3-b] pyridine as starting material 1H NMR (300 MHz, CD3OD) δ 8.04 (d, J = 8.1 Hz, 1H), 7.46 (d, J = 3.6 Hz, 1H), 7.26- 7.21 (m, 3H), 7.04-6.98 (m, 2H), 6.55 (d, >99 J = 3.6 Hz, 1H), 5.50 (s, 2H), 2.53 (s, 3H), 2.37 (s, 3H); ESI MS m/z 322 [M + H]+.", "50 4-(5-(4- fluoro- benzyl)-5H- pyrrolo [2,3-b] pyrazin-3- yl)-3,5- dimethyl- isoxazole A: using 3-bromo- 5H- pyrrolo [2,3-b] pyrazine as starting material 1H NMR (300 MHz, CD3OD) δ 8.54 (s, 1H), 7.91 (d, J = 3.6 Hz, 1H), 7.35-7.30 (m, 2H), 7.08-7.02 (m, 2H), 6.72 (d, J = 3.6 Hz, >99 1H), 5.52 (s, 2H), 2.60 (s, 3H), 2.42 (s, 3H); ESI MS m/z 323 [M + H]+.", "51 4-(1-(4- fluoro- benzyl)-1H- pyrazolo [4,3-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A: using 6-bromo- 1H- pyrazolo [4,3-b] pyridine as starting material 1H NMR (300 MHz, CD3OD) δ 8.50 (d, J = 1.8 Hz, 1H), 8.28 (d, J = 0.9 Hz, 1H), 8.05 (dd, J = 1.8, 1.2 Hz, 1H), 7.36-7.31 98.5 (m, 2H), 7.08- 7.02 (m, 2H), 5.70 (s, 2H), 2.42 (s, 3H), 2.25 (s, 3H); ESI MS m/z 323 [M + H]+.", "52 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-1H- pyrrolo [2,3-b] pyridin-4- amine A: using 6-bromo- 1H- pyrrolo [2,3-b] pyridin- 4-amine as starting material 1H NMR (300 MHz, DMSO- d6) d 7.29-7.24 (m, 3H), 7.15- 7.09 (m, 2H), 6.55 (d, J = 3.6 Hz, 1H), 6.35 (s, 1H), 6.33 (s, 2H), 5.33 (s, 2H), 2.49 (s, 3H), 2.32 (s, 3H); ESI MS m/z 337 [M + H]+.", ">99 53 4-(1-(4- fluoro- benzyl)-3- methyl-1H- pyrazolo [4,3-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A: using 6-bromo- 3- methyl- 1H- pyrazolo [4,3-b] pyridine as starting material 1H NMR (300 MHz, CD3OD) δ 8.45 (d, J = 1.8 Hz, 1H), 7.98 (d, J = 1.8 Hz, 1H), 7.34- 7.29 (m, 2H), 7.08-7.02 (m, 2H), 5.61 (s, 2H), 2.65 (s, 3H), 2.42 (s, 96.7 3H), 2.25 (s, 3H); ESI MS m/z 337 [M + H]+.", "54 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- indazol- 4-amine B: using 6-bromo- 1H- indazol- 4-amine as starting material 1H NMR (300 MHz, DMSO- d6) δ 8.13 (d, J = 0.6 Hz, 1H), 7.32-7.23 (m, 5H), 6.70 (s, 1H), 6.11 (d, J = 1.2 Hz, 1H), 5.97 (s, 2H), 5.53 (s, 2H), 2.37 (s, 3H), 2.19 (s, >99 3H); ESI MS m/z 319 [M + H]+.", "55 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol- 4-amine K 1H NMR (500 MHz, CDCl3) δ 7.34-7.28 (m, 3H), 7.09- 7.08 (m, 2H), 6.42 (d, J = 1.5 Hz, 1H), 6.36 (d, J = 1.5 Hz, 1H), 5.28 (s, 2H), 4.42 (br.s, 2H), 2.60 (s, 3H), 99 2.31 (s, 3H), 2.17 (s, 3H); ESI m/z 333 [M + H]+.", "56 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin- 5(4H)-one N 1H NMR (500 MHz, DMSO- d6) δ 8.15 (d, J = 1.5 Hz, 1H), 7.83 (d, J = 3.5 Hz, 1H), 7.64 (s, 1H), 7.34-7.32 (m, 5H), 6.75 (d, J = 2.5 Hz, >99 1H), 5.50 (s, 2H), 2.39 (s, 3H), 2.20 (s, 3H); ESI MS m/z 320 [M + H]+.", "57 3-((5-(3,5- dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-3- yl)amino) benzonitrile M 1H NMR (300 MHz, DMSO- d6) δ 11.5 (s, 1H), 7.98 (s, 2H), 7.78 (s, 1H), 7.36-7.25 (m, 2H), 7.11- 7.07 (m, 1H), 7.01-6.99 (m, 2H), 2.46 (s, >99 3H), 2.26 (s, 3H); ESI MS m/z 330 [M + H]+.", "58 4-(1-(4- fluoro- benzyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- D 1H NMR (300 MHz, DMSO- d6) δ 8.34 (d, J = 1.8 Hz, 1H), 7.99 (d, J = 2.1 Hz, 1H), 7.32-7.26 (m, 2H), 7.22- 7.15 (m, 2H), 98.9 isoxazole 5.53 (s, 2H), 2.61 (s, 3H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 337 [M + H]+.", "58 4-(1-benzyl- 2-ethoxy- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, DMSO- d6) δ 7.75 (d, J = 1.2 Hz, 1H), 7.38-7.22 (m, 5H), 7.18 (d, J = 1.5 Hz, 1H), 4.99 (s, 2H), 4.34 (q, >99 J = 7.2 Hz, 2H), 2.37 (s, 3H), 2.18 (s, 3H), 1.42 (t, J = 7.2 Hz, 3H); ESI m/z 349 [M + H]+.", "60 4-((6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- imidazo [4,5-b] pyridin-1- yl)methyl)- 3,5-dimethyl- isoxazole D 1H NMR (300 MHz, DMSO- d6) δ 8.35 (d, J = 1.8 Hz, 1H), 7.93 (d, J = 2.1 Hz, 1H), 5.37 (s, 2H), 2.56 (s, 3H), 2.41 (s, 3H), 2.33 (s, 3H), 2.23 (s, >99 3H), 1.91 (s, 3H); ESI m/z 338 [M + H]+.", "61 4-(1-(2,4- dichloro- benzyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole D 1H NMR (300 MHz, DMSO- d6) δ 8.36 (d, J = 2.1 Hz, 1H), 7.88 (d, J = 1.8 Hz, 1H), 7.74 (d, J = 2.1 Hz, 1H), 7.38 (dd, J = 8.4, >99 2.1 Hz, 1H), 6.77 (d, J = 8.4 Hz, 1H), 5.61 (s, 2H), 2.54 (s, 3H), 2.38 (s, 3H), 2.19 (s, 3H); ESI m/z 387 [M + H]+.", "62 4-(1-(4- methoxy- benzyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- D 1H NMR (300 MHz, DMSO- d6) δ 8.33 (d, J = 1.8 Hz, 1H), 7.98 (d, J = 2.1 Hz, 1H), 7.21 (d, J = 8.7 Hz, 2H), 6.90 (d, >99 isoxazole J = 8.7 Hz, 2H), 5.46 (s, 2H), 3.71 (s, 3H), 2.61 (s, 3H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 349 [M + H]+.", "63 4-(1-(cyclo- propyl- methyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- D 1H NMR (300 MHz, DMSO- d6) δ 8.31 (d, J = 2.1 Hz, 1H), 8.05 (d, J = 2.1 Hz, 1H), 4.17 (d, J = 7.2 Hz, 97.4 dimethyl- 2H), 2.65 (s, isoxazole 3H), 2.44 (s, 3H), 2.26 (s, 3H), 1.31-1.18 (m, 1H), 0.54- 0.48 (m, 2H), 0.46-0.41 (m, 2H); ESI m/z 283 [M + H]+.", "64 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4- yl)acetamide K 1H NMR (300 MHz, CDCl3) δ 8.59 (br.s, 1H), 8.20 (s, 1H), 7.38-7.31 (m, 3H), 7.09- 7.06 (m, 2H), 6.76 (d, J = 1.2 Hz, 1H), 5.34 (s, 2H), 2.65 (s, 3H), 2.35 (s, 3H), 2.31 (s, 3H), 2.21 (s, 3H); 97.4 ESI m/z 375 [M + H]+.", "65 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4- yl)ethane- sulfonamide K 1H NMR (300 MHz, CDCl3) δ 7.71 (br.s, 1H), 7.39-7.30 (m, 4H), 7.12- 7.09 (m, 2H), 6.79 (d, J = 1.2 Hz, 1H), 5.33 (s, 2H), 3.21 (q, J = 7.5 Hz, 2H), 2.64 (s, 3H), 2.35 (s, 3H), 2.20 (s, 3H), 95.7 1.42 (t, J = 7.5 Hz, 3H); APCI m/z 425 [M + H]+.", "66 4-(1-benzyl- 4-methoxy- 2-methyl- 1H-benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) δ 7.35-7.30 (m, 3H), 7.09- 7.06 (m, 2H), 6.64 (d, J = 1.2 Hz, 1H), 6.53 (s, 1H), 5.32 (s, 2H), 4.03 (s, 3H), 2.66 (s, 3H), 2.33 (s, 3H), 93.7 2.19 (s, 3H); ESI m/z 348 [M + H]+.", "67 7-amino-3- benzyl-5- (3,5-dimethyl- isoxazol-4- yl)benzo[d] oxazol- 2(3H)-one G 1H NMR (300 MHz, DMSO- d6) δ 7.43- 7.30 (m, 5H), 6.40 (d, J = 1.5 Hz, 1H), 6.39 (d, J = 1.5 Hz, 1H), 5.58 (s, 2H), 4.99 (s, 2H), 2.31 (s, 3H), 97.6 2.13 (s, 3H); ESI m/z 336 [M + H]+.", "68 3,5-dimethyl- 4-(2- methyl-1- (pyridin-3- ylmethyl)- 1H-imidazo [4,5-b] pyridin-6- yl)isoxazole D 1H NMR (300 MHz, DMSO- d6) δ 8.58 (d, J = 1.8 Hz, 1H), 8.51 (dd, J = 4.7, 1.8 Hz, 1H), 8.35 (d, J = 2.1 Hz, 1H), 8.03 (d, 96.5 J = 2.1 Hz, 1H), 7.60 (dt, J = 8.1, 1.8 Hz, 1H), 7.37 (ddd, J = 7.8, 4.8, 0.6 Hz, 1H), 5.60 (s, 2H), 2.64 (s, 3H), 2.40 (s, 3H), 2.21 (s, 3H); ESI m/z 320 [M + H]+ 69 3,5-dimethyl- 4-(2-methyl- 1-(thiophen- 2-ylmethyl)- 1H-imidazo [4,5-b] pyridin-6- yl)isoxazole D 1H NMR (300 MHz, DMSO- d6) δ 8.34 (d, J = 2.1 Hz, 1H), 8.11 (d, J = 2.1 Hz, 1H), 7.48 (dd, J = 5.1, 1.2 Hz, >99 1H), 7.25 (dd, J = 3.1, 1.2 Hz, 1H), 7.00 (dd, J = 5.1, 3.3 Hz, 1H), 5.75 (s, 2H), 2.67 (s, 3H), 2.44 (s, 3H), 2.26 (s, 3H); ESI m/z 325 [M + H]+.", "70 4-((6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl- 1H-imidazo [4,5-b] pyridin-1- yl)methyl) D 1H NMR (300 MHz, DMSO- d6) δ 8.36 (d, J = 2.1 Hz, 1H), 7.98 (s, J = 2.1 Hz, 1H), 7.83 (d, J = 8.4 Hz, 2H), 7.36 (d, 98.3 benzonitrile J = 8.4 Hz, 2H), 5.67 (s, 2H), 2.57 (s, 3H), 2.39 (s, 3H), 2.21 (s, 3H); ESI m/z 344 [M + H]+.", "71 4-(1-benzyl- 1H-pyrrolo [3,2-b] pyridin-6- yl)-3,5- dimethyl- isoxazole B: using 6-bromo- 1H- pyrrolo [3,2-b] pyridine as starting material 1H NMR (300 MHz, CDCl3) δ 8.36 (d, J = 1.8 Hz, 1H), 7.54 (d, J = 2.7 Hz, 1H), 7.41 (s, 1H), 7.36-7.32 (m, 3H), 7.16-7.13 >99 (m, 2H), 6.88 (s, 1H), 5.38 (s, 2H), 2.33 (s, 3H), 2.16 (s, 3H); ESI MS m/z 304 [M + H]+.", "72 1-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-3- yl)-N,N- dimethyl- methanamine L 1H NMR (300 MHz, CDCl3) δ 8.34 (d, J = 1.8 Hz, 1H), 8.30 (s, 1H), 7.36-7.32 (m, 4H), 7.21-7.18 (m, 2H), 5.39 (s, 2H), 4.50 (s, 2H), 2.86 (s, 6H), 2.32 (s, 3H), 2.16 (s, 3H); ESI 98.3 MS m/z 361 [M + H]+.", "73 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [2,3-b] pyridin-4- amine B: using 6-bromo- 1H- pyrrolo [2,3-b] pyridin- 4-amine as starting material 1H NMR (300 MHz, DMSO- d6) δ 7.31-7.20 (m, 6H), 6.56 (d, J = 3.6 Hz, 1H), 6.35 (s, 1H), 6.32 (s, 2H), 5.35 (s, 2H), 2.49 (s, 3H), 2.32 (s, 3H); ESI MS >99 m/z 319 [M + H]+; 74 3,5-dimethyl- 4-(2-methyl- 1-(pyridin-4- ylmethyl)- 1H-imidazo [4,5-b] pyridin-6- yl)isoxazole D 1H NMR (500 MHz, DMSO- d6) δ 8.53 (dd, J = 3.0, 1.5 Hz, 2H), 8.36 (d, J = 2.0 Hz, 1H), 7.96 (d, J = 2.0 Hz, 1H), 98.9 7.12 (d, J = 6.0 Hz, 2H), 5.62 (s, 2H), 2.57 (s, 3H), 2.39 (s, 3H), 2.20 (s, 3H); ESI m/z 320 [M + H]+.", "75 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4- amine F 1H NMR (500 MHz, CD3OD) δ 6.70 (s, 1H), 6.44 (d, J = 1.0 Hz, 1H), 4.08 (d, J = 6.5 Hz, 2H), 2.61 (s, 3H), 2.40 (s, 3H), 2.25 (s, 3H), >99 1.30-1.19 (m, 1H), 0.62-0.53 (m, 2H), 0.45- 0.40 (m, 2H).", "ESI m/z 297 [M + H]+.", "76 3,5-dimethyl- 4-(2-methyl- 1-((5- methyl- thiophen-2- yl)methyl)- 1H-imidazo [4,5-b] D 1H NMR (300 MHz, DMSO- d6) δ 8.34 (d, J = 2.1 Hz, 1H), 8.09 (d, J = 2.1 Hz, 1H), 7.04 (d, J = 3.6 Hz, 98.1 pyridin-6- 1H), 6.66 (dd, yl)isoxazole J = 2.1, 1.2 Hz, 1H), 5.65 (s, 2H), 2.66 (s, 3H), 2.44 (s, 3H), 2.34 (d, J = 0.6 Hz, 3H), 2.27 (s, 3H); ESI m/z 339 [M + H]+.", "77 4-(1-((5- chloro- thiophen-2- yl)methyl)- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- D 1H NMR (300 MHz, DMSO- d6) δ 8.35 (d, J = 2.1 Hz, 1H), 8.12 (d, J = 2.1 Hz, 1H), 7.13 (d, J = 3.6 Hz, 96.3 yl)-3,5- 1H), 7.02 (d, dimethyl- J = 3.6 Hz, isoxazole 1H), 5.70 (s, 2H), 2.66 (s, 3H), 2.44 (s, 3H), 2.27 (s, 3H); ESI m/z 359 [M + H]+.", "78 5-((6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- imidazo [4,5-b] pyridin-1- D 1H NMR (500 MHz, DMSO- d6) δ 8.36 (d, J = 2.0 Hz, 1H), 8.11 (d, J = 2.0 Hz, 1H), 7.87 (d, J = 4.0 Hz, >99 yl)methyl) 1H), 7.31 (d, thiophene-2- J = 4.0 Hz, carbonitrile 1H), 5.86 (s, 2H), 2.65 (s, 3H), 2.43 (s, 3H), 2.26 (s, 3H); ESI m/z 350 [M + H]+.", "79 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-1H- imidazo [4,5-b] pyridine 4- oxide N 1H NMR (300 MHz, DMSO- d6) δ 8.28 (s, 1H), 8.05 (s, 1H), 7.83 (s, 1H), 7.49-7.45 (m, 2H), 7.13- 7.07 (m, 2H), 6.00 (s, 2H), 2.48 (s, 3H), 2.32 (s, 3H); >99 ESI MS m/z 339 [M + H]+ 80 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-1H- imidazo [4,5-b] pyridin-5- yl acetate N: using Example 59 as starting material 1H NMR (300 MHz, CDCl3) δ 8.34 (s, 1H), 8.07 (s, 1H), 7.43-7.38 (m, 2H), 7.12-7.06 (m, 2H), 5.46 (s, 2H), 2.31 (s, 3H), 2.19 (s, 3H), 2.16 (s, 3H); ESI MS m/z 381 [M + H]+ >99 81 1-benzyl-6- (1,4-dimethyl- 1H-pyrazol- 5-yl)-2- methyl-4- nitro-1H- benzo[d] imidazole F 1H NMR (300 MHz, DMSO- d6) δ 8.04 (d, J = 1.5 Hz, 1H), 7.95 (d, J = 1.5 Hz, 1H), 7.37-7.29 (m, 4H), 7.23- 7.21 (m, 2H), 5.6 (s, 2H), 3.69 (s, 3H), 2.68 (s, 3H), 99 1.93 (s, 3H); ESI m/z 362 [M + H]+.", "82 1-benzyl-6- (1,4-dimethyl- 1H-pyrazol- 5-yl)-2- methyl-1H- benzo[d] imidazol-4- amine F 1H NMR (300 MHz, DMSO- d6) δ 7.36-7.27 (m, 4H), 7.20- 7.17 (m, 2H), 6.62 (d, J = 1.2 Hz, 1H), 6.30 (d, J = 1.2 Hz, 1H), 5.40 (s, 2H), 5.36 (s, 2H), 98.4 3.62 (s, 3H), 2.51 (s, 3H), 1.89 (s, 3H); ESI m/z 332 [M + H]+.", "83 4-(1-(4- chloro- benzyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- D 1H NMR (300 MHz, DMSO- d6) δ 8.34 (d, J = 1.8 Hz, 1H), 7.98 (d, J = 1.8 Hz, 1H), 7.42 (d, J = 8.4 Hz, 2H), 7.24 (d, >99 isoxazole J = 8.4 Hz, 2H), 5.55 (s, 2H), 2.59 (s, 3H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 353 [M + H]+.", "84 4-((6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- imidazo [4,5-b] pyridin-1- yl)methyl) D 1H NMR (500 MHz, DMSO- d6) δ 9.45 (s, 1H), 8.31 (d, J = 2.0 Hz, 1H), 7.95 (d, J = 2.0 Hz, 1H), 7.09 (d, J = 8.5 Hz, >99 phenol 2H), 6.71 (d, J = 8.5 Hz, 2H), 5.39 (s, 2H), 2.61 (s, 3H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 335 [M + H]+.", "85 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazole-4- carbonitrile No general procedure 1H NMR (500 MHz, CD3OD) δ 7.63 (d, J = 1.5 Hz, 1H), 7.60 (d, J = 1.5 Hz, 1H), 7.38-7.27 (m, 3H), 7.19-7.14 (m, 2H), 5.57 (s, 2H), 2.69 (s, 3H), 2.32 (s, 3H), 2.16 (s, 3H); ESI >99 m/z 343 [M + H]+.", "86 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2-oxo- 2,3-dihydro- 1H-benzo[d] imidazole-4- carbonitrile J: using 2-amino- 5-bromo- benzoni- trile as starting material 1H NMR (500 MHz, CD3OD) δ 7.38-7.25 (m, 6H), 7.10 (d, J = 1.5 Hz, 1H), 5.13 (s, 2H), 2.27 (s, 3H), 2.09 (s, 3H); ESI m/z 345 [M + H]+.", ">99 87 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- morpholino- 1H-benzo[d] imidazol-4- amine I 1H NMR (300 MHz, CDCl3) δ 7.35-7.27 (m, 3H), 7.18- 7.15 (m, 2H), 6.36 (s, 1H), 6.23 (d, J = 0.9 Hz, 1H), 5.22 (s, 2H), 4.29 (br.s, 2H), 3.83 (t, J = 4.5 Hz, >99 4H), 3.25 (br.s, 4H), 2.27 (s, 3H), 2.13 (s, 3H); ESI m/z 404 [M + H]+.", "88 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridine-3- carbonitrile No general procedure 1H NMR (300 MHz, CDCl3) d 8.55 (s, 1H), 7.98 (s, 1H), 7.50 (s, 1H), 7.41-7.40 (m, 3H), 7.20-7.15 (m, 2H), 5.42 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI MS m/z 329 [M + H]+.", ">99 89 4-(1-benzyl- 3-chloro- 1H-pyrrolo [3,2-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) d 8.49 (s, 1H), 7.55 (s, 1H), 7.50 (s, 1H), 7.38-7.36 (m, 3H), 7.18-7.16 (m, 2H), 5.36 (s, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI >99 MS m/z 338 [M + H]+.", "90 4-amino-1- (4-chloro- benzyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazol- 2(3H)-one E 1H NMR (500 MHz, CD3OD) δ 7.36-7.28 (m, 4H), 6.40 (d, J = 1.4 Hz, 1H), 6.25 (d, J = 1.4 Hz, 1H), 5.03 (s, 2H), 2.28 (s, 3H), 2.12 (s, 3H); HPLC >99 >99%, tR = 13.4 min; ESI m/z 369 [M + H]+.", "91 1-(4-chloro- benzyl)-6- (3,5-dimethyl- isoxazol-4- yl)-4-nitro- 1H-benzo[d] imidazol- 2(3H)-one E 1H NMR (500 MHz, CD3OD) δ 7.80 (d, J = 1.4 Hz, 1H), 7.40-7.35 (m, 4H), 7.24 (d, J = 1.4 Hz, 1H), 5.15 (s, 2H), 2.32 (s, 3H), 2.15 (s, 3H); HPLC 98.7%, tR = 98.7 16.5 min; ESI m/z 399 [M + H]+.", "92 4-(1-benzyl- 1H-pyrazolo [4,3-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A 1H NMR (500 MHz, DMSO- d6) δ 8.55 (d, J = 1.8 Hz, 1H), 8.38 (d, J = 0.9 Hz, 1H), 8.27 (dd, J = 1.8 Hz, 1.0 Hz, 1H), 98.7 7.32-7.26 (m, 5H), 5.72 (s, 2H), 2.45 (s, 3H), 2.27 (s, 3H); ESI m/z 305 [M + H]+.", "93 4-(1-(4- chloro- benzyl)-1H- pyrazolo [4,3-b] pyridin-6- yl)-3,5- dimethyl- isoxazole A 1H NMR (500 MHz, CDCl3) δ 8.48 (d, J = 1.0 Hz, 1H), 8.34 (s, 1H), 7.41 (s, 1H), 7.33-7.30 (m, 2H), 7.19-7.16 (m, 2H), 5.60 (s, 2H), 2.38 98.8 (s, 3H), 2.22 (s, 3H); ESI m/z 374 [M + H]+.", "94 1-benzyl-2- methyl-6- (1-methyl- 1H- pyrazol-5- yl)-1H- benzo[d] imidazol- 4-amine U 1H NMR (500 MHz, DMSO- d6) δ 7.39 (d, J = 1.5 Hz, 1H), 7.33 (t, J = 7.0 Hz, 2H), 7.26 (t, J = 7.0 Hz, 1H), 7.16 (d, J = 7.0 Hz, 2H), 6.76 (d, >99 J = 1.5 Hz, 1H), 6.44 (d, J = 1.5 Hz, 1H), 6.22 (d, J = 2.0 Hz, 1H), 5.41 (s, 2H), 5.36 (s, 2H), 3.76 (s, 3H), 3.31 (s, 3H); ESI m/z 318 [M + H]+.", "95 4-(1-(3,4- dichloro- benzyl)-2- methyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole D 1H NMR (500 MHz, DMSO- d6) δ 8.35 (d, J = 2.0 Hz, 1H), 8.00 (d, J = 2.0 Hz, 1H), 7.61-7.59 (m, 2H), 7.13 (dd, J = 8.5, 2.0 Hz, 1H), 5.56 (s, 2H), >99 2.61 (s, 3H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 387 [M + H]+.", "96 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1- (1-phenyl- ethyl)-1H- benzo[d] imidazol- 4-amine K 1H NMR (300 MHz, DMSO- d6) δ 7.39-7.28 (m, 5H), 6.24 (s, 1H), 6.15 (s, 1H), 5.86 (q, J = 6.9 Hz, 1H), 5.26 (s, 2H), 2.58 (s, 3H), 2.20 (s, 3H), 2.02 (s, >99 3H), 1.86 (d, J = 6.9 Hz, 3H); ESI m/z 347 [M + H]+.", "97 2-(azetidin- 1-yl)-1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazol- 4-amine I 1H NMR (300 MHz, DMSO- d6) δ 7.34-7.17 (m, 5H), 6.38 (d, J = 1.5 Hz, 1H), 6.27 (d, J = 1.5 Hz, 1H), 5.16 (s, 2H), 5.02 (s, 2H), 4.08 (t, J = 7.5 Hz, 4H), 2.34-2.24 (m, 5H), 2.12 (s, 3H); ESI m/z 374 [M + H]+.", "98.8 98 3,5-dimethyl- 4-(1- (thiophen-3- ylmethyl)- 1H-pyrazolo [4,3-b] pyridin-6- yl)isoxazole A 1H NMR (500 MHz, CDCl3) δ 8.48 (d, J = 1.7 Hz, 1H), 8.39 (s, 1H), 7.47 (s, 1H), 7.34-7.32 (m, 1H), 7.23-7.21 (m, 1H), 6.97 (dd, J = 5.0 >99 Hz, 1.3 Hz, 1H), 5.67 (s, 2H), 2.39 (s, 3H), 2.23 (s, 3H); ESI m/z 311 [M + H]+.", "99 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-3- yl)acetamide No general procedure 1H NMR (300 MHz, DMSO- d6) d 10.2 (s, 1H), 8.32 (d, J = 1.8 Hz, 1H), 8.23 (s, 1H), 7.97 (d, J = 1.8 Hz, 1H), 7.32-7.25 (m, 5H), 5.45 (s, 2H), 2.40 (s, 3H), 2.22 (s, 3H), 2.12 96.7 (s, 3H); ESI MS m/z 361 [M + H]+.", "100 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- pyrrolo [3,2-b] pyridin-3- amine No general procedure 1H NMR (300 MHz, DMSO- d6) d 8.18 (d, J = 1.8 Hz, 1H), 7.82 (d, J = 1.8 Hz, 1H), 7.33-7.21 (m, 5H), 7.06 (s, 1H), 5.30 (s, 2H), 4.26 (s, 2H), 2.37 84.2 (s, 3H), 2.21 (s, 3H); ESI MS m/z 319 [M + H]+.", "101 1-(3,4- dichloro- benzyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin- 2(3H)-one R 1H NMR (500 MHz, DMSO- d6) δ 11.83 (s, 1H), 7.92 (d, J = 1.5 Hz, 1H), 7.73 (d, J = 2.0 Hz, 1H), 7.61 (d, J = 8.0 Hz, 1H), 7.53 (d, J = 2.0 Hz, 1H), 7.35 (dd, >99 J = 8.5, 2.0 Hz, 1H), 5.05 (s, 2H), 2.37 (s, 3H), 2.19 (s, 3H); ESI m/z 389 [M + H]+.", "102 1-(4-chloro- benzyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- indazol- 4-amine C 1H NMR (500 MHz, DMSO- d6) δ 8.14 (d, J = 0.8 Hz, 1H), 7.38-7.34 (m, 2H), 7.28- 7.24 (m, 2H), 6.69 (s, 1H), 6.12 (d, J = 1.1 Hz, 1H), 5.94 (s, 2H), 5.53 (s, 2H), 2.37 (s, 3H), >99 2.20 (s, 3H); ESI m/z 353 [M + H]+.", "103 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- methoxy- benzyl)-4- nitro-1H- benzo[d] imidazol- 2(3H)-one E 1H NMR (500 MHz, CD3OD) δ 7.78 (d, J = 1.5 Hz, 1H), 7.31 (d, J = 8.7 Hz, 2H), 7.23 (d, J = 1.5 Hz, 1H), 6.90 (d, J = 8.7 Hz, 2H), 5.09 (s, 2H), 3.75 (s, 3H), >99 2.32 (s, 3H), 2.14 (s, 3H); ESI m/z 395 [M + H]+.", "104 4-amino- 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- methoxy- benzyl)-1H- benzo[d] imidazol- 2(3H)-one E 1H NMR (500 MHz, CD3OD) δ 7.26 (d, J = 8.6 Hz, 2H), 6.87 (d, J = 8.6 Hz, 2H), 6.39 (d, J = 1.4 Hz, 1H), 6.26 (d, J = 1.4 Hz, 1H), 4.97 (s, 2H), 3.74 (s, 3H), 93.0 2.28 (s, 3H), 2.12 (s, 3H); HPLC 93.0%, tR = 12.2 min; ESI m/z 365 [M + H]+.", "105 1-(4-chloro- benzyl)- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin- 2(3H)-one R 1H NMR (500 MHz, DMSO- d6) δ 11.81 (s, 1H), 7.91 (d, J = 1.5 Hz, 1H), 7.45 (d, J = 2.0 Hz, 1H), 7.43-7.39 (m, 4H), 5.04 (s, 2H), 2.35 >99 (s, 3H), 2.17 (s, 3H); ESI m/z 355 [M + H]+.", "106 6-(3,5- dimethyl- isoxazol-4- yl)-1- (thiophen-2- ylmethyl)- 1H-imidazo [4,5-b] pyridin- R 1H NMR (500 MHz, DMSO- d6) δ 11.77 (s, 1H), 7.91 (d, J = 2.0 Hz, 1H), 7.57 (d, J = 2.0 Hz, 1H), 7.44 (dd, J = 5.0, 1.0 98.6 2(3H)-one Hz, 1H), 7.26 (dd, J = 3.5, 1.0 Hz, 1H), 6.97 (dd, J = 5.0, 3.5 Hz, 1H), 5.24 (s, 2H), 2.39 (s, 3H), 2.21 (s, 3H); ESI m/z 327 [M + H]+.", "107 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-ethyl- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 7.95 (d, J = 1.5 Hz, 1H), 7.37-7.31 (m, 4H), 7.28- 7.23 (m, 3H), 5.30 (s, 2H), 3.51-4.53 (m, >99 2H), 2.33 (s, 3H), 2.14 (s, 3H), 1.23 (t, J = 7.0 Hz, 3H); ESI m/z 348 [M + H]+.", "108 3,5-dimethyl- 4-(2-methyl- 1-(1-phenyl- ethyl)-1H- imidazo [4,5-b] pyridin-6- yl)isoxazole No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.27 (d, J = 2.0 Hz, 1H), 7.44 (d, J = 2.0 Hz, 1H), 7.40-7.36 (m, 4H), 7.33- 7.30 (m, 1H), 97.7 6.01 (q, J = 7.0 Hz, 1H), 2.70 (s, 3H), 2.26 (s, 3H), 2.06 (s, 3H), 1.93 (d, J = 7.0 Hz, 3H); ESI m/z 333 [M + H]+.", "109 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N2- (tetrahydro- 2H-pyran- 4-yl)-1H- benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 7.34-7.21 (m, 5H), 6.48 (d, J = 7.8 Hz, 1H), 6.29 (d, J = 1.5 Hz, 1H), 6.21 (d, J = 1.5 Hz, 1H), 5.23 (s, 2H), 4.83 (s, 2H), 4.04-3.96 >99 (m, 1H), 3.89 (dd, J = 11.4, 2.7 Hz, 2H), 3.42 (td, J = 11.4, 2.7 Hz, 2H), 2.28 (s, 3H), 2.11 (s, 3H); 1.98 (dd, J = 12.3, 2.7 Hz, 2H), 1.62- 1.49 (m, 2H), ESI m/z 418 [M + H]+.", "110 6-(3,5- dimethyl- isoxazol-4- yl)-4-nitro- 1-(1-phenyl- ethyl)-1H- benzo[d] imidazol- 2(3H)-one P 1H NMR (500 MHz, CD3OD) δ 7.75 (d, J = 1.3 Hz, 1H), 7.44 (d, J = 7.7 Hz, 2H), 7.38 (t, J = 7.7 Hz, 2H), 7.31 (t, J = 7.7 Hz, 1H), 6.88 (d, J = 1.3 Hz, 1H), 5.88 (q, >99 J = 7.1 Hz, 1H), 2.20 (s, 3H), 2.02 (s, 3H), 1.91 (d, J = 7.2 Hz, 3H); ESI m/z 377 [M − H]+.", "111 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2-oxo- 2,3-dihydro- 1H-benzo[d] imidazol-4- yl)acetamide O 1H NMR (300 MHz, DMSO- d6) δ 10.78 (s, 1H), 9.85 (s, 1H), 7.60-7.46 (m, 5H), 7.28 (d, J = 1.2 Hz, 1H), 7.06 (d, J = 1.2 Hz, 1H), 5.22 (s, 2H), 2.51 (s, 3H), 2.33 (s, 3H), 2.27 (s, 3H); ESI m/z 377 [M + H]+.", "98.8 112 6-(3,5- dimethyl- isoxazol-4- yl)-1-(1- phenylethyl)- 1H-imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 11.78 (s, 1H), 7.87 (d, J = 2.0 Hz, 1H), 7.44 (d, J = 7.5 Hz, 2H), 7.36 (t, J = 7.5 Hz, 2H), 7.29 (t, >99 J = 7.5 Hz, 1H), 7.09 (d, J = 2.0 Hz, 1H), 5.72 (q, J = 7.0 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J = 7.0 Hz, 3H); ESI m/z 335 [M + H]+.", "113 6-(3,5- dimethyl- isoxazol-4- yl)-N-ethyl- 1-(1- phenylethyl)- 1H-imidazo [4,5-b] pyridin-2- No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.90 (d, J = 2.0 Hz, 1H), 7.40-7.28 (m, 6H), 6.81 (d, J = 2.0 Hz, 1H), 5.84 (q, J = 7.0 Hz, >99 amine 1H), 3.54-3.48 (m, 2H), 2.20 (s, 3H), 1.99 (s, 3H), 1.83 (d, J = 7.0 Hz, 3H), 1.27 (t, J = 7.0 Hz, 3H); ESI m/z 362 [M + H]+.", "114 4-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin-2-yl) morpholine Q 1H NMR (500 MHz, CDCl3) δ 8.24 (d, J = 2.0 Hz, 1H), 7.41-7.34 (m, 3H), 7.15 (d, J = 6.5 Hz, 2H), 7.06 (d, J = 1.0 Hz, >99 1H), 5.26 (s, 2H), 3.83 (t, J = 4.5 Hz, 4H), 3.50 (t, J = 4.5 Hz, 4H), 2.29 (s, 3H), 2.11 (s, 3H); ESI m/z 390 [M + H]+.", "115 4-amino-6- (3,5-dimethyl- isoxazol-4- yl)-1-(1- phenylethyl)- 1H-benzo[d] imidazol- 2(3H)-one P 1H NMR (500 MHz, CD3OD) δ 7.42-7.32 (m, 4H), 7.26 (t, J = 6.9 Hz, 1H), 6.35 (s, 1H), 5.94 (s, 1H), 5.78 (q, J = 7.2 Hz, 1H), 2.17 (s, 3H), 2.00 (s, 3H), 1.86 (d, >99 J = 7.2 Hz, 3H); ESI m/z 349 [M + H]+.", "116 4-(1-(cyclo- butylmethyl)- 2-methyl- 4-nitro-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole F 1H NMR (500 MHz, DMSO- d6) δ 8.09 (d, J = 1.5 Hz, 1H), 7.91 (d, J = 1.5 Hz, 1H), 4.37 (d, J = 7.0 Hz, 2H), 2.80-2.75 (m, 1H), 2.67 (s, 3H), 2.45 (s, 3H), 1.94 >99 (s, 3H), 1.95- 1.90 (m, 2H), 1.86-1.77 (m, 4H); ESI m/z 341 [M + H]+.", "117 4-(1-(cyclo- pentyl- methyl)- 2-methyl- 4-nitro-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole F 1H NMR (500 MHz, DMSO- d6) δ 8.06 (d, J = 1.5 Hz, 1H), 7.91 (d, J = 1.5 Hz, 1H), 4.29 (d, J = 7.5 Hz, 2H), 2.68 (s, 3H), 2.45 (s, 3H), 2.37 (m, 1H), 2.27 (s, >99 3H), 1.71-1.58 (m, 4H), 1.57- 1.47 (m, 2H), 1.33-1.27 (m, 2H); ESI m/z 355 [M + H]+.", "118 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] No general procedure 1H NMR (500 MHz, CD3OD) δ 7.90 (d, J = 1.5 Hz, 1H), 7.50 (d, J = 1.5 Hz, 1H), 3.81 (d, J = 7.0 Hz, 2H), >99 pyridin- 2.42 (s, 3H), 2(3H)-one 2.26 (s, 3H), 1.31-1.20 (m, 1H), 0.60-0.53 (m, 2H), 0.44- 0.38 (m, 2H).", "ESI m/z 285 [M + H]+.", "119 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- (ethylamino)- 1H-benzo[d] imidazol-4- yl)acetamide O 1H NMR (300 MHz, DMSO- d6) δ 9.37 (s, 1H), 7.60 (s, 1H), 7.35-7.20 (m, 5H), 6.93 (t, J = 5.4 Hz, 1H), 6.80 (s, 1H), 5.29 (s, 2H), 3.57-3.48 (m, 2H), 2.31 (s, 3H), 2.15 (s, 3H), 2.13 (s, 3H), 1.23 99.0 (t, J = 7.2 Hz, 3H); ESI m/z 404 [M + H]+.", "120 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- ethoxy-1H- benzo[d] imidazol-4- yl)acetamide O 1H NMR (300 MHz, DMSO- d6) δ 9.64 (s, 1H), 7.73 (s, 1H), 7.37-7.27 (m, 5H), 7.11 (s, 1H), 5.25 (s, 2H), 4.65 (q, J = 7.2 Hz, 2H), 2.35 (s, 3H), 2.18 (s, 3H), 2.16 (s, 3H), 1.43 (t, J = 7.2 Hz, >99 3H); ESI m/z 405 [M + H]+.", "121 4-(1-benzyl- 4-bromo-2- methyl-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, CD3OD) δ 7.40-7.25 (m, 5H), 7.15 (d, J = 7.7 Hz, 2H), 5.51 (s, 2H), 2.64 (s, 3H), 2.32 (s, 3H), 2.15 (s, 3H); ESI m/z 396 [M + H]+.", ">99 122 3-benzyl-5- (3,5-dimethyl- isoxazol-4- yl)-2-ethyl- 1H-benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.37 (d, J = 7.5 Hz, 2H), 7.33 (t, J = 7.0 Hz, 2H), 7.29 (d, J = 8.0 Hz, 1H), 7.26 (t, J = 7.0 Hz, 1H), 7.09 (d, 94.6 J = 1.5 Hz, 1H), 7.03 (dd, J = 8.0, 1.5 Hz, 1H), 5.08 (s, 2H), 3.94 (q, J = 7.0 Hz, 2H), 2.31 (s, 3H), 2.13 (s, 3H), 1.26 (t, J = 7.0 Hz, 3H); ESI m/z 348 [M + H]+.", "123 4-(2- (azetidin-1- yl)-1-benzyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole Q 1H NMR (500 MHz, CDCl3) δ 8.07 (s, 1H), 7.43-7.37 (m, 3H), 7.13 (d, J = 6.5 Hz, 2H), 7.05 (s, 1H), 5.23 (s, 2H), 4.49 (t, J = 7.0 Hz, >99 4H), 2.54 (quin, J = 7.5 Hz, 2H), 2.30 (s, 3H), 2.10 (s, 3H); ESI m/z 360 [M + H]+.", "124 1-((5-chloro- thiophen-2- yl)methyl)- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] R 1H NMR (500 MHz, DMSO- d6) δ 11.81 (s, 1H), 7.92 (d, J = 2.0 Hz, 1H), 7.63 (d, J = 1.5 Hz, 1H), 7.15 (d, J = 4.0 Hz, >99 pyridin- 1H), 6.99 (s, 2(3H)-one J = 4.0 Hz, 1H), 5.17 (s, 2H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 361 [M + H]+.", "125 (S)-3,5- dimethyl-4- (2-methyl- 4-nitro- 1-(1-phenyl- ethyl)-1H- benzo[d] imidazol-6- yl)isoxazole S 1H NMR (300 MHz, DMSO- d6) d 7.87 (d, J = 1.5 Hz, 1H), 7.42-7.30 (m, 6H), 6.11 (q, J = 7.2 Hz, 1H), 2.74 (s, 3H), 2.23 (s, 3H), 2.04 (s, 3H), 1.94 (d, J = 6.9 Hz, >99 3H); ESI MS m/z 377 [M + H]+.", "126 (R)-3,5- dimethyl-4- (2-methyl- 4-nitro- 1-(1-phenyl- ethyl)-1H- benzo[d] imidazol-6- yl)isoxazole S 1H NMR (300 MHz, DMSO- d6) d 7.87 (d, J = 1.5 Hz, 1H), 7.42-7.30 (m, 6H), 6.11 (q, J = 7.2 Hz, 1H), 2.74 (s, 3H), 2.23 (s, 3H), 2.04 (s, 3H), 1.94 (d, J = 6.9 Hz, 98.3 3H); ESI MS m/z 377 [M + H]+.", "127 6-(3,5- dimethyl- isoxazol-4- yl)-N-ethyl- 4-nitro-1- (1-phenyl- ethyl)-1H- benzo[d] imidazol- 2-amine No general procedure 1H NMR (500 MHz, CD3OD) δ 7.70 (d, J = 1.5 Hz, 1H), 7.45-7.30 (m, 5H), 6.72 (d, J = 1.5 Hz, 1H), 5.86 (q, J = 7.0 Hz, 1H), 3.72 (q, J = 7.2 Hz, 2H), 2.17 (s, 96.3 3H), 1.98 (s, 3H), 1.90 (d, J = 7.0 Hz, 3H), 1.36 (t, J = 7.2 Hz, 3H); ESI m/z 406 [M + H]+.", "128 4-(1-benzyl- 2-ethyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole D 1H NMR (500 MHz, CDCl3) δ 8.42 (d, J = 1.7 Hz, 1H), 7.39-7.33 (m, 3H), 7.30 (d, J = 1.6 Hz, 1H), 7.10-7.09 (m, 2H), 5.41 (s, 2H), 3.08 >99 (q, J = 7.5 Hz, 2H), 2.32 (s, 3H), 2.15 (s, 3H), 1.51 (t, J = 7.5 Hz, 3H); ESI m/z 333 [M + H]+.", "129 4-amino-6- (3,5-dimethyl- isoxazol-4- yl)-1-(4- hydroxy- benzyl)-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (500 MHz, CD3OD) δ 7.17 (d, J = 8.6 Hz, 2H), 6.72 (d, J = 8.6 Hz, 2H), 6.39 (d, J = 1.3 Hz, 1H), 6.26 (d, J = 1.3 Hz, 1H), 4.94 (s, 2H), 2.28 (s, 3H), 2.12 (s, >99 3H); ESI m/z 351 [M + H]+.", "130 N-(2- (azetidin-1- yl)-1-benzyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- benzo[d] imidazol-4- yl)acetamide O 1H NMR (300 MHz, DMSO- d6) δ 7.69 (s, 1H), 7.36-7.16 (m, 6H), 6.92 (s, 1H), 5.26 (s, 2H), 4.18 (t, J = 7.5 Hz, 4H), 2.35-2.27 (m, 5H), 2.15 (s, 3H), 2.14 (s, 3H); ESI m/z 416 [M + H]+.", "98.2 131 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-N-ethyl- 1H-imidazo [4,5-b] No general procedure 1H NMR (500 MHz, CDCl3) δ 7.93 (d, J = 2.0 Hz, 1H), 7.48 (d, J = 1.5 Hz, 1H), 3.98 (d, J = 6.5 Hz, 2H), >99 pyridin- 3.57 (q, J = 2-amine 7.0 Hz, 2H), 2.42 (s, 3H), 2.26 (s, 3H), 1.30 (t, J = 7.0 Hz, 3H), 1.29- 1.19 (m, 1H), 0.59-0.52 (m, 2H), 0.45-0.39 (m, 2H).", "ESI m/z 312 [M + H]+.", "132 1-(cyclo- butylmethyl)- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol- 4-amine F 1H NMR (500 MHz, CD3OD) δ 6.70 (d, J = 1.5 Hz, 1H), 6.43 (d, J = 1.5 Hz, 1H), 4.18 (d, J = 7.0 Hz, 2H), 2.85-2.79 (m, 1H), 2.60 (s, 3H), 2.40 (s, 98.5 3H), 2.25 (s, 3H), 2.06-1.98 (m, 2H), 1.94- 1.82 (m, 4H); ESI m/z 311 [M + H]+.", "133 1-(cyclo- pentyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol- 4-amine F 1H NMR (500 MHz, CD3OD) δ 6.69 (d, J = 1.5 Hz, 1H), 6.44 (d, J = 1.5 Hz, 1H), 4.10 (d, J = 7.5 Hz, 2H), 2.61 (s, 3H), 2.50-2.40 (m, 1H), 2.40 (s, >99 3H), 2.25 (s, 3H), 1.80-1.65 (m, 4H), 1.64- 1.55 (m, 2H), 1.42-1.28 (m, 2H); ESI m/z 325 [M + H]+.", "134 6-(3,5- dimethyl- isoxazol-4- yl)-N2- ethyl-1-(1- phenylethyl)- 1H-benzo[d] imidazole- 2,4-diamine No general procedure 1H NMR (500 MHz, CD3OD) δ 7.40-7.25 (m, 5H), 6.31 (d, J = 1.5 Hz, 1H), 5.92 (d, J = 1.5 Hz, 1H), 5.72 (q, J = 6.9 Hz, 1H), 3.53 (q, J = 7.2 Hz, 2H), 2.15 (s, >99 3H), 1.99 (s, 3H), 1.86 (d, J = 7.0 Hz, 3H), 1.33 (t, J = 7.2 Hz, 3H); ESI m/z 376 [M + H]+.", "135 4-(1-benzyl- 4-nitro-2- (pyrrolidin- 1-yl)-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole I 1H NMR (300 MHz, DMSO- d6) δ 7.74 (d, J = 1.5 Hz, 1H), 7.55 (d, J = 1.5 Hz, 1H), 7.37-7.24 (m, 3H), 7.15- 7.12 (m, 2H), 5.60 (s, 2H), 3.69 (t, J = 6.9 Hz, 4H), 2.34 (s, 3H), 2.16 96.8 (s, 3H), 1.92- 1.88 (m, 4H); ESI m/z 418 [M + H]+.", "136 4-(1-benzyl- 2-(4-methyl- piperazin-1- yl)-4-nitro- 1H-benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole I 1H NMR (300 MHz, DMSO- d6) δ 7.82 (d, J = 1.5 Hz, 1H), 7.59 (d, J = 1.5 Hz, 1H), 7.37-7.28 (m, 3H), 7.22- 7.19 (m, 2H), 5.45 (s, 2H), 3.40 (t, J = 4.8 Hz, 4H), 2.45 (t, J = 4.5 Hz, 98.5 4H), 2.33 (s, 3H), 2.21 (s, 3H), 2.13 (s, 3H); ESI m/z 447 [M + H]+.", "137 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(2- methoxy- ethyl)- 4-nitro-1H- benzo[d] imidazol- 2-amine I 1H NMR (300 MHz, DMSO- d6) δ 7.84 (t, J = 5.1 Hz, 1H), 7.67 (d, J = 1.5 Hz, 1H), 7.44 (d, J = 1.5 Hz, 1H), 7.36-7.25 (m, 5H), 5.41 (s, 2H), 3.73- 3.67 (m, 2H), 97.4 3.61-3.57 (m, 2H), 3.27 (s, 3H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 422 [M + H]+.", "138 4-(1-benzyl- 2-cyclo- propyl-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.29 (d, J = 2.1 Hz, 1H), 7.95 (d, J = 2.0 Hz, 1H), 7.37-7.33 (m, 2H), 7.30- 7.28 (m, 3H), 5.67 (s, 2H), >99 2.38 (s, 3H), 2.37-2.35 (m, 1H), 2.20 (s, 3H), 1.13-1.11 (m, 4H); ESI m/z 345 [M + H]+.", "139 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N2-(2- methoxy- ethyl)-1H- benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 7.33- 7.20 (m, 5H), 6.76 (t, J = 5.1 Hz, 1H), 6.32 (d, J = 1.2 Hz, 1H), 6.21 (d, J = 1.5 Hz, 1H), 5.21 (s, 2H), 4.84 (s, 2H), 3.56 (s, 97.5 4H), 3.28 (s, 3H), 2.29 (s, 3H), 2.11 (s, 3H); ESI m/z 392 [M + H]+.", "140 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- (pyrrolidin- 1-yl)-1H- benzo[d] imidazol- 4-amine I 1H NMR (300 MHz, DMSO- d6) δ 7.34- 7.24 (m, 3H), 7.18-7.15 (m, 2H), 6.35 (d, J = 1.5 Hz, 1H), 6.28 (d, J = 1.2 Hz, 1H), 5.42 (s, 2H), 4.98 (s, 2H), 3.47 (t, >99 J = 6.9 Hz, 4H), 2.29 (s, 3H), 2.12 (s, 3H), 1.88-1.84 (m, 4H); ESI m/z 388 [M + H]+.", "141 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2-(4- methyl- piperazin-1- yl)-1H- benzo[d] imidazol- 4-amine I 1H NMR (300 MHz, DMSO- d6) δ 7.34- 7.20 (m, 5H), 6.35 (d, J = 1.5 Hz, 1H), 6.29 (d, J = 1.2 Hz, 1H), 5.22 (s, 2H), 5.16 (s, 2H), 3.14 (t, J = 4.8 Hz, 4H), 97.8 2.50 (t, J = 4.5 Hz, 4H), 2.27 (s, 3H), 2.23 (s, 3H), 2.10 (s, 3H); ESI m/z 417 [M + H]+.", "142 1-benzyl-N6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazole- 4,6-diamine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.31 (t, J = 7.5 Hz, 2H), 7.25 (t, J = 7.5 Hz, 1H), 7.04 (d, J = 7.5 Hz, 2H), 6.69 (s, 1H), 5.73 (d, J = 2.0 Hz, 1H), 5.60 (d, J = 2.0 Hz, >99 1H), 5.18 (s, 2H), 5.05 (s, 2H), 2.38 (s, 3H), 2.13 (s, 3H), 1.92 (s, 3H); ESI m/z 348 [M + H]+.", "143 (S)-6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1- (1-phenyl- ethyl)-1H- benzo[d] imidazol- 4-amine S 1H NMR (300 MHz, DMSO- d6) d 7.39-7.26 (m, 5H), 6.23 (d, J = 1.5 Hz, 1H), 6.14 (d, J = 1.2 Hz, 1H), 5.86 (q, J = 7.2 Hz, 1H), 5.26 (s, 2H), 2.58 (s, 3H), 2.20 (s, >99 3H), 2.02 (s, 3H), 1.86 (d, J = 6.9 Hz, 3H); ESI MS m/z 347 [M + H]+.", "144 (R)-6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1- (1-phenyl- ethyl)-1H- benzo[d] imidazol- 4-amine S 1H NMR (300 MHz, DMSO- d6) d 7.39-7.26 (m, 5H), 6.23 (d, J = 1.5 Hz, 1H), 6.14 (d, J = 1.2 Hz, 1H), 5.86 (q, J = 7.2 Hz, 1H), 5.26 (s, 2H), 2.58 (s, 3H), 2.20 (s, >99 3H), 2.02 (s, 3H), 1.86 (d, J = 6.9 Hz, 3H); ESI MS m/z 347 [M + H]+.", "145 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-4-nitro- 1H-benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (500 MHz, CD3OD) δ 7.82 (d, J = 1.5 Hz, 1H), 7.52 (d, J = 1.0 Hz, 1H), 3.87 (d, J = 7.0 Hz, 2H), 2.45 (s, 3H), 2.29 (s, 3H), 1.30-1.18 (m, 1H), 0.60- 0.52 (m, 2H), >99 0.47-0.43 (m, 2H).", "ESI m/z 329 [M + H]+.", "146 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N- methyl-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 7.96 (d, J = 2.0 Hz, 1H), 7.42 (d, J = 1.0 Hz, 1H), 7.40-7.36 (br s, 1H), 7.35- 7.31 (m, 2H), 7.28-7.23 (m, >99 3H), 5.29 (s, 2H), 3.00 (d, J = 4.6 Hz, 3H), 2.34 (s, 3H), 2.15 (s, 3H); ESI m/z 334 [M + H]+.", "147 N,1-dibenzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-4-nitro- 1H-benzo[d] imidazol- 2-amine I 1H NMR (300 MHz, DMSO- d6) δ 8.25 (t, J = 5.4 Hz, 1H), 7.69 (s, 1H), 7.50 (s, 1H), 7.39-7.22 (m, 10H), 5.44 (s, 2H), 4.77 (d, J = 5.7 Hz, 2H), 2.35 (s, 3H), 2.16 (s, 3H); ESI m/z 97.9 454 [M + H]+.", "148 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-4-nitro- N-(pyridin-3- ylmethyl)- 1H-benzo[d] imidazol- 2-amine I 1H NMR (300 MHz, DMSO- d6) δ 8.65 (d, J = 1.5 Hz, 1H), 8.47 (dd, J = 4.8, 1.5 Hz, 1H), 8.30 (t, J = 6.0 Hz, 1H), 7.81 (dt, J = 7.8, 1.8 Hz, 1H), 7.70 (d, J = 1.5 Hz, 1H), 7.51 (d, 98.5 J = 1.5 Hz, 1H), 7.38-7.21 (m, 6H), 5.42 (s, 2H), 4.76 (d, J = 5.7 Hz, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 455 [M + H]+.", "149 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-methyl- 4-nitro- 1H-benzo[d] imidazol- 2-amine I 1H NMR (300 MHz, DMSO- d6) δ 7.68-7.66 (m, 2H), 7.45 (d, J = 1.5 Hz, 1H), 7.37-7.22 (m, 5H), 5.37 (s, 2H), 3.06 (d, J = 4.8 Hz, 3H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 378 [M + H]+.", ">99 150 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-3- methyl-4- nitro-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (300 MHz, CDCl3) δ 7.48 (d, J = 1.5 Hz, 1H), 7.35-7.30 (m, 5H), 6.84 (d, J = 1.5 Hz, 1H), 5.15 (s, 2H), 3.65 (s, 3H), 2.26 (s, 3H), 2.09 (s, 3H); ESI m/z 379 [M + H]+.", ">99 151 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N2- methyl-1H- benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 7.33-7.20 (m, 5H), 6.63 (br.s, 1H), 6.32 (s, 1H), 6.23 (s, 1H), 5.17 (s, 2H), 4.86 (s, 2H), 2.94 (d, J = 4.5 Hz, 3H), 2.29 (s, 3H), 2.12 (s, >99 3H); ESI m/z 348 [M + H]+.", "152 N2,1- dibenzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 7.37-7.22 (m, 11H), 6.35 (s, 1H), 6.22 (s, 1H), 5.26 (s, 2H), 4.83 (s, 2H), 4.65 (d, J = 5.7 Hz, 2H), 2.29 (s, 3H), 2.12 (s, 3H); ESI m/z >99 424 [M + H]+.", "153 N,1- dibenzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 7.98-7.95 (m, 2H), 7.44 (d, J = 2.0 Hz, 1H), 7.36-7.24 (m, 10H), 5.37 (s, 2H), 4.68 (d, J = 5.9 Hz, 2H), 2.34 (s, 3H), 2.15 (s, >99 3H); ESI m/z 410 [M + H]+.", "154 1-benzyl-2- methyl-6-(1- methyl-1H- pyrazol-5- yl)-1H- imidazo [4,5-b] pyridine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.48 (d, J = 2.0 Hz, 1H), 8.14 (d, J = 2.0 Hz, 1H), 7.50 (d, J = 2.0 Hz, 1H), 7.35 (t, J = 7.0 Hz, 99.0 2H), 7.29 (t, J = 7.0 Hz, 1H), 7.21 (d, J = 7.0 Hz, 2H), 6.46 (d, J = 2.0 Hz, 1H), 5.57 (s, 2H), 3.83 (s, 3H), 2.60 (s, 3H); ESI m/z 304 [M + H]+.", "155 N-(1-benzyl- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazol- 4-amine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.88 (d, J = 2.5 Hz, 1H), 7.34-7.30 (m, 3H), 7.27 (t, J = 7.0 Hz, 1H), 7.05 (d, J = 7.0 Hz, 2H), 6.71 (d, J = 2.5 Hz, >99 1H), 5.38 (s, 2H), 2.47 (s, 3H), 2.14 (s, 3H), 1.92 (s, 3H); ESI m/z 334 [M + H]+.", "156 4-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-3,4- dihydro- quinoxalin- 2(1H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 10.58 (s, 1H), 7.38- 7.34 (m, 4H), 7.30-7.23 (m, 1H), 6.87 (d, J = 7.9 Hz, 1H), 6.65 (d, J = 7.9 Hz, 1H), 6.51 (s, 1H), 4.46 (s, >99 2H), 3.86 (s, 2H), 2.15 (s, 3H), 1.97 (s, 3H); ESI m/z 334 [M + H]+.", "157 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N2- (pyridin-3- ylmethyl)- 1H-benzo[d] imidazole- 2,4-diamine I 1H NMR (300 MHz, DMSO- d6) δ 8.62 (d, J = 1.5 Hz, 1H), 8.44 (dd, J = 4.8, 1.5 Hz, 1H), 7.78 (dt, J = 7.8, 1.8 Hz, 1H), 7.35-7.20 (m, 7H), 6.35 (d, J = 1.5 Hz, 1H), 6.22 (d, 97.9 J = 1.5 Hz, 1H), 5.24 (s, 2H), 4.87 (s, 2H), 4.64 (d, J = 5.7 Hz, 2H), 2.29 (s, 3H), 2.12 (s, 3H); ESI m/z 425 [M + H]+.", "158 4-(1-benzyl- 4-fluoro-2- methyl-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole S 1H NMR (300 MHz, DMSO- d6) d 7.38-7.26 (m, 4H), 7.22- 7.19 (m, 2H), 7.03 (dd, J = 11.7, 1.2 Hz, 1H), 5.53 (s, 2H), 2.57 (s, 3H), 2.36 (s, 3H), 2.19 (s, 3H); ESI MS >99 m/z 336 [M + H]+.", "159 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-N-ethyl- 4-nitro-1H- benzo[d] imidazol- 2-amine No general procedure 1H NMR (500 MHz, CDCl3) δ 7.78 (d, J = 1.5 Hz, 1H), 7.44 (d, J = 1.5 Hz, 1H), 4.03 (d, J = 6.5 Hz, 2H), 3.67 (q, J = 7.0 Hz, 2H), 2.44 (s, 3H), 2.29 (s, 3H), >99 1.33 (t, J = 7.0 Hz, 3H), 1.30-1.18 (m, 1H), 0.60-0.52 (m, 2H), 0.47- 0.41 (m, 2H).", "ESI m/z 356 [M + H]+.", "160 1-(cyclo- propyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-N2- ethyl-1H- benzo[d] imidazole- 2,4-diamine No general procedure 1H NMR (500 MHz, CD3OD) δ 6.49 (d, J = 1.5 Hz, 1H), 6.37 (d, J = 1.5 Hz, 1H), 3.88 (d, J = 6.5 Hz, 2H), 3.48 (q, J = 7.0 Hz, 2H), 2.39 (s, 3H), >99 2.24 (s, 3H), 1.30 (t, J = 7.5 Hz, 3H), 1.28-1.18 (m, 1H), 0.53-0.48 (m, 2H), 0.40- 0.35 (m, 2H).", "ESI m/z 326 [M + H]+.", "161 4-amino-1- (cyclopropyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (500 MHz, CD3OD) δ 6.49 (d, J = 1.5 Hz, 1H), 6.42 (d, J = 1.5 Hz, 1H), 3.75 (d, J = 6.5 Hz, 2H), 2.39 (s, 3H), 2.24 (s, 3H), 1.28-1.18 (m, 97.4 1H), 0.56-0.48 (m, 2H), 0.44- 0.39 (m, 2H).", "ESI m/z 299 [M + H]+.", "162 4-amino-1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-3- methyl-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 7.36-7.24 (m, 5H), 6.40 (d, J = 1.5 Hz, 1H), 6.39 (d, J = 1.8 Hz, 1H), 5.08 (s, 2H), 4.99 (s, 2H), 3.62 (s, 3H), 2.29 (s, 3H), 2.12 (s, >99 3H); ESI m/z 349 [M + H]+.", "163 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-4- fluoro-1H- benzo[d] imidazol- 2(3H)-one J 1H NMR (300 MHz, DMSO- d6) d 11.7 (s, 1H), 7.39-7.27 (m, 5H), 6.96 (d, J = 1.2 Hz, 1H), 6.92 (s, 1H), 5.04 (s, 2H), 2.32 (s, 3H), 2.14 (s, 3H); ESI MS m/z 338 90.3 [M + H]+.", "164 N-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-3- methyl-2- oxo-2,3- dihydro-1H- benzo[d] imidazol-4- yl)acetamide O 1H NMR (300 MHz, DMSO- d6) δ 9.77 (s, 1H), 7.41-7.24 (m, 5H), 7.03 (d, J = 1.5 Hz, 1H), 6.77 (d, J = 1.5 Hz, 1H), 5.08 (s, 2H), 3.46 (s, 3H), 2.31 (s, 3H), 2.14 (s, 3H), 2.08 (s, 3H); ESI m/z 391 [M + H]+.", ">99 165 4-(1-benzyl- 2-(4-methyl- piperazin-1- yl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole Q 1H NMR (500 MHz, DMSO- d6) δ 8.17 (d, J = 2.1 Hz, 1H), 7.57 (d, J = 2.1 Hz, 1H), 7.36-7.31 (m, 2H), 7.29- 7.25 (m, 1H), 7.22-7.19 (m, >99 2H), 5.36 (s, 2H), 3.35-3.32 (m, 4H), 2.46- 2.44 (m, 4H), 2.32 (s, 3H), 2.22 (s, 3H), 2.14 (s, 3H); ESI m/z 403 [M + H]+.", "166 4-benzyl-6- (1-methyl- 1H-pyrazol- 5-yl)-3,4- dihydro- quinoxalin- 2(1H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 10.62 (s, 1H), 7.37- 7.33 (m, 5H), 7.29-7.25 (m, 1H), 6.90 (d, J = 7.9 Hz, 1H), 6.80 (dd, J = 7.9, 1.8 Hz, 1H), 6.70 (d, J = 1.6 Hz, >99 1H), 6.18 (d, J = 1.8 Hz, 1H), 4.49 (s, 2H), 3.83 (s, 2H), 3.58 (s, 3H); ESI m/z 319 [M + H]+.", "167 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(2- methoxy- ethyl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 7.95 (d, J = 2.0 Hz, 1H), 7.54-7.50 (m, 1H), 7.40 (d, J = 2.0 Hz, 1H), 7.34-7.30 (m, 2H), 7.28- 7.23 (m, 3H), 5.32 (s, 2H), >99 3.64-3.59 (m, 2H), 3.58-3.55 (m, 2H), 3.29 (s, 3H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 378 [M + H]+.", "168 4-(1-benzyl- 2-methyl-4- (methyl- sulfonyl)- 1H-benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) δ 7.75 (d, J = 1.5 Hz, 1H), 7.37-7.33 (m, 3H), 7.24 (d, J = 1.5 Hz, 1H), 7.11-7.08 (m, 2H), 5.39 (s, 2H), 3.54 (s, 3H), 2.73 (s, 3H), 2.31 (s, 3H), 2.16 92.3 (s, 3H); ESI m/z 396 [M + H]+.", "169 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N- (pyridin-4- ylmethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 8.50-8.46 (m, 2H), 8.08 (t, J = 5.9 Hz, 1H), 7.97 (d, J = 2.0 Hz, 1H), 7.51 (d, J = 2.0 Hz, 1H), 7.40-7.25 (m, 7H), 5.40 98.0 (s, 2H), 4.69 (d, J = 5.9 Hz, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 411 [M + H]+.", "170 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N- (tetrahydro- 2H-pyran- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 7.96 (d, J = 2.0 Hz, 1H), 7.39 (d, J = 2.0 Hz, 1H), 7.37-7.22 (m, 6H), 5.35 (s, 2H), 4.14- 3.98 (m, 1H), 3.95-3.86 (m, 2H), 3.50-3.38 (m, 2H), 2.33 >99 (s, 3H), 2.14 (s, 3H), 2.00- 1.91 (m, 2H), 1.68-1.50 (m, 2H); ESI m/z 404 [M + H]+.", "171 1-benzyl-6- (1-methyl- 1H-pyrazol- 5-yl)-1H- imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 11.87 (s, 1H), 8.04 (d, J = 1.5 Hz, 1H), 7.57 (d, J = 1.5, 1H), 7.46 (d, J = 2.0 Hz, 1H), 7.38 (d, J = >99 7.5 Hz, 2H), 7.34 (t, J = 7.5 Hz, 2H), 7.27 (t, J = 7.0 Hz, 1H), 6.37 (d, J = 1.5 Hz, 1H), 5.06 (s, 2H), 3.77 (s, 3H); ESI m/z 306 [M + H]+.", "172 (S)-6-(3,5- dimethyl- isoxazol-4- yl)-4-nitro- 1-(1- phenylethyl)- 1H-benzo[d] imidazol- 2(3H)-one P 1H NMR (300 MHz, DMSO- d6) d 12.1 (s, 1H), 7.68 (d, J = 1.5 Hz, 1H), 7.45-7.29 (m, 5H), 7.13 (d, J = 1.2 Hz, 1H), 5.79 (q, J = 7.2 Hz, 1H), 2.25 (s, 3H), 2.04 (s, 3H), 1.88 (d, >99 J = 7.2 Hz, 3H); ESI MS m/z 379 [M + H]+.", "173 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4-ol No general procedure 1H NMR (500 MHz, DMSO- d6) δ 9.84 (s, 1H), 7.33 (t, J = 7.6 Hz, 2H), 7.26 (t, J = 7.3 Hz, 1H), 7.18 (d, J = 7.1 Hz, 2H), 6.86 (d, J = 1.3 Hz, 1H), 6.47 (d, >99 J = 1.3 Hz, 1H), 5.42 (s, 2H), 2.52 (s, 3H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 334 [M + H]+.", "174 (R)-4-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-3- methyl-3,4- dihydro- quinoxalin- 2(1H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 10.53 (s, 1H), 7.37-7.32 (m, 4H), 7.26- 7.23 (m, 1H), 6.88 (d, J = 7.9 Hz, 1H), 6.66 (dd, J = 7.9, 1.7 Hz, 1H), 6.42 (d, J = 1.5 Hz, 98.7 1H), 4.54 (d, J = 15.6 Hz, 1H), 4.37 (d, J = 15.7 Hz, 1H), 3.98 (q, J = 6.7 Hz, 1H), 2.11 (s, 3H), 1.93 (s, 3H), 1.12 (d, J = 6.7 Hz, 3H); ESI m/z 348 [M + H]+.", "175 4-(1-benzyl- 6-(1-methyl- 1H-pyrazol- 5-yl)-1H- imidazo [4,5-b] pyridin-2- yl)morpholine Q 1H NMR (500 MHz, DMSO- d6) δ 8.33 (d, J = 1.5 Hz, 1H), 7.74 (d, J = 1.5 Hz, 1H), 7.46 (d, J = 1.5 Hz, 1H), 7.34 (t, J = 7.5 Hz, 95.6 2H), 7.27 (t, J = 7.5 Hz, 1H), 7.20 (d, J = 7.0 Hz, 2H), 6.38 (d, J = 1.5 Hz, 1H), 5.42 (s, 2H), 3.76 (s, 3H), 3.72 (t, J = 4.5 Hz, 4H), 3.34 (t, J = 4.5 Hz, 4H); ESI m/z 375 [M + H]+.", "176 1-benzyl-6- (1-methyl-1H- pyrazol-5- yl)-N- (tetrahydro- 2H-pyran- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 8.10 (d, J = 2.0 Hz, 1H), 7.53 (d, J = 2.0 Hz, 1H), 7.43 (d, J = 2.0 Hz, 1H), 7.33 (t, J = 7.0 Hz, 2H), 7.28-7.21 (m, 4H), 6.32 (d, J = 1.5 Hz, >99 1H), 5.37 (s, 2H), 4.11-4.04 (m, 1H), 3.91 (dd, J = 10.0, 2.0 Hz, 2H), 3.75 (s, 3H), 3.44 (td, J = 12.0, 2.0 Hz, 2H), 1.96 (dd, J = 12.5, 2.0 Hz, 2H), 1.60 (qd, J = 12.0, 4.0 Hz, 2H); ESI m/z 389 [M + H]+.", "177 4-amino-1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- benzo[d] imidazole- 2(3H)- thione No general procedure 1H NMR (300 MHz, DMSO- d6) δ 12.56 (s, 1H), 7.45-7.42 (m, 2H), 7.34- 7.25 (m, 3H), 6.44 (d, J = 1.2 Hz, 1H), 6.39 (d, J = 1.5 Hz, 1H), 5.44 (s, 4H), 2.29 (s, 3H), 98.6 2.11 (s, 3H); ESI m/z 351 [M + H]+.", "178 (S)-4-amino- 6-(3,5- dimethyl- isoxazol-4- yl)-1-(1- phenylethyl)- 1H-benzo[d] imidazol- 2(3H)-one P 1H NMR (300 MHz, DMSO- d6) d 10.5 (s, 1H), 7.41-7.26 (m, 5H), 6.24 (d, J = 1.5 Hz, 1H), 5.97 (d, J = 1.2 Hz, 1H), 5.65 (q, J = 7.2 Hz, 1H), 5.04 (s, 2H), 2.19 (s, >99 3H), 2.01 (s, 3H), 1.79 (d, J = 7.2 Hz, 3H); ESI MS m/z 349 [M + H]+.", "179 (R)-4-amino- 6-(3,5- dimethyl- isoxazol-4- yl)-1-(1- phenylethyl)- 1H-benzo[d] imidazol- 2(3H)-one P 1H NMR (300 MHz, DMSO- d6) d 10.5 (s, 1H), 7.41-7.26 (m, 5H), 6.24 (d, J = 1.5 Hz, 1H), 5.97 (d, J = 1.2 Hz, 1H), 5.65 (q, J = 7.2 Hz, 1H), 5.04 (s, 2H), 2.19 (s, >99 3H), 2.01 (s, 3H), 1.79 (d, J = 7.2 Hz, 3H); ESI MS m/z 349 [M + H]+.", "180 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-7-methyl- 1H-imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 11.89 (s, 1H), 7.74 (s, 1H), 7.38-7.24 (m, 3H), 7.17- 7.14 (m, 2H), 5.26 (s, 2H), 2.16 (s, 3H), 2.01 (s, 3H), 94.3 1.99 (s, 3H); ESI m/z 335 [M + H]+.", "181 4-(1-benzyl- 2,7-dimethyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) δ 8.23 (s, 1H), 7.37-7.31 (m, 3H), 6.95-6.92 (m, 2H), 5.58 (s, 2H), 2.64 (s, 3H), 2.23 (s, 3H), 2.22 (s, 3H), 2.06 98.7 (s, 3H); ESI m/z 333 [M + H]+.", "182 4-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4- yl)morpholine K 1H NMR (300 MHz, CDCl3) δ 7.31-7.29 (m, 3H), 7.07- 7.04 (m, 2H), 6.61 (d, J = 1.2 Hz, 1H), 6.42 (d, J = 1.2 Hz, 1H), 5.30 (s, 2H), 4.00 (t, J = 4.5 Hz, 4H), 3.58 (t, J = 4.5 Hz, 4H), 2.58 (s, 3H), 2.32 (s, 3H), >99 2.18 (s, 3H); ESI m/z 403 [M + H]+.", "183 1-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-4- yl)azetidin- 2-one K 1H NMR (300 MHz, CDCl3) δ 7.75 (d, J = 1.2 Hz, 1H), 7.35-7.29 (m, 3H), 7.07-7.05 (m, 2H), 6.72 (d, J = 1.5 Hz, 1H), 5.31 (s, 2H), 4.32 (t, J = 4.5 Hz, 2H), 3.22 (t, J = 4.5 Hz, 2H), 2.60 (s, >99 3H), 2.33 (s, 3H), 2.19 (s, 3H); ESI m/z 387 [M + H]+.", "184 1-benzyl-2- methyl-6- (1,3,5- trimethyl- 1H-pyrazol- 4-yl)-1H- benzo[d] imidazol- 4-amine U 1H NMR (300 MHz, DMSO- d6) δ 7.35-7.16 (m, 5H), 6.40 (d, J = 1.2 Hz, 1H), 6.23 (d, J = 1.2 Hz, 1H), 5.35 (s, 2H), 5.18 (s, 2H), 3.66 (s, 3H), 2.50 (s, 3H), 2.13 (s, >99 3H), 2.04 (s, 3H); ESI MS m/z 346 [M + H]+.", "185 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N- (pyridin-3- ylmethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 8.60 (d, J = 1.6 Hz, 1H), 8.46 (dd, J = 4.7 Hz, 1.6 Hz, 1H), 8.08- 8.01 (m, 1H), 7.97 (d, J = 2.0 Hz, 1H), 7.77-7.72 (m, >99 1H), 7.48 (d, J = 2.0 Hz, 1H), 7.38-7.20 (m, 6H), 5.36 (s, 2H), 4.69 (d, J = 5.8 Hz, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 411 [M + H]+.", "186 4-(4-bromo- 2-methyl-1- phenethyl- 1H-benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, DMSO- d6) δ 7.51 (s, 1H), 7.33 (s, 1H), 7.25-7.17 (m, 3H), 7.10 (d, J = 7.0 Hz, 2H), 4.45 (t, J = 7.0 Hz, 2H), 3.03 (t, J = 7.0 Hz, 2H), 2.40 (s, 3H), 2.29 (s, 3H), 2.23 (s, 3H); ESI m/z >99 410 [M + H]+.", "187 4-(4-bromo- 2-methyl-1- (3-phenyl- propyl)-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, DMSO- d6) δ 7.49 (d, J = 1.5 Hz, 1H), 7.35 (d, J = 1.5 Hz, 1H), 7.26 (t, J = 7.5 Hz, 2H), 7.20 (d, J = 7.0 Hz, 2H), 7.17 (t, J = 7.0 Hz, 1H), 4.25 (t, J = 7.5 Hz, 98.6 2H), 2.65 (t, J = 7.5 Hz, 2H), 2.55 (s, 3H), 2.41 (s, 3H), 2.23 (s, 3H), 2.06-2.00 (m, 2H); ESI m/z 424 [M + H]+.", "188 4-(7-bromo- 2-methyl-1- (3-phenyl- propyl)-1H- benzo[d] imidazol-5- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, DMSO- d6) δ 7.53 (d, J = 1.0 Hz, 1H), 7.34 (d, J = 1.5 Hz, 1H), 7.32-7.23 (m, 4H), 7.20 (t, J = 7.0 Hz, 1H), 4.43 (t, J = 8.0 Hz, 2H), 2.76 (t, J = 8.0 Hz, 2H), 2.53 (s, 3H), 2.39 (s, 99.0 3H), 2.21 (s, 3H), 2.11-2.04 (m, 2H); ESI m/z 424 [M + H]+.", "189 4-(4-bromo- 2-methyl- 1-(2- phenoxy- ethyl)-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, DMSO- d6) δ 7.63 (d, J = 1.0 Hz, 1H), 7.36 (d, J = 1.5 Hz, 1H), 7.24 (td, J = 7.0, 2.0 Hz, 2H), 6.90 (t, J = 7.0 Hz, 1H), 6.84 (d, J = 8.0 Hz, 2H), 4.66 (t, J = 5.0 Hz, >99 2H), 4.30 (t, J = 5.0 Hz, 2H), 2.67 (s, 3H), 2.41 (s, 3H), 2.24 (s, 3H); ESI m/z 426 [M + H]+.", "190 4-(7-bromo- 2-methyl-1- (2-phenoxy- ethyl)-1H- benzo[d] imidazol-5- yl)-3,5- dimethyl- isoxazole H 1H NMR (500 MHz, DMSO- d6) δ 7.55 (d, J = 1.5 Hz, 1H), 7.39 (d, J = 1.0 Hz, 1H), 7.26 (t, J = 8.0 Hz, 2H), 6.94-6.89 (m, 3H), 4.89 (t, J = 5.0 Hz, 2H), 4.40 (t, J = 5.0 Hz, 2H), 2.67 (s, 3H), 2.39 (s, >99 3H), 2.21 (s, 3H); ESI m/z 426 [M + H]+.", "191 4-(1-(cyclo- hexylmethyl)- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J = 1.5 Hz, 1H), 7.96 (d, J = 2.0 Hz, 1H), 4.14 (d, J = 7.5 Hz, 2H), 2.69 (s, 3H), 2.44 (s, 3H), 2.28 (s, >99 3H), 1.95-1.82 (m, 1H), 1.76- 1.50 (m, 5H), 1.29-1.07 (m, 5H).", "ESI m/z 325 [M + H]+.", "192 4-(1-(cyclo- pentylmethyl)- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J = 2.0 Hz, 1H), 7.98 (d, J = 2.0 Hz, 1H), 4.26 (d, J = 8.0 Hz, 2H), 2.71 (s, 3H), 2.49-2.38 98.5 (m, 1H), 2.44 (s, 3H), 2.28 (s, 3H), 1.80- 1.68 (m, 4H), 1.66-1.57 (m, 2H), 1.40-1.27 (m, 2H).", "ESI m/z 311 [M + H]+.", "HPLC 98.5%.", "193 4-(1-(cyclo- butylmethyl)- 2-methyl- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (500 MHz, CD3OD) δ 8.30 (d, J = 1.5 Hz, 1H), 8.00 (d, J = 1.5 Hz, 1H), 4.33 (d, J = 7.0 Hz, 2H), 2.92-2.80 (m, 1H), 2.70 97.9 (s, 3H), 2.45 (s, 3H), 2.28 (s, 3H), 2.10- 1.98 (m, 2H), 1.96-1.81 (m, 4H).", "ESI m/z 297 [M + H]+.", "194 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N- (pyridin-2- ylmethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 8.56-8.51 (m, 1H), 8.11 (t, J = 6.2 Hz, 1H), 7.95 (d, J = 2.0 Hz, 1H), 7.72 (td, J = 7.7 Hz, 1.8 Hz, 1H), 7.47 (d, J = 2.0 Hz, >99 1H), 7.38-7.25 (m, 7H), 5.40 (s, 2H), 4.75 (d, J = 5.9 Hz, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 411 [M + H]+.", "195 4-(1-benzyl- 2-(pyrrolidin- 1-yl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole Q 1H NMR (300 MHz, DMSO- d6) δ 8.04 (d, J = 2.0 Hz, 1H), 7.53 (d, J = 2.0 Hz, 1H), 7.37-7.22 (m, 3H), 7.16- 7.09 (m, 2H), 5.51 (s, 2H), >99 3.61 (m, 4H), 2.35 (s, 3H), 2.17 (s, 3H), 1.91-1.86 (m, 4H); ESI m/z 374 [M + H]+.", "196 2-((1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin-2- yl)amino) ethanol Q 1H NMR (300 MHz, DMSO- d6) δ 7.95 (d, J = 2.0 Hz, 1H), 7.48 (t, J = 5.5 Hz, 1H), 7.38 (d, J = 2.0 Hz, 1H), 7.36-7.22 (m, 5H), 5.32 (s, 2H), 4.87 >99 (t, J = 5.4 Hz, 1H), 3.66-3.60 (m, 2H), 3.54- 3.48 (m, 2H), 2.33 (s, 3H), 2.14 (s, 3H); ESI m/z 364 [M + H]+.", "197 1-(1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol- 4-yl) azetidin- 3-ol K 1H NMR (300 MHz, CDCl3) δ 7.36-7.24 (m, 3H), 7.18- 7.15 (m, 2H), 6.73 (d, J = 1.5 Hz, 1H), 5.95 (d, J = 1.5 Hz, 1H), 5.54 (d, J = 6.6 Hz, 1H), 5.40 (s, 2H), 4.58-4.53 (m, 1H), 4.37 (dd, J = 8.7, 6.3 Hz, 2H), 3.78 (dd, J = 8.7, 94.1 5.4 Hz, 2H), 2.50 (s, 3H), 2.33 (s, 3H), 2.16 (s, 3H); ESI m/z 389 [M + H]+.", "198 1-benzyl-3- methyl-6-(1- methyl-1H- pyrazol-5- yl)-4- nitro-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (300 MHz, CDCl3) δ 7.66 (d, J = 1.5 Hz, 1H), 7.50 (d, J = 1.8 Hz, 1H), 7.36-7.30 (m, 5H), 7.02 (d, J = 1.5 Hz, 1H), 6.27 (d, J = 1.2 Hz, 1H), 5.16 (s, 2H), 3.69 (s, >99 3H), 3.65 (s, 3H); ESI m/z 364 [M + H]+.", "199 4-amino-1- benzyl-3- methyl-6- (1-methyl- 1H-pyrazol- 5-yl)-1H- benzo[d] imidazol- 2(3H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 7.39 (d, J = 1.8 Hz, 1H), 7.35-7.24 (m, 5H), 6.56 (d, J = 1.5 Hz, 1H), 6.54 (d, J = 1.5 Hz, 1H), 6.20 (d, J = 1.8 Hz, 1H), 5.15 (s, >99 2H), 5.01 (s, 2H), 3.72 (s, 3H), 3.63 (s, 3H); ESI m/z 334 [M + H]+.", "200 (4-bromo-6- (3,5-dimethyl- isoxazol-4- yl)-2- methyl-1H- benzo[d] imidazol-1- yl)(phenyl) methanone H 1H NMR (500 MHz, DMSO- d6) δ 7.83 (dd, J = 8.0, 1.5 Hz, 2H), 7.78 (t, J = 7.5 Hz, 1H), 7.62 (t, J = 7.5 Hz, 2H), 7.53 (d, J = 1.5 Hz, 1H), 6.63 (d, J = 1.5 Hz, 96.1 1H), 2.64 (s, 3H), 2.22 (s, 3H), 2.03 (s, 3H); ESI m/z 410 [M + H]+.", "201 1-benzyl-2- methyl-6- (5-methyl- isoxazol- 4-yl)-1H- benzo[d] imidazol- 4-amine U 1H NMR (300 MHz, DMSO- d6) δ 8.69 (d, J = 0.6 Hz, 1H), 7.36-7.26 (m, 3H), 7.15 (d, J = 6.9 Hz, 2H), 6.78 (d, J = 1.5 Hz, 1H), 6.47 (d, J = 1.5 Hz, 1H), 5.40 (s, 99.0 2H), 5.33 (s, 2H), 2.50 (s, 3H), 2.47 (s, 3H); ESI m/z 319 [M + H]+.", "202 1-(cyclo- pentyl- methyl)-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (500 MHz, CD3OD) δ 7.90 (d, J = 1.5 Hz, 1H), 7.47 (d, J = 2.0 Hz, 1H), 3.86 (d, J = 7.5 Hz, 2H), 2.52-2.38 (m, 1H), 2.41 (s, >99 3H), 2.25 (s, 3H), 1.78-1.68 (m, 4H), 1.60- 1.52 (m, 2H), 1.41-1.30 (m, 2H).", "ESI m/z 313 [M + H]+.", "203 1-(cyclo- butylmethyl)- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin- No general procedure 1H NMR (500 MHz, CD3OD) δ 7.89 (d, J = 1.5 Hz, 1H), 7.46 (d, J = 2.0 Hz, 1H), 3.94 (d, J = 7.0 Hz, 2H), 2.86-2.77 (m, 1H), 2.41 >99 2(3H)-one (s, 3H), 2.25 (s, 3H), 2.08- 1.98 (m, 2H), 1.94-1.80 (m, 4H).", "ESI m/z 299 [M + H]+.", "204 N-(1-benzyl- 3-methyl- 6-(1-methyl- 1H-pyrazol- 5-yl)-2- oxo-2,3- dihydro- 1H-benzo[d] imidazol-4- yl)acetamide P 1H NMR (300 MHz, DMSO- d6) δ 9.81 (s, 1H), 7.43 (d, J = 1.8 Hz, 1H), 7.40-7.26 (m, 5H), 7.20 (d, J = 1.5 Hz, 1H), 6.92 (d, J = 1.5 Hz, 1H), 6.29 (d, J = 1.8 Hz, 1H), 5.10 (s, 2H), 3.75 (s, 3H), 3.47 (s, >99 3H), 2.08 (s, 3H); ESI m/z 376 [M + H]+.", "205 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(4- methoxy- benzyl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 7.95 (d, J = 2.0 Hz, 1H), 7.94-7.88 (m, 1H), 7.43 (d, J = 2.0 Hz, 1H), 7.35-7.22 (m, 7H), 6.89- 6.86 (m, 2H), >99 5.35 (s, 2H), 4.60 (d, J = 5.7 Hz, 2H), 3.72 (s, 3H), 2.34 (s, 3H), 2.15 (s, 3H); ESI m/z 440 [M + H]+.", "206 1-benzyl-2- methyl-6-(1- methyl-1H- 1,2,3-triazol- 5-yl)-1H- imidazo [4,5-b] pyridine No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.54 (d, J = 2.5 Hz, 1H), 8.27 (d, J = 2.0 Hz, 1H), 7.96 (s, 1H), 7.35 (t, J = 7.0 Hz, 2H), 7.29 (t, 98.6 J = 7.0 Hz, 1H), 7.21 (d, J = 7.0 Hz, 2H), 5.58 (s, 2H), 4.07 (s, 3H), 2.60 (s, 3H); ESI m/z 305 [M + H]+.", "207 4-((1-benzyl- 6-(3,5- dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin-2- yl)amino) cyclohexanol Q 1H NMR (500 MHz, DMSO- d6) δ 7.94 (d, J = 2.0 Hz, 1H), 7.35-7.30 (m, 3H), 7.27- 7.21 (m, 3H), 7.08 (d, J = 8.0 Hz, 1H), 5.32 (s, 2H), 4.57 (d, J = 4.0 Hz, 1H), 3.83-3.75 (m, 1H), 3.47- 3.40 (m, 1H), 2.32 (s, 3H), >99 2.14 (s, 3H), 2.01 (br.d, 11.0 Hz, 2H), 1.88 (br.d, 11.5 Hz, 2H), 1.44-1.35 (m, 2H), 1.34- 1.26 (m, 2H); ESI m/z 418 [M + H]+.", "208 4-(1-(cyclo- pentyl- methyl)-6- (3,5-dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2-yl) No general procedure 1H NMR (500 MHz, CD3OD) δ 8.17 (d, J = 1.5 Hz, 1H), 7.81 (d, J = 2.0 Hz, 1H), 4.14 (d, J = 7.5 Hz, 2H), 3.87 (t, J = 5.0 Hz, 98.5 morpholine 4H), 3.41 (t, J = 5.0 Hz, 4H), 2.58-2.49 (m, 1H), 2.43 (s, 3H), 2.27 (s, 3H), 1.75- 1.66 (m, 2H), 1.62-1.50 (m, 4H), 1.30-1.19 (m, 2H).", "ESI m/z 382 [M + H]+.", "209 4-(2- (azetidin-1- yl)-1-(cyclo- pentyl- methyl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- No general procedure 1H NMR (500 MHz, CD3OD) δ 8.00 (d, J = 1.5 Hz, 1H), 7.59 (d, J = 1.5 Hz, 1H), 4.42- 4.37 (m, 4H), 4.01 (d, J = 8.0 Hz, 2H), 2.57- >99 dimethyl- 2.44 (m, 2H), isoxazole 2.50-2.41 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 1.76-1.51 (m, 6H), 1.32- 1.22 (m, 2H).", "ESI m/z 352 [M + H]+.", "210 4-(1-(cyclo- butylmethyl)- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2-yl) No general procedure 1H NMR (500 MHz, CD3OD) δ 8.16 (d, J = 1.5 Hz, 1H), 7.80 (d, J = 2.0 Hz, 1H), 4.24 (d, J = 7.0 Hz, 2H), 3.88 (t, J = 5.0 Hz, >99 morpholine 4H), 3.41 (t, J = 5.0 Hz, 4H), 2.93-2.82 (m, 1H), 2.43 (s, 3H), 2.27 (s, 3H), 1.98- 1.91 (m, 2H), 1.90-1.76 (m, 4H).", "ESI m/z 368 [M + H]+.", "211 4-(2- (azetidin-1- yl)-1-(cyclo- butylmethyl)- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- No general procedure 1H NMR (500 MHz, CD3OD) δ 7.99 (d, J = 2.0 Hz, 1H), 7.61 (d, J = 2.0 Hz, 1H), 4.38 (m, 4H), 4.10 (d, J = 7.0 Hz, 2H), 2.88-2.79 >99 isoxazole (m, 1H), 2.57- 2.48 (m, 2H), 2.41 (s, 3H), 2.25 (s, 3H), 2.04-1.95 (m, 2H), 1.95-1.78 (m, 4H).", "ESI m/z 338 [M + H]+.", "212 N1-(1- benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-1H- imidazo [4,5-b] pyridin-2-yl)- N2,N2- dimethyl- Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 1.9 Hz, 1H), 7.34 (d, J = 7.6 Hz, 2H), 7.31- 7.26 (m, 2H), 7.22 (d, J = 7.1 Hz, 2H), 5.31 (s, 2H), 3.69 >99 ethane-1,2- (t, J = 6.0 Hz, diamine 2H), 2.71 (bs, 2H), 2.35 (s, 6H), 2.32 (s, 3H), 2.14 (s, 3H); ESI m/z 391 [M + H]+.", "213 4-(1-benzyl- 2-(piperazin- 1-yl)-1H- imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole Q 1H NMR (300 MHz, CDCl3) δ 8.24 (d, J = 1.8 Hz, 1H), 7.37-7.33 (m, 3H), 7.18-7.15 (m, 2H), 7.00 (d, J = 2.0 Hz, 1H), 5.23 (s, 2H), 3.51-3.48 97.1 (m, 4H), 3.14- 3.11 (m, 4H), 2.30 (s, 3H), 2.12 (s, 3H), 2.08 (br.", "s, 1H); ESI m/z 389 [M + H]+.", "214 1-benzyl-N- cyclopentyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.93 (d, J = 1.9 Hz, 1H), 7.34 (t, J = 7.0 Hz, 2H), 7.28 (t, J = 7.3 Hz, 1H), 7.23 (d, J = 1.9 Hz, 1H), 7.18 (d, J = 7.0 Hz, 2H), 5.36 (s, >99 2H), 4.39 (pentet, J = 6.5 Hz, 1H), 2.31 (s, 3H), 2.13 (s, 3H), 2.15-2.00 (m, 2H), 1.95-1.30 (m, 6H); ESI m/z 388 [M + H]+.", "215 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(2- morpholino- ethyl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 1.9 Hz, 1H), 7.38-7.32 (m, 3H), 7.29 (t, J = 7.2 Hz, 1H), 7.23 (d, J = 7.0 Hz, 2H), 5.32 (s, >99 2H), 3.68 (t, J = 6.3 Hz, 2H), 3.63 (t, J = 4.6 Hz, 4H), 2.66 (t, J = 6.3 Hz, 2H), 2.50 (t, J = 4.2 Hz, 4H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 433 [M + H]+.", "216 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 7.93 (d, J = 2.0 Hz, 1H), 7.38 (d, J = 2.0 Hz, 1H), 7.33 (t, J = 7.0 Hz, 2H), 7.28-7.24 (m, 3H), 7.16 98.6 (s, 2H), 5.30 (s, 2H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 320 [M + H]+.", "217 3-(((1-benzyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2-yl) amino) methyl) benzonitrile Q 1H NMR (500 MHz, DMSO- d6) δ 8.03 (t, J = 6.0 Hz, 1H), 7.97 (d, J = 1.5 Hz, 1H), 7.74 (s, 1H), 7.75 (d, J = 7.5 Hz, 1H), 7.69 (d, J = 8.0 Hz, 1H), 7.54 (t, J = 8.0 Hz, 1H), 7.50 (d, >99 J = 2.0 Hz, 1H), 7.34 (td, J = 7.0, 1.5 Hz, 2H), 7.28 (tt, J = 7.5, 1.5 Hz, 1H), 7.24 (d, J = 7.0 Hz, 2H), 5.38 (s, 2H), 4.72 (d, J = 6.0 Hz, 2H), 2.34 (s, 3H), 2.16 (s, 3H); ESI m/z 435 [M + H]+.", "218 (R)-6-(3,5- dimethyl- isoxazol- 4-yl)-1-(1- phenylethyl)- 1H-imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 11.77 (s, 1H), 7.87 (d, J = 2.0 Hz, 1H), 7.44 (d, J = 7.5 Hz, 2H), 7.37 (t, J = 7.5 Hz, 2H), 7.29 (t, 99.0 J = 7.5 Hz, 1H), 7.09 (d, J = 2.0 Hz, 1H), 5.72 (q, J = 7.5 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J = 7.5 Hz, 3H); ESI m/z 335 [M + H]+; HPLC (Chiralcel OD, 4.6 mm × 250 mm, 10% EtOH in heptane, 1 mL/min) >99%, tR = 9.4 min.", "219 (S)-6-(3,5- dimethyl- isoxazol-4- yl)-1-(1- phenylethyl)- 1H-imidazo [4,5-b] pyridin- 2(3H)-one No general procedure 1H NMR (500 MHz, DMSO- d6) δ 11.78 (s, 1H), 7.87 (d, J = 1.5 Hz, 1H), 7.44 (d, J = 7.5 Hz, 2H), 7.36 (t, J = 7.5 Hz, 2H), 7.29 (t, >99 J = 7.5 Hz, 1H), 7.08 (d, J = 2.0 Hz, 1H), 5.72 (q, J = 7.5 Hz, 1H), 2.26 (s, 3H), 2.06 (s, 3H), 1.84 (d, J = 7.5 Hz, 3H); ESI m/z 335 [M + H]+; HPLC (Chiralcel OD, 4.6 mm × 250 mm, 10% EtOH in heptane, 1 mL/min) >99%, tR = 10.9 min.", "220 4-(1-benzyl- 2-(tetra- hydro-2H- pyran-4-yl)- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, CDCl3) δ 8.41 (d, J = 1.8 Hz, 1H), 7.38-7.32 (m, 3H), 7.24 (d, J = 2.1 Hz, 1H), 7.08-7.05 (m, 2H), 5.42 (s, 2H), 4.12 95.8 (dd, J = 11.7, 1.8 Hz, 2H), 3.52 (td, J = 11.7, 1.8 Hz, 2H), 3.20-3.12 (m, 1H), 2.36- 2.23 (m, 5H), 2.14 (s, 3H), 1.83-1.78 (m, 2H); ESI m/z 389 [M + H]+.", "221 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- methyl-1H- imidazo [4,5-b] pyridine-2- carboxamide No general procedure 1H NMR (300 MHz, DMSO- d6) δ 8.31 (q, J = 4.5 Hz, 1H), 8.27 (d, J = 1.8 Hz, 1H), 7.54 (d, J = 1.8 Hz, 1H), 7.36-7.24 (m, 5H), 5.54 >99 (s, 2H), 3.00 (d, J = 4.8 Hz, 3H), 2.21 (s, 3H), 2.00 (s, 3H); ESI m/z 362 [M + H]+.", "222 1-(cyclo- pentyl- methyl)-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (tetrahydro- 2H-pyran- 4-yl)-1H- imidazo [4,5-b] pyridin-2- No general procedure 1H NMR (500 MHz, CD3OD) δ 7.94 (d, J = 1.5 Hz, 1H), 7.50 (d, J = 2.0 Hz, 1H), 4.17- 4.05 (m, 1H), 4.05 (d, J = 8.0 Hz, 2H), 4.02- 3.97 (m, 2H), 3.57 (t, J = 11.75 Hz, 2H), 98.0 amine 2.44-2.36 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 2.08-2.00 (m, 2H), 1.78- 1.64 (m, 6H), 1.62-1.54 (m, 2H), 1.38-1.25 (m, 2H).", "ESI m/z 396 [M + H]+.", "223 1-(cyclo- butylmethyl)- 6-(3,5- dimethyl- isoxazol- 4-yl)-N- (tetrahydro- 2H-pyran- 4-yl)-1H- imidazo [4,5-b] pyridin-2- No general procedure 1H NMR (500 MHz, CD3OD) δ 7.93 (d, J = 2.0 Hz, 1H), 7.52 (d, J = 2.0 Hz, 1H), 4.17- 4.05 (m, 1H), 4.10 (d, J = 7.5 Hz, 2H), 4.03- 3.97 (m, 2H), 3.56 (t, J = 11.75 Hz, 2H), 96.4 amine 2.86-2.78 (m, 1H), 2.41 (s, 3H), 2.25 (s, 3H), 2.08-1.92 (m, 8H), 1.75- 1.64 (m, 2H).", "ESI m/z 382 [M + H]+.", "224 N1-(1-benzyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2-yl) cyclohexane- 1,4-diamine Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 2.0 Hz, 1H), 7.38-7.32 (m, 2H), 7.31-7.28 (m, 2H), 7.21- 7.19 (m, 2H), 5.37 (s, 2H), 4.10-4.00 (m, 1H), 3.02-2.97 (m, 1H), 2.32 (s, 3H), 2.14 (s, 3H), 1.93- 1.71 (m, 6H), 95.7 1.60-1.49 (m, 2H); ESI m/z 417 [M + H]+.", "225 1-benzyl-N- (cyclohexyl- methyl)-6- (3,5-dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 2.0 Hz, 1H), 7.38-7.22 (m, 4H), 7.21-7.18 (m, 2H), 5.32 (s, 2H), 3.41- 3.32 (m, 2H), 2.33 (s, 3H), 2.15 (s, 3H), >99 1.79-1.60 (m, 6H), 1.30-1.10 (m, 3H), 0.99- 0.89 (m, 2H); ESI m/z 416 [M + H]+.", "226 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(3- methoxy- propyl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.94 (d, J = 2.0 Hz, 1H), 7.38-7.22 (m, 4H), 7.21-7.18 (m, 2H), 5.30 (s, 2H), 3.60 (t, J = 7.0 Hz, 2H), 3.45 (t, J = 6.0 Hz, 2H), 3.28 (s, >99 3H), 2.33 (s, 3H), 2.15 (s, 3H), 1.94 (quin, J = 6.5 Hz, 2H); ESI m/z 392 [M + H]+.", "227 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (oxetan-3- yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.97 (d, J = 2.0 Hz, 1H), 7.38-7.24 (m, 4H), 7.21-7.18 (m, 2H), 5.39 (s, 2H), 5.24- 5.17 (m, 1H), 5.03 (t, J = 7.0 Hz, 2H), 4.71 (t, J = 7.0 Hz, >99 2H), 2.30 (s, 3H), 2.12 (s, 3H); ESI m/z 376 [M + H]+.", "228 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-1H- imidazo [4,5-b] pyridin- 2(3H)-one R 1H NMR (300 MHz, DMSO- d6) δ 11.82 (s, 1H), 7.91 (d, J = 1.8 Hz, 1H), 7.48 (d, J = 1.8 Hz, 1H), 7.46-7.43 (m, 2H), 7.20- 7.14 (m, 2H), >99 5.03 (s, 2H), 2.36 (s, 3H), 2.17 (s, 3H); ESI m/z 339 [M + H]+.", "229 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (pyrazin-2- ylmethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, DMSO- d6) δ 9.13 (d, J = 1.5 Hz, 1H), 8.70 (d, J = 5.5, 1H), 8.16 (t, J = 6.0 Hz, 1H), 7.96 (d, J = 2.0 Hz, 1H), 7.49 (d, J = >99 2.0 Hz, 1H), 7.39-7.27 (m, 6H), 5.42 (s, 2H), 4.74 (d, J = 6.0 Hz, 2H), 2.33 (s, 3H), 2.15 (s, 3H); ESI m/z 412 [M + H]+.", "230 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- ((tetrahydro- 2H-pyran-4- yl)methyl)- 1H-imidazo [4,5-b] pyridin-2- Q 1H NMR (500 MHz, DMSO- d6) δ 7.95 (d, J = 2.0 Hz, 1H), 7.42-7.39 (m, 2H), 7.32 (t, J = 7.0 Hz, 2H), 7.27-7.21 (m, 3H), 5.32 (s, 2H), 3.84 >99 amine (dd, J = 11.0, 2.5 Hz, 2H), 3.34 (t, J = 6.5 Hz, 2H), 3.25 (td, J = 11.0, 2.0 Hz, 2H), 2.33 (s, 3H), 2.15 (s, 3H), 1.97-1.90 (m, 1H), 1.57 (d, J = 12.0 Hz, 2H), 1.20 (qd, J = 12.0, 4.0 Hz, 2H); ESI m/z 418 [M + H]+.", "231 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (2-(4-methyl- piperazin-1- yl)ethyl)- 1H-imidazo [4,5-b] pyridin-2- Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 1.9 Hz, 1H), 7.38-7.26 (m, 4H), 7.22 (d, J = 7.1 Hz, 2H), 5.31 (s, 2H), 3.67 (t, J = 6.3 Hz, >99 amine 2H), 2.68 (t, J = 6.3 Hz, 2H), 2.80-2.20 (broad peak, 8H), 2.33 (s, 3H), 2.26 (s, 3H), 2.15 (s, 3H); ESI m/z 446 [M + H]+.", "232 6-(3,5- dimethyl- isoxazol-4- yl)-1-(4- fluoro- benzyl)-N- methyl-1H- imidazo [4,5-b] pyridin-2- Q 1H NMR (300 MHz, DMSO- d6) δ 7.96 (d, J = 1.8 Hz, 1H), 7.46 (d, J = 1.8 Hz, 1H), 7.37-7.28 (m, 3H), 7.20- 7.14 (m, 2H), 5.27 (s, 2H), >99 amine 2.99 (d, J = 4.5 Hz, 3H), 2.35 (s, 3H), 2.17 (s, 3H); ESI m/z 352 [M + H]+.", "233 1-(4-chloro- benzyl)-6- (3,5-dimethyl- isoxazol- 4-yl)-N- methyl-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 7.97 (d, J = 2.1 Hz, 1H), 7.44 (d, J = 1.8 Hz, 1H), 7.40 (d, J = 8.4 Hz, 2H), 7.33 (q, J = 4.2 Hz, >99 1H), 7.25 (d, J = 8.7 Hz, 2H), 5.28 (s, 2H), 2.99 (d, J = 4.8 Hz, 3H), 2.35 (s, 3H), 2.17 (s, 3H); ESI m/z 368 [M + H]+.", "234 1-benzyl-N- cyclohexyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 7.94 (d, J = 2.1 Hz, 1H), 7.35-7.30 (m, 3H), 7.27- 7.22 (m, 3H), 7.10 (d, J = 7.5 Hz, 1H), 5.33 (s, 2H), 3.90-3.75 (m, 1H), 2.32 (s, 3H), 2.14 (s, >99 3H), 2.00 (d, J = 7.2 Hz, 2H), 1.81-1.71 (m, 2H), 1.64 (d, J = 11.7 Hz, 1H), 1.42- 1.30 (m, 4H), 1.23-1.14 (m, 1H); ESI m/z 402 [M + H]+.", "235 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (1-methyl- piperidin- 4-yl)-1H- imidazo [4,5-b] pyridin-2- amine Q 1H NMR (300 MHz, DMSO- d6) δ 7.95 (d, J = 1.8 Hz, 1H), 7.38 (d, J = 2.1 Hz, 1H), 7.36-7.30 (m, 2H), 7.28- 7.22 (m, 3H), 7.16 (d, J = 7.5 Hz, 1H), 5.34 (s, 2H), 3.85-3.73 (m, 1H), 2.78 (d, 97.0 J = 10.5 Hz, 2H), 2.33 (s, 3H), 2.18 (s, 3H), 2.14 (s, (m, 4H), 1.67- 1.54 (m, 2H); ESI m/z 417 [M + H]+.", "236 4-(1-benzyl- 2-(pyridin- 3-yloxy)- 1H-imidazo [4,5-b] pyridin-6- yl)-3,5- dimethyl- isoxazole T 1H NMR (300 MHz, DMSO- d6) δ 8.74 (d, J = 2.7 Hz, 1H), 8.57 (dd, J = 4.5, 0.9 Hz, 1H), 8.27 (d, J = 1.8 Hz, 1H), 8.02-7.98 (m, 2H), 7.59 (dd, J = 8.4, 4.5 Hz, 1H), 7.47 (d, J = 6.9 98.0 Hz, 2H), 7.42- 7.30 (m, 3H), 5.53 (s, 2H), 2.40 (s, 3H), 2.22 (s, 3H); ESI m/z 398 [M + H]+.", "237 1-((1-benzyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2- yl)amino)- 2-methyl- propan-2-ol Q 1H NMR (500 MHz, CD3OD) δ 7.94 (d, J = 2.0 Hz, 1H), 7.38-7.28 (m, 4H), 7.27-7.21 (m, 2H), 5.35 (s, 2H), 3.55 (s, 2H), 2.33 (s, 3H), 2.15 (s, 3H), 1.20 >99 (s, 6H); ESI m/z 392 [M + H]+.", "238 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(2- (pyrrolidin- 1-yl)ethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.94 (d, J = 2.0 Hz, 1H), 7.38-7.28 (m, 4H), 7.27-7.21 (m, 2H), 5.31 (s, 2H), 3.70 (t, J = 6.5 Hz, 2H), 2.81 (t, J = 6.5 Hz, 2H), 2.70-2.55 (m, 4H), 2.32 98.1 (s, 3H), 2.14 (s, 3H), 1.89- 1.76 (m, 4H); ESI m/z 417 [M + H]+.", "239 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-(2- (piperidin-1- yl)ethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.95 (d, J = 1.5 Hz, 1H), 7.38-7.28 (m, 4H), 7.27-7.21 (m, 2H), 5.31 (s, 2H), 3.69 (t, J = 6.5 Hz, 2H), 2.66 (t, J = 6.5 Hz, 2H), 2.60-2.40 (m, 4H), 2.33 (s, 3H), 2.15 (s, 3H), 1.66- 1.57 (m, 4H), >99 1.52-1.42 (m, 2H); ESI m/z 431 [M + H]+.", "240 (R)-6-(3,5- dimethyl- isoxazol-4- yl)-4-nitro- 1-(1-phenyl- ethyl)-1H- benzo[d] imidazol- 2(3H)-one P 1H NMR (300 MHz, DMSO- d6) δ 12.1 (s, 1H), 7.68 (d, J = 1.5 Hz, 1H), 7.45-7.29 (m, 5H), 7.13 (d, J = 1.2 Hz, 1H), 5.79 (q, J = 7.2 Hz, 1H), 2.25 (s, 3H), 2.04 (s, 3H), 1.88 (d, 98.1 J = 7.2 Hz, 3H); ESI MS m/z 379 [M + H]+.", "241 4-(1-benzyl- 7-methoxy- 2-(trifluoro- methyl)-1H- benzo[d] imidazol-6- yl)-3,5- dimethyl- isoxazole No general procedure 1H NMR (300 MHz, DMSO- d6) δ 7.72 (d, J = 8.4 Hz, 1H), 7.36-7.26 (m, 4H), 7.03- 7.00 (m, 2H), 5.81 (s, 2H), 3.13 (s, 3H), 95.6 2.27 (s, 3H), 2.09 (s, 3H); ESI m/z 402 [M + H]+.", "242 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (thiazol-2- ylmethyl)- 1H-imidazo [4,5-b] pyridin-2- amine Q 1H NMR (500 MHz, CD3OD) δ 7.98 (d, J = 1.9 Hz, 1H), 7.73 (d, J = 3.3 Hz, 1H), 7.49 (d, J = 3.3 Hz, 1H), 7.38-7.22 (m, 6H), 5.37 (s, 2H), 5.07 (s, >99 2H), 2.32 (s, 3H), 2.14 (s, 3H); ESI m/z 417 [M + H]+.", "243 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-1H- benzo[d] imidazole-2- carboxi- midamide No general procedure 1H NMR (500 MHz, DMSO- d6) δ 7.77 (d, J = 8.3 Hz, 1H), 7.49 (s, 1H), 7.36 (s, 1H), 7.33-7.19 (m, 6H), 6.58 (s, 2H), 6.27 (s, 2H), 2.32 (s, 3H), 2.15 >99 (s, 3H); ESI m/z 346 [M + H]+.", "244 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-1H- benzo[d] imidazole-2- carboxamide No general procedure 1H NMR (500 MHz, DMSO- d6) δ 8.38 (s, 1H), 7.92 (s, 1H), 7.82 (d, J = 8.5 Hz, 1H), 7.63 (d, J = 1.0 Hz, 1H), 7.33-7.28 (m, 5H), 7.27- 7.22 (m, 1H), >99 6.02 (s, 2H), 2.35 (s, 3H), 2.18 (s, 3H); ESI m/z 347 [M + H]+.", "245 1-benzyl-6- (3,5-dimethyl- isoxazol-4- yl)-N-((1- methyl- piperidin-4- yl)methyl)- 1H-imidazo [4,5-b] pyridin-2- Q 1H NMR (500 MHz, DMSO- d6) δ 7.94 (d, J = 2.0 Hz, 1H), 7.34-7.37 (m, 2H), 7.32 (t, J = 7.0 Hz, 2H), 7.27-7.21 (m, 3H), 5.31 (s, 2H), 3.32 >99 amine (t, J = 6.0 Hz, 2H), 2.84-2.72 (m, 2H), 2.33 (s, 3H), 2.16 (br.s, 3H), 2.15 (s, 3H), 1.98-1.71 (m, 2H), 1.69-1.61 (m, 3H), 1.23- 1.15 (m, 2H); ESI m/z 431 [M + H]+.", "246 1-(1-benzyl- 6-(3,5- dimethyl- isoxazol- 4-yl)-1H- imidazo [4,5-b] pyridin-2-yl) azetidin-3-ol Q 1H NMR (300 MHz, DMSO- d6) δ 7.74 (s, 1H), 7.41 (d, J = 6.6 Hz, 2H), 7.36-7.23 (m, 3H), 7.18 (s, 1H), 5.20 (d, J = 3.3 Hz, 1H), 5.04 (s, >99 2H), 4.12 (d, J = 3.3 Hz, 1H), 3.89 (qd, J = 12.0, 3.3 Hz, 2H), 3.45 (qd, J = 14.4, 3.3 Hz, 2H), 2.33 (s, 3H), 2.14 (s, 3H); ESI m/z 376 [M + H]+.", "247 4-(1-benzyl- 2-(pyridin-4- yloxy)-1H- imidazo [4,5-b] pyridin-6-yl)- 3,5-dimethyl- isoxazole T 1H NMR (500 MHz, DMSO- d6) δ 8.54 (d, J = 2.0 Hz, 1H), 8.20 (d, J = 2.0 Hz, 1H), 8.00 (dd, J = 6.0, 2.0 Hz, 2H), 7.32- 7.27 (m, 3H), 7.12 (dd, J = 8.0, 1.0 Hz, 2H), 6.26 (dd, >99 J = 6.0, 2.0 Hz, 2H), 5.57 (s, 2H), 2.41 (s, 3H), 2.23 (s, 3H); ESI m/z 398 [M + H]+.", "248 1-benzyl-6- (3,5-dimethyl- isoxazol- 4-yl)-N- (pyridin-3- yl)-1H- benzo[d] imidazol- 2-amine No general procedure ESI m/z 396 [M + H]+.", "— 249 3-(1-benzyl- 1H-benzo[d] imidazol-6- yl)-4-ethyl- 1H-1,2,4- triazol- 5(4H)-one No general procedure 1H NMR (300 MHz, DMSO- d6) δ 11.85 (s, 1H), 8.59 (s, 1H), 7.81- 7.76 (m, 2H), 7.43 (dd, J = 8.1, 1.5 Hz, 1H), 7.35-7.28 (m, 5H), 5.58 — (s, 2H), 3.63 (q, J = 7.2, Hz 2H), 0.98 (t, J = 7.2 Hz, 3H); ESI m/z 320 [M + H]+.", "Example 1 Inhibition of Tetra-Acetylated Histone H4 Binding Individual BET Bromodomains Proteins were cloned and overexpressed with a N-terminal 6× His tag, then purified by nickel affinity followed by size exclusion chromatography.", "Briefly, E.coli BL21(DE3) cells were transformed with a recombinant expression vector encoding N-terminally Nickel affinity tagged bromodomains from Brd2, Brd3, Brd4.Cell cultures were incubated at 37° C. with shaking to the appropriate density and induced overnight with IPTG.", "The supernatant of lysed cells was loaded onto Ni-IDA column for purification.", "Eluted protein was pooled, concentrated and further purified by size exclusion chromatography.", "Fractions representing monomeric protein were pooled, concentrated, aliquoted, and frozen at -80° C. for use in subsequent experiments.", "Binding of tetra-acetylated histone H4 and BET bromodomains was confirmed by a Time Resolved Fluorescence Resonance Energy Transfer (TR-FRET) method.", "N-terminally His-tagged bromodomains (200 nM) and biotinylated tetra-acetylated histone H4 peptide (25-50 nM, Millipore) were incubated in the presence of Europium Cryptate-labeled streptavidin (Cisbio Cat.", "#610SAKLB) and XL665-labeled monoclonal anti-His antibody (Cisbio Cat.", "#61HISXLB) in a white 96 well microtiter plate (Greiner).", "For inhibition assays, serially diluted test compound was added to these reactions in a 0.2% final concentration of DMSO.", "Final buffer concentrations were 30 mM HEPES pH 7.4, 30 mM NaCl, 0.3 mM CHAPS, 20 mM phosphate pH 7.0, 320 mM KF, 0.08% BSA).", "After a 2-h incubation at room temperature, the fluorescence by FRET was measured at 665 and 620 nm by a SynergyH4 plate reader (Biotek).", "Illustrative results with the first bromodomain of Brd4 are shown below.", "The binding inhibitory activity was shown by a decrease in 665 nm fluorescence relative to 620 nm.", "IC50 values were determined from a dose response curve.", "Compounds with an IC50 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an IC50 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an IC50 value between 3 and 30 μM were deemed to be active (+).", "TABLE 3 Inhibition of Tetra-acetylated Histone H4 Binding to Brd4 bromodomain 1 (BRD4(1) as Measured by FRET FRET FRET FRET FRET Example activity Example activity Example activity Example activity Compound BRD4(1) Compound BRD4(1) Compound BRD4(1) Compound BRD4(1) 1 ++ 2 +++ 3 ++ 4 ++ 5 +++ 6 ++ 7 +++ 8 +++ 9 + 10 +++ 11 +++ 12 +++ 13 +++ 14 +++ 15 +++ 16 +++ 17 +++ 18 +++ 19 +++ 20 +++ 21 +++ 22 ++ 23 ++ 24 +++ 25 + 26 ++ 27 +++ 28 +++ 29 +++ 30 +++ 31 ++ 32 Not active 33 +++ 34 +++ 35 +++ 36 +++ 37 ++ 38 +++ 39 +++ 40 ++ 41 +++ 42 ++ 43 +++ 44 ++ 45 +++ 46 ++ 47 + 48 +++ 49 + 50 +++ 51 +++ 52 ++ 53 +++ 54 +++ 55 +++ 56 ++ 57 + 58 +++ 59 ++ 60 ++ 61 +++ 62 +++ 63 ++ 64 +++ 65 +++ 66 +++ 67 +++ 68 +++ 69 +++ 70 +++ 71 +++ 72 ++ 73 ++ 74 ++ 75 +++ 76 ++ 77 +++ 78 +++ 79 Not 80 ++ active 81 ++ 82 ++ 83 +++ 84 +++ 85 +++ 86 +++ 87 +++ 88 +++ 89 +++ 90 +++ 91 +++ 92 +++ 93 +++ 94 +++ 95 +++ 96 +++ 97 +++ 98 ++ 99 +++ 100 +++ 101 ++ 102 +++ 103 +++ 104 +++ 105 +++ 106 +++ 107 +++ 108 +++ 109 +++ 110 +++ 111 +++ 112 +++ 113 +++ 114 +++ 115 +++ 116 +++ 117 +++ 118 +++ 119 +++ 120 +++ 121 +++ 122 +++ 123 +++ 124 +++ 125 +++ 126 +++ 127 +++ 128 +++ 129 +++ 130 +++ 131 +++ 132 +++ 133 +++ 134 +++ 135 +++ 136 +++ 137 +++ 138 +++ 139 +++ 140 +++ 141 +++ 142 ++ 143 +++ 144 +++ 145 +++ 146 +++ 147 +++ 148 +++ 149 +++ 150 +++ 151 +++ 152 +++ 153 +++ 154 +++ 155 +++ 156 +++ 157 +++ 158 +++ 159 +++ 160 +++ 161 +++ 162 +++ 163 +++ 164 +++ 165 +++ 166 ++ 167 +++ 168 +++ 169 +++ 170 +++ 171 ++ 172 +++ 173 +++ 174 +++ 175 ++ 176 ++ 177 ++ 178 +++ 179 +++ 180 +++ 181 +++ 182 +++ 183 +++ 184 + 185 +++ 186 +++ 187 ++ 188 + 189 ++ 190 ++ 191 +++ 192 +++ 193 +++ 194 +++ 195 +++ 196 +++ 197 +++ 198 +++ 199 +++ 200 ++ 201 +++ 202 +++ 203 +++ 204 ++ 205 +++ 206 +++ 207 +++ 208 +++ 209 +++ 210 +++ 211 +++ 212 +++ 213 +++ 214 +++ 215 +++ 216 +++ 217 +++ 218 +++ 219 ++ 220 +++ 221 +++ 222 +++ 223 +++ 224 +++ 225 +++ 226 +++ 227 +++ 228 +++ 229 +++ 230 +++ 231 +++ 232 +++ 233 +++ 234 +++ 235 +++ 236 +++ 237 +++ 238 +++ 239 +++ 240 +++ 241 ++ — − — − — − Example 2 Inhibition of c-myc Expression in Cancer Cell Lines MV4-11 cells (CRL-9591) were plated at a density of 2.5×104 cells per well in 96 well U-bottom plates and treated with increasing concentrations of test compound or DMSO (0.1%) in IMDM media containing 10% FBS and penicillin/streptomycin, and incubated for 3 h at 37° C. Triplicate wells were used for each concentration.", "Cells were pelleted by centrifugation and harvested using the mRNA Catcher PLUS kit according to manufacturer's instructions.", "The eluted mRNA isolated was then used in a one-step quantitative real-time PCR reaction, using components of the RNA UltraSenseTM One-Step Kit (Life Technologies) together with Applied Biosystems TaqMan® primer-probes for cMYC and Cyclophilin.", "Real-time PCR plates were run on a VIaTM7 real time PCR machine (Applied Biosystems), data was analyzed, normalizing the Ct values for cMYC to an internal control, prior to determining the fold expression of each sample, relative to the control.", "Compounds with an IC50 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an IC50 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an IC50 value between 3 and 30 μM were deemed to be active (+).", "TABLE 4 Inhibition of c-myc Activity in Human AML MV4-11 cells Example c-myc Example c-myc Example c-myc Example c-myc Compound activity Compound activity Compound activity Compound activity 1 Not 2 + 3 + 4 ++ active 5 ++ 6 ++ 7 ++ 8 ++ 9 + 10 ++ 11 Not 12 ++ active 13 ++ 14 ++ 15 ++ 16 +++ 17 +++ 18 +++ 19 +++ 20 Not active 22 ++ 23 Not 24 + 26 + active 27 ++ 28 ++ 29 ++ 30 ++ 31 Not 33 ++ 34 ++ 35 ++ active 36 ++ 37 + 38 + 39 ++ 40 Not 41 Not 42 + 43 Not active active active 44 + 45 ++ 46 + 47 Not active 48 ++ 49 + 50 + 51 ++ 52 + 53 Not 54 ++ 55 +++ active 56 Not 58 ++ 60 + 61 ++ active 62 ++ 63 + 64 +++ 65 ++ 66 ++ 67 +++ 68 ++ 69 ++ 70 Not 71 ++ 72 + 73 + active 74 + 75 ++ 76 ++ 77 ++ 78 + 79 Not 80 Not 81 + active active 82 ++ 83 ++ 84 ++ 85 +++ 86 ++ 87 +++ 88 ++ 89 ++ 90 +++ 91 ++ 92 ++ 93 + 94 ++ 95 ++ 96 +++ 97 +++ 98 ++ 99 ++ 100 ++ 102 +++ 103 ++ 104 ++ 105 ++ 106 ++ 108 ++ 109 +++ 110 ++ 111 +++ 112 +++ 113 +++ 114 ++ 115 +++ 116 +++ 117 +++ 118 ++ 119 +++ 120 ++ 121 +++ 122 ++ 123 +++ 124 ++ 125 +++ 126 +++ 127 +++ 128 ++ 129 +++ 130 ++ 131 ++ 132 ++ 133 +++ 134 +++ 138 +++ 139 +++ 140 +++ 141 +++ 142 ++ 143 +++ 144 +++ 145 + 146 +++ 148 ++ 149 +++ 150 Not 151 +++ active 152 +++ 153 +++ 154 Not 155 + active 156 ++ 157 +++ 158 ++ 159 +++ 160 ++ 161 Not 163 ++ 165 ++ active 167 +++ 168 ++ 169 +++ 170 +++ 171 ++ 172 +++ 173 +++ 174 ++ 176 ++ 177 +++ 178 +++ 179 +++ 180 ++ 181 +++ 182 ++ 183 ++ 185 +++ 186 + 191 +++ 192 ++ 193 ++ 194 +++ 195 +++ 196 +++ 197 +++ 198 + 199 ++ 200 Not active 201 ++ 202 ++ 203 + 205 ++ 206 Not 208 +++ 209 ++ 210 ++ active 211 ++ 212 ++ 213 ++ 214 +++ 215 +++ 216 ++ 217 +++ 218 ++ 219 ++ 220 + 221 ++ 222 +++ 223 +++ — − — − — − Example 3 Inhibition of Cell Proliferation in Cancer Cell Lines MV4-11 cells: 96-well plates were seeded with 5×104 cells per well of exponentially growing human AML MV-4-11 (CRL-9591) cells and immediately treated with two-fold dilutions of test compounds, ranging from 30 μM to 0.2 μM.", "Triplicate wells were used for each concentration, as well as a media only and three DMSO control wells.", "The cells and compounds were incubated at 37° C., 5% CO2 for 72 h before adding 20 μL of the CellTiter Aqueous One Solution (Promega) to each well and incubating at 37° C., 5% CO2 for an additional 3-4 h. The absorbance was taken at 490 nm in a spectrophotometer and the percentage of proliferation relative to DMSO-treated cells was calculated after correction from the blank well.", "IC50 were calculated using the GraphPad Prism software.", "Compounds with an IC50 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an IC50 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an IC50 value between 3 and 30 μM were deemed to be active (+).", "TABLE 5 Inhibition of Cell Proliferation in Human AML MV-4-11 cells Cell Cell Cell Cell Example Proliferation Example Proliferation Example Proliferation Example Proliferation Compound activity Compound activity Compound activity Compound activity 1 Not active 2 ++ 3 + 4 + 5 ++ 6 ++ 7 +++ 8 ++ 9 + 10 ++ 11 Not active 12 ++ 13 ++ 14 + 15 ++ 16 +++ 17 ++ 18 +++ 19 +++ 20 Not active 21 ++ 22 ++ 23 + 24 Not active 25 Not active 26 ++ 27 ++ 28 ++ 29 ++ 30 ++ 31 + 33 + 34 ++ 35 ++ 36 ++ 37 Not active 38 Not active 39 ++ 40 Not active 41 ++ 42 + 43 ++ 44 + 45 ++ 46 + 47 Not active 48 + 49 + 50 + 51 ++ 52 ++ 53 Not active 54 ++ 55 +++ 57 + 58 ++ 59 Not active 60 Not active 61 + 62 ++ 64 ++ 65 ++ 66 ++ 67 ++ 68 + 69 ++ 70 + 71 ++ 72 + 73 + 74 + 75 ++ 76 + 77 ++ 78 + 79 Not active 80 Not active 81 + 82 + 83 ++ 84 ++ 86 + 87 +++ 88 ++ 89 ++ 90 ++ 91 + 92 ++ 93 + 94 ++ 95 ++ 96 +++ 97 +++ 98 ++ 99 ++ 100 ++ 102 ++ 103 ++ 104 ++ 105 ++ 106 ++ 107 ++ 108 ++ 109 +++ 110 ++ 111 +++ 112 ++ 113 +++ 114 ++ 115 +++ 116 +++ 117 +++ 118 ++ 119 +++ 120 ++ 121 +++ 122 +++ 123 ++ 124 ++ 125 ++ 126 ++ 127 +++ 128 ++ 129 ++ 130 ++ 131 ++ 132 ++ 133 ++ 134 +++ 135 ++ 136 ++ 137 +++ 138 ++ 139 +++ 140 +++ 141 +++ 142 + 143 +++ 144 +++ 145 + 146 +++ 148 ++ 149 ++ 150 Not active 151 +++ 152 ++ 153 +++ 154 + 155 Not active 156 ++ 157 +++ 158 ++ 159 ++ 160 ++ 161 ++ 162 +++ 163 ++ 165 ++ 167 +++ 168 ++ 169 +++ 170 +++ 171 ++ 172 ++ 173 ++ 174 ++ 176 ++ 177 +++ 178 ++ 179 +++ 180 ++ 181 ++ 182 ++ 183 + 185 +++ 186 Not active 191 ++ 192 ++ 193 ++ 194 +++ 195 +++ 196 ++ 197 +++ 198 + 199 ++ 200 Not active 201 ++ 202 ++ 203 + 205 ++ 206 + 207 +++ 208 ++ 209 +++ 210 ++ 211 +++ 212 ++ 213 ++ 214 +++ 215 ++ 216 +++ 217 ++ 218 + 219 ++ 220 ++ 221 ++ 222 +++ 223 ++ Example 4 Inhibition of hIL-6 mRNA Transcription In this example, hIL-6 mRNA in tissue culture cells was quantitated to measure the transcriptional inhibition of hIL-6 when treated with a compound of the invention.", "In this example, hIL-6 mRNA in tissue culture cells was quantitated to measure the transcriptional inhibition of hIL-6 when treated with a compound of the invention.", "Human leukemic monocyte lymphoma U937 cells (CRL-1593.2) were plated at a density of 3.2×104 cells per well in a 96-well plate in 100 μL RPMI-1640 containing 10% FBS and penicillin/streptomycin, and differentiated into macrophages for 3 days in 60 ng/mL PMA (phorbol-13-myristate-12-acetate) at 37° C. in 5% CO2 prior to the addition of compound.", "The cells were pretreated for 1 h with increasing concentrations of test compound in 0.1% DMSO prior to stimulation with 1 ug/mL lipopolysaccharide from Escherichia coli.", "Triplicate wells were used for each concentration.", "The cells were incubated at 37° C., 5% CO2 for 3 h before the cells were harvested.", "At time of harvest, media was removed and cells were rinsed in 200 μL PBS.", "Cells were harvested using the mRNA Catcher PLUS kit according to manufacturer's instructions.", "The eluted mRNA was then used in a one-step quantitative real-time PCR reaction using components of the RNA UltraSense™ One-Step Kit (Life Technologies) together with Applied Biosystems TaqMan® primer-probes for hIL-6 and Cyclophilin.", "Real-time PCR plates were run on a VIa™ 7 real time PCR machine (Applied Biosystems), data was analyzed, normalizing the Ct values for hIL-6 to an internal control, prior to determining the fold expression of each sample, relative to the control.", "Compounds with an IC50 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an IC50 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an IC50 value between 3 and 30 μM were deemed to be active (+).", "TABLE 6 Inhibition of hIL-6 mRNA Transcription Example IL-6 Example IL-6 Example IL-6 Example IL-6 Compound activity Compound activity Compound activity Compound activity 1 ++ 2 ++ 3 + 4 ++ 5 ++ 6 ++ 7 +++ 8 ++ 9 + 10 +++ 11 ++ 12 ++ 13 +++ 14 ++ 15 ++ 16 +++ 17 ++ 18 ++ 19 +++ 20 Not active 21 +++ 22 ++ 23 ++ 24 ++ 25 Not 26 ++ 27 ++ 28 ++ active 29 ++ 30 ++ 31 ++ 33 ++ 34 ++ 35 ++ 36 ++ 37 + 38 + 39 ++ 40 + 41 + 42 + 43 ++ 44 ++ 45 ++ 46 + 47 Not 48 ++ 49 + active 50 ++ 51 ++ 52 ++ 53 ++ 54 ++ 55 +++ 56 + 58 ++ 59 Not 60 ++ 61 ++ 62 ++ active 63 ++ 64 +++ 65 ++ 66 ++ 67 +++ 68 ++ 69 ++ 70 ++ 71 ++ 72 + 73 ++ 74 ++ 75 ++ 76 ++ 77 ++ 78 ++ 79 Not 80 Not 81 ++ 82 ++ active active 83 ++ 84 ++ 85 ++ 86 ++ 87 +++ 88 +++ 89 ++ 91 ++ 92 ++ 93 ++ 94 ++ 95 ++ 96 +++ 97 +++ 98 ++ 99 ++ 100 ++ 102 +++ 103 ++ 105 ++ 106 ++ 108 +++ 109 +++ 111 +++ 112 ++ 113 +++ 114 ++ 115 +++ 116 ++ 117 +++ 118 ++ 119 +++ 121 ++ 122 ++ 123 +++ 127 +++ 129 +++ 131 ++ 132 +++ 133 +++ 135 +++ 136 ++ 137 +++ 140 +++ 141 +++ 143 +++ 144 +++ 146 +++ 148 +++ 149 +++ 150 ++ 151 +++ 152 +++ 153 +++ 154 + 155 ++ 156 ++ 157 +++ 158 ++ 162 +++ 164 +++ 207 +++ 208 +++ 209 +++ 211 +++ 214 +++ 215 +++ 216 +++ 217 +++ 218 ++ 220 ++ 221 ++ 223 ++ — − — − — − Example 5 Inhibition of IL-17 mRNA Transcription In this example, hIL-17 mRNA in human peripheral blood mononuclear cells was quantitated to measure the transcriptional inhibition of hIL-17 when treated with a compound of the invention.", "Human peripheral blood mononuclear cells were plated (2.0×105 cells per well) in a 96-well plate in 45 μL OpTimizer T Cell expansion media containing 20 ng/ml IL-2 and penicillin/streptomycin.", "The cells were treated with the test compound (45 μL at 2× concentration), and then the cells were incubated at 37° C. for 1 h before addition of 10× stock OKT3 antibody at 10 μg/ml in media.", "Cells were incubated at 37° C. for 6 h before the cells were harvested.", "At time of harvest, cells were centrifuged (800 rpm, 5 min).", "Spent media was removed and cell lysis solution (70 μL) was added to the cells in each well and incubated for 5-10 min at room temperature, to allow for complete cell lysis and detachment.", "mRNA was then prepared using the “mRNA Catcher PLUS plate” (Invitrogen), according to the protocol supplied.", "After the last wash, as much wash buffer as possible was aspirated without allowing the wells to dry.", "Elution buffer (E3, 70 μL) was then added to each well.", "mRNA was then eluted by incubating the mRNA Catcher PLUS plate with Elution Buffer for 5 min at 68° C. and then immediately placing the plate on ice.", "The eluted mRNA isolated was then used in a one-step quantitative RT-PCR reaction, using components of the Ultra Sense Kit together with Applied Biosystems primer-probe mixes.", "Real-time PCR data was analyzed, normalizing the Ct values for hIL-17 to an internal control, prior to determining the fold induction of each unknown sample, relative to the control.", "Compounds with an IC50 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an IC50 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an IC50 value between 3 and 30 μM were deemed to be active (+).", "TABLE 7 Inhibition of hIL-17 mRNA Transcription Example IL-17 Example IL-17 Example IL-17 Example IL-17 Compound activity Compound activity Compound activity Compound activity 5 ++ 7 +++ 8 ++ 10 +++ 13 ++ 16 ++ 18 ++ 19 +++ 30 ++ 45 ++ 51 ++ 53 + 55 +++ 64 +++ 105 ++ 106 ++ 112 +++ — − — − — − Example 6 Inhibition of hVCAM mRNA Transcription In this example, hVCAMmRNA in tissue culture cells is quantitated to measure the transcriptional inhibition of hVCAM when treated with a compound of the present disclosure.", "Human umbilical vein endothelial cells (HUVECs) are plated in a 96-well plate (4.0×103 cells/well) in 100 μL EGM media and incubated for 24 h prior to the addition of the compound of interest.", "The cells are pretreated for 1 h with the test compound prior to stimulation with tumor necrosis factor-α.", "The cells are incubated for an additional 24 h before the cells are harvested.", "At time of harvest, the spent media is removed from the HUVECs and rinsed in 200 μL PBS.", "Cell lysis solution (70 μL) is then added the cells in each well and incubated for ˜5-10 min at room temperature, to allow for complete cell lysis and detachment.", "mRNA is then prepared using the “mRNA Catcher PLUS plate” (Invitrogen), according to the protocol supplied.", "After the last wash, as much wash buffer as possible is aspirated without allowing the wells to dry.", "Elution buffer (E3, 70 μL) is then added to each well.", "mRNA is then eluted by incubating the mRNA Catcher PLUS plate with elution buffer for 5 min at 68° C. and then immediately placing the plate on ice.", "The eluted mRNA so isolated is then used in a one-step quantitative real-time PCR reaction, using components of the Ultra Sense Kit together with Applied Biosystems primer-probe mixes.", "Real-time PCR data is analyzed, normalizing the Ct values for hVCAM to an internal control, prior to determining the fold induction of each unknown sample, relative to the control.", "Example 7 Inhibition of hMCP-1 mRNA Transcription In this example, hMCP-1 mRNA in human peripheral blood mononuclear cells is quantitated to measure the transcriptional inhibition of hMCP-1 when treated with a compound of the present disclosure.", "Human Peripheral Blood Mononuclear Cells are plated (1.0×105 cells per well) in a 96-well plate in 45 μL RPMI-1640 containing 10% FBS and penicillin/streptomycin.", "The cells are treated with the test compound (45 μL at 2× concentration), and then the cells are incubated at 37° C. for 3 h before the cells are harvested.", "At time of harvest, cells are transferred to V-bottom plates and centrifuged (800 rpm, 5 min).", "Spent media is removed and cell lysis solution (70 μL) is added to the cells in each well and incubated for 5-10 min at room temperature, to allow for complete cell lysis and detachment.", "mRNA is then prepared using the “mRNA Catcher PLUS plate” (Invitrogen), according to the protocol supplied.", "After the last wash, as much wash buffer as possible is aspirated without allowing the wells to dry.", "Elution buffer (E3, 70 μL) is then added to each well.", "mRNA is then eluted by incubating the mRNA Catcher PLUS plate with Elution Buffer for 5 min at 68° C. and then immediately placing the plate on ice.", "The eluted mRNA isolated is then used in a one-step quantitative real-time PCR reaction, using components of the Ultra Sense Kit together with Applied Biosystems primer-probe mixes.", "Real-time PCR data is analyzed, normalizing the Ct values for hMCP-1 to an internal control, prior to determining the fold induction of each unknown sample, relative to the control.", "Example 8 Up-Regulation of hApoA-1 mRNA Transcription In this example, ApoA-I mRNA in tissue culture cells was quantitated to measure the transcriptional up-regulation of ApoA-I when treated with a compound of the invention.", "Huh7 cells (2.5×105 per well) were plated in a 96-well plate using 100 μL DMEM per well, (Gibco DMEM supplemented with penicillin/streptomycin and 10% FBS), 24 h before the addition of the compound of interest.", "After 48 h treatment, the spent media was removed from the Huh-7 cells and placed on ice (for immediate use) or at −80° C. (for future use) with the “LDH cytotoxicity assay Kit II” from Abcam.", "The cells remaining in the plate were rinsed with 100 μL PBS.", "Then 85 μL of cell lysis solution was added to each well and incubated for 5-10 min at room temperature, to allow for complete cell lysis and detachment.", "mRNA was then prepared using the “mRNA Catcher PLUS plate” from Life Technologies, according to the protocol supplied.", "After the last wash, as much wash buffer as possible was aspirated without allowing the wells to dry.", "Elution Buffer (E3, 80 μL) was then added to each well.", "mRNA was then eluted by incubating the mRNA Catcher PLUS plate with Elution Buffer for 5 min at 68° C., and then 1 min at 4° C. Catcher plates with mRNA eluted were kept on ice for use or stored at -80° C. The eluted mRNA isolated was then used in a one-step real-time PCR reaction, using components of the Ultra Sense Kit together with Life Technologies primer-probe mixes.", "Real-time PCR data was analyzed, using the Ct values, to determine the fold induction of each unknown sample, relative to the control (that is, relative to the control for each independent DMSO concentration).", "Compounds with an EC170 value less than or equal to 0.3 μM were deemed to be highly active (+++); compounds with an EC170 value between 0.3 and 3 μM were deemed to be very active (++); compounds with an EC170 value between 3 and 30 μM were deemed to be active (+).", "TABLE 8 Up-regulation of hApoA-1 mRNA Transcription.", "Example Compound ApoA-1 activity 7 +++ Example 9 In Vivo Efficacy in Athymic Nude Mouse Strain of an Acute Myeloid Leukemia Xenograft Model using MV4-11 Cells MV4-11 cells (ATCC) are grown under standard cell culture conditions and (NCr) nu/nu fisol strain of female mice age 6-7 weeks are injected with 5×106 cells/animal in 100 μL PBS+100 μL Matrigel in the lower left abdominal flank.", "By approximately day 18 after MV4-11 cells injection, mice are randomized based on tumor volume (L×W×H)/2) of average ˜120 mm3.Mice are dosed orally with compound at 75 mg/kg b.i.d and 120 mg/kg b.i.d in EA006 formulation at 10 mL/kg body weight dose volume.", "Tumor measurements are taken with electronic micro calipers and body weights measured on alternate days beginning from dosing period.", "The average tumor volumes, percent Tumor Growth Inhibition (TGI) and % change in body weights are compared relative to Vehicle control animals.", "The means, statistical analysis and the comparison between groups are calculated using Student's t-test in Excel.", "TABLE 9 In vivo efficacy in athymic nude mouse strain of an acute myeloid leukemia xenograft model Example Compound In vivo activity Example 7 Active Example 10 In Vivo Efficacy in Athymic Nude Mouse Strain of an Acute Myeloid Leukemia Xenograft Model using OCI-3 AML Cells OCI-3 AML cells (DMSZ) were grown under standard cell culture conditions and (NCr) nu/nu fisol strain of female mice age 6-7 weeks were injected with 10×106 cells/animal in 100 μL PBS+100 μL Matrigel in the lower left abdominal flank.", "By approximately day 18-21 after OCI-3 AML cells injection, mice were randomized based on tumor volume (L×W×H)/2) of average ˜100-300 mm3.Mice were dosed orally with compound at 30 mg/kg b.i.d on a continuous dosing schedule and at 2.5 to 45 mg/kg q.d.", "on a 5 day on and 2 day off dosing schedule in EA006 formulation at 10 mL/kg body weight dose volume.", "Tumor measurements were taken with electronic micro calipers and body weights measured on alternate days beginning from dosing period.", "The average tumor volumes, percent Tumor Growth Inhibition (TGI) and % change in body weights were compared relative to Vehicle control animals.", "The means, statistical analysis and the comparison between groups were calculated using Student's t-test in Excel.", "Example 11 Evaluation of Target Engagement MV4-11 cells (ATCC) are grown under standard cell culture conditions and (NCr) nu/nu fisol strain of female mice age 6-7 weeks are injected with 5×106 cells/animal in 100 μL PBS+100 μL Matrigel in the lower left abdominal flank.", "By approximately day 28 after MV4-11 cells injection, mice are randomized based on tumor volume (L×W×H)/2) of average ˜500 mm3.Mice are dosed orally with compound in EA006 formulation at 10 mL/kg body weight dose volume and tumors harvested 6 hrs post dose for Bcl2 and c-myc gene expression analysis as PD biomarkers.", "Example 12 In Vivo Efficacy in Mouse Endotoxemia Model Assay Sub lethal doses of Endotoxin (E. Coli bacterial lipopolysaccharide) are administered to animals to produce a generalized inflammatory response which is monitored by increases in secreted cytokines.", "Compounds are administered to C57/BI6 mice at T=4 hours orally at 75 mg/kg dose to evaluate inhibition in IL-6 and IL-17 and MCP-1 cytokines post 3-h challenge with lipopolysaccharide (LPS) at T=0 hours at 0.5 mg/kg dose intraperitoneally.", "Example 13 In Vivo Efficacy in Rat Collagen-Induced Arthritis Rat collagen-induced arthritis is an experimental model of polyarthritis that has been widely used for preclinical testing of numerous anti-arthritic agents.", "Following administration of collagen, this model establishes a measurable polyarticular inflammation, marked cartilage destruction in association with pannus formation and mild to moderate bone resorption and periosteal bone proliferation.", "In this model, collagen is administered to female Lewis strain of rats on Day 1 and 7 of study and dosed with compounds from Day 11 to Day 17.Test compounds are evaluated to assess the potential to inhibit the inflammation (including paw swelling), cartilage destruction and bone resorption in arthritic rats, using a model in which the treatment is administered after the disease has been established.", "Example 14 In Vivo Efficacy in Experimental Autoimmune Encephalomyelitis (EAE) Model of MS Experimental autoimmune encephalomyelitis (EAE) is a T-cell-mediated autoimmune disease of the CNS which shares many clinical and histopathological features with human multiple sclerosis (MS).", "EAE is the most commonly used animal model of MS. T cells of both Th1 and Th17 lineage have been shown to induce EAE.", "Cytokines IL-23, IL-6 and IL-17, which are either critical for Th1 and Th17 differentiation or produced by these T cells, play a critical and non-redundant role in EAE development.", "Therefore, drugs targeting production of these cytokines are likely to have therapeutic potential in treatment of MS.", "Compounds of Formula I or la were administered at 50 to 125 mg/kg b.i.d.", "from time of immunization to EAE mice to assess anti-inflammatory activity.", "In this model, EAE is induced by MOG35-55/CFA immunization and pertussis toxin injection in female C57BI/6 mice.", "TABLE 10 In Vivo Efficacy in Experimental autoimmune encephalomyelitis (EAE) Model of MS Example Compound In vivo activity Example 7 Active Example 15 Ex Vivo Effects on T Cell Function from Splenocyte and Lymphocyte Cultures Stimulated with External MOG Stimulation Mice were immunized with MOG/CFA and simultaneously treated with the compound for 11 days on a b.i.d regimen.", "Inguinal Lymph node and spleen were harvested, cultures were set up for lymphocytes and splenocytes and stimulated with external antigen (MOG) for 72 hours.", "Supernatants from these cultures were analyzed for TH1, Th2 and Th17 cytokines using a Cytometric Bead Array assay.", "Exampe 16 In Vivo Efficacy in Athymic Nude Mouse Strain of Multiple Myeloma Xenograft Model Using MM1.s Cells MM1.s cells (ATCC) are grown under standard cell culture conditions and (NCr) nu/nu fisol strain of female mice age 6-7 weeks are injected with 10×106 cells/animal in 100 μL PBS+100 μL Matrigel in the lower left abdominal flank.", "By approximately day 21 after MM1.s cells injection, mice are randomized based on tumor volume (L×W×H)/2) of average ˜120 mm3.Mice are dosed orally with compound at 75 mg/kg b.i.d in EA006 formulation at 10 mL/kg body weight dose volume.", "Tumor measurements are taken with electronic micro calipers and body weights measured on alternate days beginning from dosing period.", "The average tumor volumes, percent Tumor Growth Inhibition (TGI) and % change in body weights are compared relative to Vehicle control animals.", "The means, statistical analysis and the comparison between groups are calculated using Student's t-test in Excel.", "Other embodiments of the present disclosure will be apparent to those skilled in the art from consideration of the specification and practice of the present disclosure disclosed herein.", "It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the present disclosure being indicated by the following claims." ] ]
Patent_15875678
[ [ "METHOD AND APPARATUS FOR MANAGING A FAULT IN A DISTRIBUTED ANTENNA SYSTEM", "Aspects of the subject disclosure may include, for example, receiving, by a first antenna system of a distributed antenna system, a first wireless signal from a second antenna system of the distributed antenna system, the second antenna system included in a first series of antenna systems of the distributed antenna system, detecting an operational fault in the second antenna system, and redirecting, by the first antenna system, a first wireless transmission to a third antenna system of the distributed antenna system, the third antenna system included in a second series of antenna systems of the distributed antenna system, the first series of antenna systems providing first communication services, the second series of antenna systems providing second communication services, and the second communication services utilized at least in part as backup communication services when a communication fault is detected in the first series of antenna systems.", "Other embodiments are disclosed." ], [ "1.A method, comprising: receiving, by a first antenna system of a distributed antenna system, a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system corresponds to a first wireless communication path of antenna systems of the distributed antenna system, wherein the first wireless signal operates at a first carrier frequency, wherein the first wireless signal conveys a first modulated signal generated by modulating a first signal according to a first signaling protocol, and wherein the second antenna system generates the first wireless signal by frequency-shifting the first modulated signal in a first band to the first carrier frequency without modifying the first signaling protocol of the first modulated signal; detecting, by the first antenna system, an operational fault in the second antenna system based on an analysis of the first wireless signal; and responsive to the detecting, redirecting, by the first antenna system, a wireless transmission to a third antenna system of the distributed antenna system, wherein the third antenna system corresponds to a second wireless communication path of antenna systems of the distributed antenna system, wherein the first wireless communication path provides first communication services, wherein the second wireless communication path provides second communication services, and wherein a portion of the second communication services facilitates mitigating the operational fault in the second antenna system.", "2.The method of claim 1, wherein the detecting comprises comparing a signal strength of the first wireless signal to a threshold.", "3.The method of claim 2, wherein the detecting comprises determining that the signal strength of the first wireless signal is below the threshold.", "4.The method of claim 1, wherein the detecting comprises comparing an operational characteristic of the first wireless signal to an operational criterion.", "5.The method of claim 1, further comprising receiving, by the first antenna system, a second wireless signal from the third antenna system.", "6.The method of claim 5, wherein the second wireless signal operates at a second carrier frequency, wherein the second wireless signal comprises a second modulated signal generated by modulating a second signal according to a second signaling protocol.", "7.The method of claim 6, wherein the third antenna system generates the second wireless signal by frequency-shifting the second modulated signal in a second band to the second carrier frequency without modifying the second signaling protocol of the second modulated signal.", "8.The method of claim 5, further comprising analyzing the second wireless signal.", "9.The method of claim 8, wherein the redirecting the first wireless transmission to the third antenna system is responsive to detecting, according to the analyzing the second wireless signal, that the third antenna system is capable of being used to mitigate the operational fault in the second antenna system.", "10.The method of claim 8, wherein the analyzing the second wireless signal comprises comparing a signal strength of the second wireless signal to a threshold, and wherein the redirecting the wireless transmission to the third antenna system is responsive to determining that the signal strength of the second wireless signal is above the threshold.", "11.The method of claim 8, wherein the analyzing the second wireless signal comprises comparing an operational characteristic of the second wireless signal to an operational criterion.", "12.The method of claim 1, wherein the first wireless communication path comprises a first spanning tree of the distributed antenna system, and wherein the second wireless communication path comprises a second spanning tree of the distributed antenna system.", "13.The method of claim 1, further comprising: detecting, by the first antenna system, that the operational fault in the second antenna system has been mitigated; and redirecting, by the first antenna system, the wireless transmission to the second antenna system.", "14.The method of claim 13, wherein the redirecting the wireless transmission to the second antenna system further comprises transmitting a message to the third antenna system indicating that the wireless transmission is being redirected to the second antenna system.", "15.A first antenna system of a distributed antenna system, comprising: an antenna; a processing system including a processor; and a memory that stores executable instructions that, when executed by the processing system, facilitate performance of operations, comprising: receiving, by the antenna, a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system corresponds to a first wireless communication path of antenna systems of the distributed antenna system; detecting an operational fault in the second antenna system according to an analysis of one or more signal characteristics of the first wireless signal; and responsive to the detecting, redirecting a wireless transmission to a third antenna system of the distributed antenna system, wherein the third antenna system corresponds to a second wireless communication path of antenna systems of the distributed antenna system, wherein the first wireless communication path provides first communication services, wherein the second wireless communication path provides second communication services, and wherein the second communication services are utilized at least in part as backup communication services when the operational fault in the second antenna system is detected.", "16.The first antenna system of claim 15, wherein the first wireless signal operates at a carrier frequency, wherein the first wireless signal comprises a modulated signal generated by modulating a signal according to a signaling protocol, wherein the second antenna system generates the first wireless signal by frequency-shifting the modulated signal in a cellular band to the carrier frequency without modifying the signaling protocol of the modulated signal.", "17.The first antenna system of claim 15, wherein the detecting comprises analyzing the first wireless signal by comparing a signal strength of the first wireless signal to a threshold, comparing an operational characteristic of the first wireless signal to an operational criterion, or any combination thereof.", "18.The first antenna system of claim 15, wherein the operations further comprise receiving, by the antenna, a second wireless signal from the third antenna system, and wherein the redirecting the wireless transmission to the third antenna system is responsive to detecting, according to analyzing the second wireless signal, that the third antenna system is capable of being used to mitigate the operational fault in the second antenna system.", "19.A first antenna system of a distributed antenna system, comprising: means for receiving a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system corresponds to a wireless communication path of antenna systems of the distributed antenna system; means for detecting an operational fault in the second antenna system; and means for redirecting a wireless transmission to a third antenna system of the distributed antenna system responsive to the means for detecting, wherein the third antenna system corresponds to a second wireless communication path of antenna systems of the distributed antenna system, wherein the first wireless communication path provides first communication services, and wherein the second wireless communication path provides second communication services utilized at least in part as backup communication services when the operational fault in the second antenna system is detected.", "20.The first antenna system of claim 19, further comprising: means for determining that the operational fault in the second antenna system has been mitigated; and means for redirecting the wireless transmission to the second antenna system." ], [ "<SOH> BACKGROUND <EOH>As smart phones and other portable devices increasingly become ubiquitous, and data usage increases, macrocell base station devices and existing wireless infrastructure in turn require higher bandwidth capability in order to address the increased demand.", "To provide additional mobile bandwidth, small cell deployment is being pursued, with microcells and picocells providing coverage for much smaller areas than traditional macrocells.", "In addition, most homes and businesses have grown to rely on broadband data access for services such as voice, video and Internet browsing, etc.", "Broadband access networks include satellite, 4G or 5G wireless, power line communication, fiber, cable, and telephone networks." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>Reference will now be made to the accompanying drawings, which are not necessarily drawn to scale, and wherein: FIG.", "1 is a block diagram illustrating an example, non-limiting embodiment of a guided-wave communications system in accordance with various aspects described herein.", "FIG.", "2 is a block diagram illustrating an example, non-limiting embodiment of a transmission device in accordance with various aspects described herein.", "FIG.", "3 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "4 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "5A is a graphical diagram illustrating an example, non-limiting embodiment of a frequency response in accordance with various aspects described herein.", "FIG.", "5B is a graphical diagram illustrating example, non-limiting embodiments of a longitudinal cross-section of an insulated wire depicting fields of guided electromagnetic waves at various operating frequencies in accordance with various aspects described herein.", "FIG.", "6 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "7 is a block diagram illustrating an example, non-limiting embodiment of an arc coupler in accordance with various aspects described herein.", "FIG.", "8 is a block diagram illustrating an example, non-limiting embodiment of an arc coupler in accordance with various aspects described herein.", "FIG.", "9A is a block diagram illustrating an example, non-limiting embodiment of a stub coupler in accordance with various aspects described herein.", "FIG.", "9B is a diagram illustrating an example, non-limiting embodiment of an electromagnetic distribution in accordance with various aspects described herein.", "FIGS.", "10A and 10B are block diagrams illustrating example, non-limiting embodiments of couplers and transceivers in accordance with various aspects described herein.", "FIG.", "11 is a block diagram illustrating an example, non-limiting embodiment of a dual stub coupler in accordance with various aspects described herein.", "FIG.", "12 is a block diagram illustrating an example, non-limiting embodiment of a repeater system in accordance with various aspects described herein.", "FIG.", "13 illustrates a block diagram illustrating an example, non-limiting embodiment of a bidirectional repeater in accordance with various aspects described herein.", "FIG.", "14 is a block diagram illustrating an example, non-limiting embodiment of a waveguide system in accordance with various aspects described herein.", "FIG.", "15 is a block diagram illustrating an example, non-limiting embodiment of a guided-wave communications system in accordance with various aspects described herein.", "FIGS.", "16A and 16B are block diagrams illustrating an example, non-limiting embodiment of a system for managing a communication system in accordance with various aspects described herein.", "FIG.", "17A illustrates a flow diagram of an example, non-limiting embodiment of a method for detecting and mitigating disturbances occurring in a communication network of the system of FIGS.", "16A and 16B .", "FIG.", "17B illustrates a flow diagram of an example, non-limiting embodiment of a method for detecting and mitigating disturbances occurring in a communication network of the system of FIGS.", "16A and 16B .", "FIG.", "18A is a block diagram illustrating an example, non-limiting embodiment of a communication system in accordance with various aspects described herein.", "FIG.", "18B is a block diagram illustrating an example, non-limiting embodiment of a portion of the communication system of FIG.", "18A in accordance with various aspects described herein.", "FIGS.", "18C-18D are block diagrams illustrating an example, non-limiting embodiments of a communication node of the communication system of FIG.", "18A in accordance with various aspects described herein.", "FIG.", "19A is a graphical diagram illustrating an example, non-limiting embodiment of downlink and uplink communication techniques for enabling a base station to communicate with communication nodes in accordance with various aspects described herein.", "FIG.", "19B is a block diagram illustrating an example, non-limiting embodiment of a communication node in accordance with various aspects described herein.", "FIG.", "19C is a block diagram illustrating an example, non-limiting embodiment of a communication node in accordance with various aspects described herein.", "FIG.", "19D is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19E is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19F is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19G is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19H is a block diagram illustrating an example, non-limiting embodiment of a transmitter in accordance with various aspects described herein.", "FIG.", "19I is a block diagram illustrating an example, non-limiting embodiment of a receiver in accordance with various aspects described herein.", "FIG.", "20A illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20B illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20C illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20D illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20E illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20F illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20G illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20H illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20I illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20J illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20K illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "21A illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIGS.", "21B, 21C, and 21D illustrate block diagrams of an example, non-limiting embodiment of a distributed antenna system in accordance with various aspects described herein.", "FIG.", "22 is a block diagram of an example, non-limiting embodiment of a computing environment in accordance with various aspects described herein.", "FIG.", "23 is a block diagram of an example, non-limiting embodiment of a mobile network platform in accordance with various aspects described herein.", "FIG.", "24 is a block diagram of an example, non-limiting embodiment of a communication device in accordance with various aspects described herein.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "15/246,223, filed Aug. 24, 2016, the contents of which are incorporated by reference into this application as if set forth herein in full.", "FIELD OF THE DISCLOSURE The subject disclosure relates to a method and apparatus for managing a fault in a distributed antenna system.", "BACKGROUND As smart phones and other portable devices increasingly become ubiquitous, and data usage increases, macrocell base station devices and existing wireless infrastructure in turn require higher bandwidth capability in order to address the increased demand.", "To provide additional mobile bandwidth, small cell deployment is being pursued, with microcells and picocells providing coverage for much smaller areas than traditional macrocells.", "In addition, most homes and businesses have grown to rely on broadband data access for services such as voice, video and Internet browsing, etc.", "Broadband access networks include satellite, 4G or 5G wireless, power line communication, fiber, cable, and telephone networks.", "BRIEF DESCRIPTION OF THE DRAWINGS Reference will now be made to the accompanying drawings, which are not necessarily drawn to scale, and wherein: FIG.", "1 is a block diagram illustrating an example, non-limiting embodiment of a guided-wave communications system in accordance with various aspects described herein.", "FIG.", "2 is a block diagram illustrating an example, non-limiting embodiment of a transmission device in accordance with various aspects described herein.", "FIG.", "3 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "4 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "5A is a graphical diagram illustrating an example, non-limiting embodiment of a frequency response in accordance with various aspects described herein.", "FIG.", "5B is a graphical diagram illustrating example, non-limiting embodiments of a longitudinal cross-section of an insulated wire depicting fields of guided electromagnetic waves at various operating frequencies in accordance with various aspects described herein.", "FIG.", "6 is a graphical diagram illustrating an example, non-limiting embodiment of an electromagnetic field distribution in accordance with various aspects described herein.", "FIG.", "7 is a block diagram illustrating an example, non-limiting embodiment of an arc coupler in accordance with various aspects described herein.", "FIG.", "8 is a block diagram illustrating an example, non-limiting embodiment of an arc coupler in accordance with various aspects described herein.", "FIG.", "9A is a block diagram illustrating an example, non-limiting embodiment of a stub coupler in accordance with various aspects described herein.", "FIG.", "9B is a diagram illustrating an example, non-limiting embodiment of an electromagnetic distribution in accordance with various aspects described herein.", "FIGS.", "10A and 10B are block diagrams illustrating example, non-limiting embodiments of couplers and transceivers in accordance with various aspects described herein.", "FIG.", "11 is a block diagram illustrating an example, non-limiting embodiment of a dual stub coupler in accordance with various aspects described herein.", "FIG.", "12 is a block diagram illustrating an example, non-limiting embodiment of a repeater system in accordance with various aspects described herein.", "FIG.", "13 illustrates a block diagram illustrating an example, non-limiting embodiment of a bidirectional repeater in accordance with various aspects described herein.", "FIG.", "14 is a block diagram illustrating an example, non-limiting embodiment of a waveguide system in accordance with various aspects described herein.", "FIG.", "15 is a block diagram illustrating an example, non-limiting embodiment of a guided-wave communications system in accordance with various aspects described herein.", "FIGS.", "16A and 16B are block diagrams illustrating an example, non-limiting embodiment of a system for managing a communication system in accordance with various aspects described herein.", "FIG.", "17A illustrates a flow diagram of an example, non-limiting embodiment of a method for detecting and mitigating disturbances occurring in a communication network of the system of FIGS.", "16A and 16B.", "FIG.", "17B illustrates a flow diagram of an example, non-limiting embodiment of a method for detecting and mitigating disturbances occurring in a communication network of the system of FIGS.", "16A and 16B.", "FIG.", "18A is a block diagram illustrating an example, non-limiting embodiment of a communication system in accordance with various aspects described herein.", "FIG.", "18B is a block diagram illustrating an example, non-limiting embodiment of a portion of the communication system of FIG.", "18A in accordance with various aspects described herein.", "FIGS.", "18C-18D are block diagrams illustrating an example, non-limiting embodiments of a communication node of the communication system of FIG.", "18A in accordance with various aspects described herein.", "FIG.", "19A is a graphical diagram illustrating an example, non-limiting embodiment of downlink and uplink communication techniques for enabling a base station to communicate with communication nodes in accordance with various aspects described herein.", "FIG.", "19B is a block diagram illustrating an example, non-limiting embodiment of a communication node in accordance with various aspects described herein.", "FIG.", "19C is a block diagram illustrating an example, non-limiting embodiment of a communication node in accordance with various aspects described herein.", "FIG.", "19D is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19E is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19F is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19G is a graphical diagram illustrating an example, non-limiting embodiment of a frequency spectrum in accordance with various aspects described herein.", "FIG.", "19H is a block diagram illustrating an example, non-limiting embodiment of a transmitter in accordance with various aspects described herein.", "FIG.", "19I is a block diagram illustrating an example, non-limiting embodiment of a receiver in accordance with various aspects described herein.", "FIG.", "20A illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20B illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20C illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20D illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20E illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20F illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20G illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20H illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20I illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20J illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "20K illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIG.", "21A illustrates a flow diagram of an example, non-limiting embodiment of a method in accordance with various aspects described herein.", "FIGS.", "21B, 21C, and 21D illustrate block diagrams of an example, non-limiting embodiment of a distributed antenna system in accordance with various aspects described herein.", "FIG.", "22 is a block diagram of an example, non-limiting embodiment of a computing environment in accordance with various aspects described herein.", "FIG.", "23 is a block diagram of an example, non-limiting embodiment of a mobile network platform in accordance with various aspects described herein.", "FIG.", "24 is a block diagram of an example, non-limiting embodiment of a communication device in accordance with various aspects described herein.", "DETAILED DESCRIPTION One or more embodiments are now described with reference to the drawings, wherein like reference numerals are used to refer to like elements throughout.", "In the following description, for purposes of explanation, numerous details are set forth in order to provide a thorough understanding of the various embodiments.", "It is evident, however, that the various embodiments can be practiced without these details (and without applying to any particular networked environment or standard).", "In an embodiment, a guided wave communication system is presented for sending and receiving communication signals such as data or other signaling via guided electromagnetic waves.", "The guided electromagnetic waves include, for example, surface waves or other electromagnetic waves that are bound to or guided by a transmission medium.", "It will be appreciated that a variety of transmission media can be utilized with guided wave communications without departing from example embodiments.", "Examples of such transmission media can include one or more of the following, either alone or in one or more combinations: wires, whether insulated or not, and whether single-stranded or multi-stranded; conductors of other shapes or configurations including wire bundles, cables, rods, rails, pipes; non-conductors such as dielectric pipes, rods, rails, or other dielectric members; combinations of conductors and dielectric materials; or other guided wave transmission media.", "The inducement of guided electromagnetic waves on a transmission medium can be independent of any electrical potential, charge or current that is injected or otherwise transmitted through the transmission medium as part of an electrical circuit.", "For example, in the case where the transmission medium is a wire, it is to be appreciated that while a small current in the wire may be formed in response to the propagation of the guided waves along the wire, this can be due to the propagation of the electromagnetic wave along the wire surface, and is not formed in response to electrical potential, charge or current that is injected into the wire as part of an electrical circuit.", "The electromagnetic waves traveling on the wire therefore do not require a circuit to propagate along the wire surface.", "The wire therefore is a single wire transmission line that is not part of a circuit.", "Also, in some embodiments, a wire is not necessary, and the electromagnetic waves can propagate along a single line transmission medium that is not a wire.", "More generally, “guided electromagnetic waves” or “guided waves” as described by the subject disclosure are affected by the presence of a physical object that is at least a part of the transmission medium (e.g., a bare wire or other conductor, a dielectric, an insulated wire, a conduit or other hollow elements, a bundle of insulated wires that is coated, covered or surrounded by a dielectric or insulator or other wire bundles, or another form of solid, liquid or otherwise non-gaseous transmission medium) so as to be at least partially bound to or guided by the physical object and so as to propagate along a transmission path of the physical object.", "Such a physical object can operate as at least a part of a transmission medium that guides, by way of an interface of the transmission medium (e.g., an outer surface, inner surface, an interior portion between the outer and the inner surfaces or other boundary between elements of the transmission medium), the propagation of guided electromagnetic waves, which in turn can carry energy, data and/or other signals along the transmission path from a sending device to a receiving device.", "Unlike free space propagation of wireless signals such as unguided (or unbounded) electromagnetic waves that decrease in intensity inversely by the square of the distance traveled by the unguided electromagnetic waves, guided electromagnetic waves can propagate along a transmission medium with less loss in magnitude per unit distance than experienced by unguided electromagnetic waves.", "Unlike electrical signals, guided electromagnetic waves can propagate from a sending device to a receiving device without requiring a separate electrical return path between the sending device and the receiving device.", "As a consequence, guided electromagnetic waves can propagate from a sending device to a receiving device along a transmission medium having no conductive components (e.g., a dielectric strip), or via a transmission medium having no more than a single conductor (e.g., a single bare wire or insulated wire).", "Even if a transmission medium includes one or more conductive components and the guided electromagnetic waves propagating along the transmission medium generate currents that flow in the one or more conductive components in a direction of the guided electromagnetic waves, such guided electromagnetic waves can propagate along the transmission medium from a sending device to a receiving device without requiring a flow of opposing currents on an electrical return path between the sending device and the receiving device.", "In a non-limiting illustration, consider electrical systems that transmit and receive electrical signals between sending and receiving devices by way of conductive media.", "Such systems generally rely on electrically separate forward and return paths.", "For instance, consider a coaxial cable having a center conductor and a ground shield that are separated by an insulator.", "Typically, in an electrical system a first terminal of a sending (or receiving) device can be connected to the center conductor, and a second terminal of the sending (or receiving) device can be connected to the ground shield.", "If the sending device injects an electrical signal in the center conductor via the first terminal, the electrical signal will propagate along the center conductor causing forward currents in the center conductor, and return currents in the ground shield.", "The same conditions apply for a two terminal receiving device.", "In contrast, consider a guided wave communication system such as described in the subject disclosure, which can utilize different embodiments of a transmission medium (including among others a coaxial cable) for transmitting and receiving guided electromagnetic waves without an electrical return path.", "In one embodiment, for example, the guided wave communication system of the subject disclosure can be configured to induce guided electromagnetic waves that propagate along an outer surface of a coaxial cable.", "Although the guided electromagnetic waves will cause forward currents on the ground shield, the guided electromagnetic waves do not require return currents to enable the guided electromagnetic waves to propagate along the outer surface of the coaxial cable.", "The same can be said of other transmission media used by a guided wave communication system for the transmission and reception of guided electromagnetic waves.", "For example, guided electromagnetic waves induced by the guided wave communication system on an outer surface of a bare wire, or an insulated wire can propagate along the bare wire or the insulated bare wire without an electrical return path.", "Consequently, electrical systems that require two or more conductors for carrying forward and reverse currents on separate conductors to enable the propagation of electrical signals injected by a sending device are distinct from guided wave systems that induce guided electromagnetic waves on an interface of a transmission medium without the need of an electrical return path to enable the propagation of the guided electromagnetic waves along the interface of the transmission medium.", "It is further noted that guided electromagnetic waves as described in the subject disclosure can have an electromagnetic field structure that lies primarily or substantially outside of a transmission medium so as to be bound to or guided by the transmission medium and so as to propagate non-trivial distances on or along an outer surface of the transmission medium.", "In other embodiments, guided electromagnetic waves can have an electromagnetic field structure that lies primarily or substantially inside a transmission medium so as to be bound to or guided by the transmission medium and so as to propagate non-trivial distances within the transmission medium.", "In other embodiments, guided electromagnetic waves can have an electromagnetic field structure that lies partially inside and partially outside a transmission medium so as to be bound to or guided by the transmission medium and so as to propagate non-trivial distances along the transmission medium.", "The desired electronic field structure in an embodiment may vary based upon a variety of factors, including the desired transmission distance, the characteristics of the transmission medium itself, and environmental conditions/characteristics outside of the transmission medium (e.g., presence of rain, fog, atmospheric conditions, etc.).", "It is further noted that guided wave systems as described in the subject disclosure also differ from fiber optical systems.", "Guided wave systems of the subject disclosure can induce guided electromagnetic waves on an interface of a transmission medium constructed of an opaque material (e.g., a dielectric cable made of polyethylene) or a material that is otherwise resistive to the transmission of light waves (e.g., a bare conductive wire or an insulated conductive wire) enabling propagation of the guided electromagnetic waves along the interface of the transmission medium over non-trivial distances.", "Fiber optic systems in contrast cannot function with a transmission medium that is opaque or otherwise resistive to the transmission of light waves.", "Various embodiments described herein relate to coupling devices, that can be referred to as “waveguide coupling devices”, “waveguide couplers” or more simply as “couplers”, “coupling devices” or “launchers” for launching and/or extracting guided electromagnetic waves to and from a transmission medium at millimeter-wave frequencies (e.g., 30 to 300 GHz), wherein the wavelength can be small compared to one or more dimensions of the coupling device and/or the transmission medium such as the circumference of a wire or other cross sectional dimension, or lower microwave frequencies such as 300 MHz to 30 GHz.", "Transmissions can be generated to propagate as waves guided by a coupling device, such as: a strip, arc or other lengths of dielectric material; a horn, monopole, rod, slot or other antennas; an array of antennas; a magnetic resonant cavity, or other resonant couplers; a coil, a strip line, a waveguide or other coupling devices.", "In operation, the coupling device receives an electromagnetic wave from a transmitter or transmission medium.", "The electromagnetic field structure of the electromagnetic wave can be carried inside the coupling device, outside the coupling device or some combination thereof.", "When the coupling device is in close proximity to a transmission medium, at least a portion of an electromagnetic wave couples to or is bound to the transmission medium, and continues to propagate as guided electromagnetic waves.", "In a reciprocal fashion, a coupling device can extract guided waves from a transmission medium and transfer these electromagnetic waves to a receiver.", "According to an example embodiment, a surface wave is a type of guided wave that is guided by a surface of a transmission medium, such as an exterior or outer surface of the wire, or another surface of the wire that is adjacent to or exposed to another type of medium having different properties (e.g., dielectric properties).", "Indeed, in an example embodiment, a surface of the wire that guides a surface wave can represent a transitional surface between two different types of media.", "For example, in the case of a bare or uninsulated wire, the surface of the wire can be the outer or exterior conductive surface of the bare or uninsulated wire that is exposed to air or free space.", "As another example, in the case of insulated wire, the surface of the wire can be the conductive portion of the wire that meets the insulator portion of the wire, or can otherwise be the insulator surface of the wire that is exposed to air or free space, or can otherwise be any material region between the insulator surface of the wire and the conductive portion of the wire that meets the insulator portion of the wire, depending upon the relative differences in the properties (e.g., dielectric properties) of the insulator, air, and/or the conductor and further dependent on the frequency and propagation mode or modes of the guided wave.", "According to an example embodiment, the term “about” a wire or other transmission medium used in conjunction with a guided wave can include fundamental guided wave propagation modes such as a guided wave having a circular or substantially circular field distribution, a symmetrical electromagnetic field distribution (e.g., electric field, magnetic field, electromagnetic field, etc.)", "or other fundamental mode pattern at least partially around a wire or other transmission medium.", "In addition, when a guided wave propagates “about” a wire or other transmission medium, it can do so according to a guided wave propagation mode that includes not only the fundamental wave propagation modes (e.g., zero order modes), but additionally or alternatively non-fundamental wave propagation modes such as higher-order guided wave modes (e.g., 1st order modes, 2nd order modes, etc.", "), asymmetrical modes and/or other guided (e.g., surface) waves that have non-circular field distributions around a wire or other transmission medium.", "As used herein, the term “guided wave mode” refers to a guided wave propagation mode of a transmission medium, coupling device or other system component of a guided wave communication system.", "For example, such non-circular field distributions can be unilateral or multi-lateral with one or more axial lobes characterized by relatively higher field strength and/or one or more nulls or null regions characterized by relatively low-field strength, zero-field strength or substantially zero-field strength.", "Further, the field distribution can otherwise vary as a function of azimuthal orientation around the wire such that one or more angular regions around the wire have an electric or magnetic field strength (or combination thereof) that is higher than one or more other angular regions of azimuthal orientation, according to an example embodiment.", "It will be appreciated that the relative orientations or positions of the guided wave higher order modes or asymmetrical modes can vary as the guided wave travels along the wire.", "As used herein, the term “millimeter-wave” can refer to electromagnetic waves/signals that fall within the “millimeter-wave frequency band” of 30 GHz to 300 GHz.", "The term “microwave” can refer to electromagnetic waves/signals that fall within a “microwave frequency band” of 300 MHz to 300 GHz.", "The term “radio frequency” or “RF” can refer to electromagnetic waves/signals that fall within the “radio frequency band” of 10 kHz to 1 THz.", "It is appreciated that wireless signals, electrical signals, and guided electromagnetic waves as described in the subject disclosure can be configured to operate at any desirable frequency range, such as, for example, at frequencies within, above or below millimeter-wave and/or microwave frequency bands.", "In particular, when a coupling device or transmission medium includes a conductive element, the frequency of the guided electromagnetic waves that are carried by the coupling device and/or propagate along the transmission medium can be below the mean collision frequency of the electrons in the conductive element.", "Further, the frequency of the guided electromagnetic waves that are carried by the coupling device and/or propagate along the transmission medium can be a non-optical frequency, e.g., a radio frequency below the range of optical frequencies that begins at 1 THz.", "As used herein, the term “antenna” can refer to a device that is part of a transmitting or receiving system to transmit/radiate or receive wireless signals.", "In accordance with one or more embodiments, a method can include receiving, by a first antenna system of a distributed antenna system, a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system is included in a first series of antenna systems of the distributed antenna system, wherein the first wireless signal operates at a first carrier frequency, wherein the first wireless signal comprises a first modulated signal generated by modulating a first signal according to a first signaling protocol, and wherein the second antenna system generates the first wireless signal by frequency-shifting the first modulated signal in a first cellular band to the first carrier frequency without modifying the first signaling protocol, detecting, by the first antenna system, an operational fault in the second antenna system based on the first wireless signal, and responsive to the detecting, redirecting, by the first antenna system, a first wireless transmission to a third antenna system of the distributed antenna system, wherein the third antenna system is included in a second series of antenna systems of the distributed antenna system, wherein the first series of antenna systems provides first communication services, wherein the second series of antenna systems provides second communication services, and wherein a portion of the second communication services is used to mitigate the operational fault in the second antenna system.", "In accordance with one or more embodiments, a first antenna system of a distributed antenna system can include a first antenna, a processing system including a processor, and a memory that stores executable instructions that, when executed by the processing system, facilitate performance of operations.", "The operations can include receiving, by the first antenna, a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system is included in a first series of antenna systems of the distributed antenna system, detecting an operational fault in the second antenna system according to one or more signal characteristics determined from the first wireless signal, and responsive to the detecting, redirecting a first wireless transmission to a third antenna system of the distributed antenna system, wherein the third antenna system is included in a second series of antenna systems of the distributed antenna system, wherein the first series of antenna systems provides first communication services, wherein the second series of antenna systems provides second communication services, and wherein the second communication services are utilized at least in part as backup communication services when the operational fault in the second antenna system is detected.", "In accordance with one or more embodiments, a first antenna system of a distributed antenna system can include means for receiving a first wireless signal from a second antenna system of the distributed antenna system, wherein the second antenna system is included in a first series of antenna systems of the distributed antenna system, means for detecting an operational fault in the second antenna system, and responsive to the detecting, means for redirecting a first wireless transmission to a third antenna system of the distributed antenna system, wherein the third antenna system is included in a second series of antenna systems of the distributed antenna system, wherein the first series of antenna systems provides first communication services, and wherein the second series of antenna systems provides second communication services utilized at least in part as backup communication services when the operational fault in the second antenna system is detected.", "Referring now to FIG.", "1, a block diagram 100 illustrating an example, non-limiting embodiment of a guided wave communications system is shown.", "In operation, a transmission device 101 receives one or more communication signals 110 from a communication network or other communications device that includes data and generates guided waves 120 to convey the data via the transmission medium 125 to the transmission device 102.The transmission device 102 receives the guided waves 120 and converts them to communication signals 112 that include the data for transmission to a communications network or other communications device.", "The guided waves 120 can be modulated to convey data via a modulation technique such as phase shift keying, frequency shift keying, quadrature amplitude modulation, amplitude modulation, multi-carrier modulation such as orthogonal frequency division multiplexing and via multiple access techniques such as frequency division multiplexing, time division multiplexing, code division multiplexing, multiplexing via differing wave propagation modes and via other modulation and access strategies.", "The communication network or networks can include a wireless communication network such as a mobile data network, a cellular voice and data network, a wireless local area network (e.g., Wi-Fi or an 802.xx network), a satellite communications network, a personal area network or other wireless network.", "The communication network or networks can also include a wired communication network such as a telephone network, an Ethernet network, a local area network, a wide area network such as the Internet, a broadband access network, a cable network, a fiber optic network, or other wired network.", "The communication devices can include a network edge device, bridge device or home gateway, a set-top box, broadband modem, telephone adapter, access point, base station, or other fixed communication devices, a mobile communication device such as an automotive gateway or automobile, laptop computer, tablet, smartphone, cellular telephone, or other communication devices.", "In an example embodiment, the guided wave communication system 100 can operate in a bi-directional fashion where transmission device 102 receives one or more communication signals 112 from a communication network or device that includes other data and generates guided waves 122 to convey the other data via the transmission medium 125 to the transmission device 101.In this mode of operation, the transmission device 101 receives the guided waves 122 and converts them to communication signals 110 that include the other data for transmission to a communications network or device.", "The guided waves 122 can be modulated to convey data via a modulation technique such as phase shift keying, frequency shift keying, quadrature amplitude modulation, amplitude modulation, multi-carrier modulation such as orthogonal frequency division multiplexing and via multiple access techniques such as frequency division multiplexing, time division multiplexing, code division multiplexing, multiplexing via differing wave propagation modes and via other modulation and access strategies.", "The transmission medium 125 can include a cable having at least one inner portion surrounded by a dielectric material such as an insulator or other dielectric cover, coating or other dielectric material, the dielectric material having an outer surface and a corresponding circumference.", "In an example embodiment, the transmission medium 125 operates as a single-wire transmission line to guide the transmission of an electromagnetic wave.", "When the transmission medium 125 is implemented as a single wire transmission system, it can include a wire.", "The wire can be insulated or uninsulated, and single-stranded or multi-stranded (e.g., braided).", "In other embodiments, the transmission medium 125 can contain conductors of other shapes or configurations including wire bundles, cables, rods, rails, pipes.", "In addition, the transmission medium 125 can include non-conductors such as dielectric pipes, rods, rails, or other dielectric members; combinations of conductors and dielectric materials, conductors without dielectric materials or other guided wave transmission media.", "It should be noted that the transmission medium 125 can otherwise include any of the transmission media previously discussed.", "Further, as previously discussed, the guided waves 120 and 122 can be contrasted with radio transmissions over free space/air or conventional propagation of electrical power or signals through the conductor of a wire via an electrical circuit.", "In addition to the propagation of guided waves 120 and 122, the transmission medium 125 may optionally contain one or more wires that propagate electrical power or other communication signals in a conventional manner as a part of one or more electrical circuits.", "Referring now to FIG.", "2, a block diagram 200 illustrating an example, non-limiting embodiment of a transmission device is shown.", "The transmission device 101 or 102 includes a communications interface (I/F) 205, a transceiver 210 and a coupler 220.In an example of operation, the communications interface 205 receives a communication signal 110 or 112 that includes data.", "In various embodiments, the communications interface 205 can include a wireless interface for receiving a wireless communication signal in accordance with a wireless standard protocol such as LTE or other cellular voice and data protocol, Wi-Fi_33 or an 802.11 protocol, WIMAX protocol, Ultra-Wideband protocol, Bluetooth protocol, ZigBee protocol, a direct broadcast satellite (DBS) or other satellite communication protocol or other wireless protocol.", "In addition or in the alternative, the communications interface 205 includes a wired interface that operates in accordance with an Ethernet protocol, universal serial bus (USB) protocol, a data over cable service interface specification (DOCSIS) protocol, a digital subscriber line (DSL) protocol, a Firewire (IEEE 1394) protocol, or other wired protocol.", "In additional to standards-based protocols, the communications interface 205 can operate in conjunction with other wired or wireless protocols.", "In addition, the communications interface 205 can optionally operate in conjunction with a protocol stack that includes multiple protocol layers including a MAC protocol, transport protocol, application protocol, etc.", "In an example of operation, the transceiver 210 generates an electromagnetic wave based on the communication signal 110 or 112 to convey the data.", "The electromagnetic wave has at least one carrier frequency and at least one corresponding wavelength.", "The carrier frequency can be within a millimeter-wave frequency band of 30 GHz-300 GHz, such as 60 GHz or a carrier frequency in the range of 30-40 GHz or a lower frequency band of 300 MHz-30 GHz in the microwave frequency range such as 26-30 GHz, 11 GHz, 6 GHz or 3 GHz, but it will be appreciated that other carrier frequencies are possible in other embodiments.", "In one mode of operation, the transceiver 210 merely upconverts the communications signal or signals 110 or 112 for transmission of the electromagnetic signal in the microwave or millimeter-wave band as a guided electromagnetic wave that is guided by or bound to the transmission medium 125.In another mode of operation, the communications interface 205 either converts the communication signal 110 or 112 to a baseband or near baseband signal or extracts the data from the communication signal 110 or 112 and the transceiver 210 modulates a high-frequency carrier with the data, the baseband or near baseband signal for transmission.", "It should be appreciated that the transceiver 210 can modulate the data received via the communication signal 110 or 112 to preserve one or more data communication protocols of the communication signal 110 or 112 either by encapsulation in the payload of a different protocol or by simple frequency shifting.", "In the alternative, the transceiver 210 can otherwise translate the data received via the communication signal 110 or 112 to a protocol that is different from the data communication protocol or protocols of the communication signal 110 or 112.In an example of operation, the coupler 220 couples the electromagnetic wave to the transmission medium 125 as a guided electromagnetic wave to convey the communications signal or signals 110 or 112.While the prior description has focused on the operation of the transceiver 210 as a transmitter, the transceiver 210 can also operate to receive electromagnetic waves that convey other data from the single wire transmission medium via the coupler 220 and to generate communications signals 110 or 112, via communications interface 205 that includes the other data.", "Consider embodiments where an additional guided electromagnetic wave conveys other data that also propagates along the transmission medium 125.The coupler 220 can also couple this additional electromagnetic wave from the transmission medium 125 to the transceiver 210 for reception.", "The transmission device 101 or 102 includes an optional training controller 230.In an example embodiment, the training controller 230 is implemented by a standalone processor or a processor that is shared with one or more other components of the transmission device 101 or 102.The training controller 230 selects the carrier frequencies, modulation schemes and/or guided wave modes for the guided electromagnetic waves based on feedback data received by the transceiver 210 from at least one remote transmission device coupled to receive the guided electromagnetic wave.", "In an example embodiment, a guided electromagnetic wave transmitted by a remote transmission device 101 or 102 conveys data that also propagates along the transmission medium 125.The data from the remote transmission device 101 or 102 can be generated to include the feedback data.", "In operation, the coupler 220 also couples the guided electromagnetic wave from the transmission medium 125 and the transceiver receives the electromagnetic wave and processes the electromagnetic wave to extract the feedback data.", "In an example embodiment, the training controller 230 operates based on the feedback data to evaluate a plurality of candidate frequencies, modulation schemes and/or transmission modes to select a carrier frequency, modulation scheme and/or transmission mode to enhance performance, such as throughput, signal strength, reduce propagation loss, etc.", "Consider the following example: a transmission device 101 begins operation under control of the training controller 230 by sending a plurality of guided waves as test signals such as pilot waves or other test signals at a corresponding plurality of candidate frequencies and/or candidate modes directed to a remote transmission device 102 coupled to the transmission medium 125.The guided waves can include, in addition or in the alternative, test data.", "The test data can indicate the particular candidate frequency and/or guide-wave mode of the signal.", "In an embodiment, the training controller 230 at the remote transmission device 102 receives the test signals and/or test data from any of the guided waves that were properly received and determines the best candidate frequency and/or guided wave mode, a set of acceptable candidate frequencies and/or guided wave modes, or a rank ordering of candidate frequencies and/or guided wave modes.", "This selection of candidate frequenc(ies) or/and guided-mode(s) are generated by the training controller 230 based on one or more optimizing criteria such as received signal strength, bit error rate, packet error rate, signal to noise ratio, propagation loss, etc.", "The training controller 230 generates feedback data that indicates the selection of candidate frequenc(ies) or/and guided wave mode(s) and sends the feedback data to the transceiver 210 for transmission to the transmission device 101.The transmission device 101 and 102 can then communicate data with one another based on the selection of candidate frequenc(ies) or/and guided wave mode(s).", "In other embodiments, the guided electromagnetic waves that contain the test signals and/or test data are reflected back, repeated back or otherwise looped back by the remote transmission device 102 to the transmission device 101 for reception and analysis by the training controller 230 of the transmission device 101 that initiated these waves.", "For example, the transmission device 101 can send a signal to the remote transmission device 102 to initiate a test mode where a physical reflector is switched on the line, a termination impedance is changed to cause reflections, a loop back mode is switched on to couple electromagnetic waves back to the source transmission device 102, and/or a repeater mode is enabled to amplify and retransmit the electromagnetic waves back to the source transmission device 102.The training controller 230 at the source transmission device 102 receives the test signals and/or test data from any of the guided waves that were properly received and determines selection of candidate frequenc(ies) or/and guided wave mode(s).", "While the procedure above has been described in a start-up or initialization mode of operation, each transmission device 101 or 102 can send test signals, evaluate candidate frequencies or guided wave modes via non-test such as normal transmissions or otherwise evaluate candidate frequencies or guided wave modes at other times or continuously as well.", "In an example embodiment, the communication protocol between the transmission devices 101 and 102 can include an on-request or periodic test mode where either full testing or more limited testing of a subset of candidate frequencies and guided wave modes are tested and evaluated.", "In other modes of operation, the re-entry into such a test mode can be triggered by a degradation of performance due to a disturbance, weather conditions, etc.", "In an example embodiment, the receiver bandwidth of the transceiver 210 is either sufficiently wide or swept to receive all candidate frequencies or can be selectively adjusted by the training controller 230 to a training mode where the receiver bandwidth of the transceiver 210 is sufficiently wide or swept to receive all candidate frequencies.", "Referring now to FIG.", "3, a graphical diagram 300 illustrating an example, non-limiting embodiment of an electromagnetic field distribution is shown.", "In this embodiment, a transmission medium 125 in air includes an inner conductor 301 and an insulating jacket 302 of dielectric material, as shown in cross section.", "The diagram 300 includes different gray-scales that represent differing electromagnetic field strengths generated by the propagation of the guided wave having an asymmetrical and non-fundamental guided wave mode.", "In particular, the electromagnetic field distribution corresponds to a modal “sweet spot” that enhances guided electromagnetic wave propagation along an insulated transmission medium and reduces end-to-end transmission loss.", "In this particular mode, electromagnetic waves are guided by the transmission medium 125 to propagate along an outer surface of the transmission medium—in this case, the outer surface of the insulating jacket 302.Electromagnetic waves are partially embedded in the insulator and partially radiating on the outer surface of the insulator.", "In this fashion, electromagnetic waves are “lightly” coupled to the insulator so as to enable electromagnetic wave propagation at long distances with low propagation loss.", "As shown, the guided wave has a field structure that lies primarily or substantially outside of the transmission medium 125 that serves to guide the electromagnetic waves.", "The regions inside the conductor 301 have little or no field.", "Likewise regions inside the insulating jacket 302 have low field strength.", "The majority of the electromagnetic field strength is distributed in the lobes 304 at the outer surface of the insulating jacket 302 and in close proximity thereof.", "The presence of an asymmetric guided wave mode is shown by the high electromagnetic field strengths at the top and bottom of the outer surface of the insulating jacket 302 (in the orientation of the diagram)—as opposed to very small field strengths on the other sides of the insulating jacket 302.The example shown corresponds to a 38 GHz electromagnetic wave guided by a wire with a diameter of 1.1 cm and a dielectric insulation of thickness of 0.36 cm.", "Because the electromagnetic wave is guided by the transmission medium 125 and the majority of the field strength is concentrated in the air outside of the insulating jacket 302 within a limited distance of the outer surface, the guided wave can propagate longitudinally down the transmission medium 125 with very low loss.", "In the example shown, this “limited distance” corresponds to a distance from the outer surface that is less than half the largest cross sectional dimension of the transmission medium 125.In this case, the largest cross sectional dimension of the wire corresponds to the overall diameter of 1.82 cm, however, this value can vary with the size and shape of the transmission medium 125.For example, should the transmission medium 125 be of a rectangular shape with a height of 0.3 cm and a width of 0.4 cm, the largest cross sectional dimension would be the diagonal of 0.5 cm and the corresponding limited distance would be 0.25 cm.", "The dimensions of the area containing the majority of the field strength also vary with the frequency, and in general, increase as carrier frequencies decrease.", "It should also be noted that the components of a guided wave communication system, such as couplers and transmission media can have their own cut-off frequencies for each guided wave mode.", "The cut-off frequency generally sets forth the lowest frequency that a particular guided wave mode is designed to be supported by that particular component.", "In an example embodiment, the particular asymmetric mode of propagation shown is induced on the transmission medium 125 by an electromagnetic wave having a frequency that falls within a limited range (such as Fc to 2Fc) of the lower cut-off frequency Fc for this particular asymmetric mode.", "The lower cut-off frequency Fc is particular to the characteristics of transmission medium 125.For embodiments as shown that include an inner conductor 301 surrounded by an insulating jacket 302, this cutoff frequency can vary based on the dimensions and properties of the insulating jacket 302 and potentially the dimensions and properties of the inner conductor 301 and can be determined experimentally to have a desired mode pattern.", "It should be noted however, that similar effects can be found for a hollow dielectric or insulator without an inner conductor.", "In this case, the cutoff frequency can vary based on the dimensions and properties of the hollow dielectric or insulator.", "At frequencies lower than the lower cut-off frequency, the asymmetric mode is difficult to induce in the transmission medium 125 and fails to propagate for all but trivial distances.", "As the frequency increases above the limited range of frequencies about the cut-off frequency, the asymmetric mode shifts more and more inward of the insulating jacket 302.At frequencies much larger than the cut-off frequency, the field strength is no longer concentrated outside of the insulating jacket, but primarily inside of the insulating jacket 302.While the transmission medium 125 provides strong guidance to the electromagnetic wave and propagation is still possible, ranges are more limited by increased losses due to propagation within the insulating jacket 302—as opposed to the surrounding air.", "Referring now to FIG.", "4, a graphical diagram 400 illustrating an example, non-limiting embodiment of an electromagnetic field distribution is shown.", "In particular, a cross section diagram 400, similar to FIG.", "3 is shown with common reference numerals used to refer to similar elements.", "The example shown corresponds to a 60 GHz wave guided by a wire with a diameter of 1.1 cm and a dielectric insulation of thickness of 0.36 cm.", "Because the frequency of the guided wave is above the limited range of the cut-off frequency of this particular asymmetric mode, much of the field strength has shifted inward of the insulating jacket 302.In particular, the field strength is concentrated primarily inside of the insulating jacket 302.While the transmission medium 125 provides strong guidance to the electromagnetic wave and propagation is still possible, ranges are more limited when compared with the embodiment of FIG.", "3, by increased losses due to propagation within the insulating jacket 302.Referring now to FIG.", "5A, a graphical diagram illustrating an example, non-limiting embodiment of a frequency response is shown.", "In particular, diagram 500 presents a graph of end-to-end loss (in dB) as a function of frequency, overlaid with electromagnetic field distributions 510, 520 and 530 at three points for a 200 cm insulated medium voltage wire.", "The boundary between the insulator and the surrounding air is represented by reference numeral 525 in each electromagnetic field distribution.", "As discussed in conjunction with FIG.", "3, an example of a desired asymmetric mode of propagation shown is induced on the transmission medium 125 by an electromagnetic wave having a frequency that falls within a limited range (such as Fc to 2Fc) of the lower cut-off frequency Fc of the transmission medium for this particular asymmetric mode.", "In particular, the electromagnetic field distribution 520 at 6 GHz falls within this modal “sweet spot” that enhances electromagnetic wave propagation along an insulated transmission medium and reduces end-to-end transmission loss.", "In this particular mode, guided waves are partially embedded in the insulator and partially radiating on the outer surface of the insulator.", "In this fashion, the electromagnetic waves are “lightly” coupled to the insulator so as to enable guided electromagnetic wave propagation at long distances with low propagation loss.", "At lower frequencies represented by the electromagnetic field distribution 510 at 3 GHz, the asymmetric mode radiates more heavily generating higher propagation losses.", "At higher frequencies represented by the electromagnetic field distribution 530 at 9 GHz, the asymmetric mode shifts more and more inward of the insulating jacket providing too much absorption, again generating higher propagation losses.", "Referring now to FIG.", "5B, a graphical diagram 550 illustrating example, non-limiting embodiments of a longitudinal cross-section of a transmission medium 125, such as an insulated wire, depicting fields of guided electromagnetic waves at various operating frequencies is shown.", "As shown in diagram 556, when the guided electromagnetic waves are at approximately the cutoff frequency (fc) corresponding to the modal “sweet spot”, the guided electromagnetic waves are loosely coupled to the insulated wire so that absorption is reduced, and the fields of the guided electromagnetic waves are bound sufficiently to reduce the amount radiated into the environment (e.g., air).", "Because absorption and radiation of the fields of the guided electromagnetic waves is low, propagation losses are consequently low, enabling the guided electromagnetic waves to propagate for longer distances.", "As shown in diagram 554, propagation losses increase when an operating frequency of the guide electromagnetic waves increases above about two-times the cutoff frequency (fc)—or as referred to, above the range of the “sweet spot”.", "More of the field strength of the electromagnetic wave is driven inside the insulating layer, increasing propagation losses.", "At frequencies much higher than the cutoff frequency (fc) the guided electromagnetic waves are strongly bound to the insulated wire as a result of the fields emitted by the guided electromagnetic waves being concentrated in the insulation layer of the wire, as shown in diagram 552.This in turn raises propagation losses further due to absorption of the guided electromagnetic waves by the insulation layer.", "Similarly, propagation losses increase when the operating frequency of the guided electromagnetic waves is substantially below the cutoff frequency (fc), as shown in diagram 558.At frequencies much lower than the cutoff frequency (fc) the guided electromagnetic waves are weakly (or nominally) bound to the insulated wire and thereby tend to radiate into the environment (e.g., air), which in turn, raises propagation losses due to radiation of the guided electromagnetic waves.", "Referring now to FIG.", "6, a graphical diagram 600 illustrating an example, non-limiting embodiment of an electromagnetic field distribution is shown.", "In this embodiment, a transmission medium 602 is a bare wire, as shown in cross section.", "The diagram 300 includes different gray-scales that represent differing electromagnetic field strengths generated by the propagation of a guided wave having a symmetrical and fundamental guided wave mode at a single carrier frequency.", "In this particular mode, electromagnetic waves are guided by the transmission medium 602 to propagate along an outer surface of the transmission medium—in this case, the outer surface of the bare wire.", "Electromagnetic waves are “lightly” coupled to the wire so as to enable electromagnetic wave propagation at long distances with low propagation loss.", "As shown, the guided wave has a field structure that lies substantially outside of the transmission medium 602 that serves to guide the electromagnetic waves.", "The regions inside the conductor 602 have little or no field.", "Referring now to FIG.", "7, a block diagram 700 illustrating an example, non-limiting embodiment of an arc coupler is shown.", "In particular a coupling device is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.The coupling device includes an arc coupler 704 coupled to a transmitter circuit 712 and termination or damper 714.The arc coupler 704 can be made of a dielectric material, or other low-loss insulator (e.g., Teflon, polyethylene, etc.", "), or made of a conducting (e.g., metallic, non-metallic, etc.)", "material, or any combination of the foregoing materials.", "As shown, the arc coupler 704 operates as a waveguide and has a wave 706 propagating as a guided wave about a waveguide surface of the arc coupler 704.In the embodiment shown, at least a portion of the arc coupler 704 can be placed near a wire 702 or other transmission medium, (such as transmission medium 125), in order to facilitate coupling between the arc coupler 704 and the wire 702 or other transmission medium, as described herein to launch the guided wave 708 on the wire.", "The arc coupler 704 can be placed such that a portion of the curved arc coupler 704 is tangential to, and parallel or substantially parallel to the wire 702.The portion of the arc coupler 704 that is parallel to the wire can be an apex of the curve, or any point where a tangent of the curve is parallel to the wire 702.When the arc coupler 704 is positioned or placed thusly, the wave 706 travelling along the arc coupler 704 couples, at least in part, to the wire 702, and propagates as guided wave 708 around or about the wire surface of the wire 702 and longitudinally along the wire 702.The guided wave 708 can be characterized as a surface wave or other electromagnetic wave that is guided by or bound to the wire 702 or other transmission medium.", "A portion of the wave 706 that does not couple to the wire 702 propagates as a wave 710 along the arc coupler 704.It will be appreciated that the arc coupler 704 can be configured and arranged in a variety of positions in relation to the wire 702 to achieve a desired level of coupling or non-coupling of the wave 706 to the wire 702.For example, the curvature and/or length of the arc coupler 704 that is parallel or substantially parallel, as well as its separation distance (which can include zero separation distance in an embodiment), to the wire 702 can be varied without departing from example embodiments.", "Likewise, the arrangement of arc coupler 704 in relation to the wire 702 may be varied based upon considerations of the respective intrinsic characteristics (e.g., thickness, composition, electromagnetic properties, etc.)", "of the wire 702 and the arc coupler 704, as well as the characteristics (e.g., frequency, energy level, etc.)", "of the waves 706 and 708.The guided wave 708 stays parallel or substantially parallel to the wire 702, even as the wire 702 bends and flexes.", "Bends in the wire 702 can increase transmission losses, which are also dependent on wire diameters, frequency, and materials.", "If the dimensions of the arc coupler 704 are chosen for efficient power transfer, most of the power in the wave 706 is transferred to the wire 702, with little power remaining in wave 710.It will be appreciated that the guided wave 708 can still be multi-modal in nature (discussed herein), including having modes that are non-fundamental or asymmetric, while traveling along a path that is parallel or substantially parallel to the wire 702, with or without a fundamental transmission mode.", "In an embodiment, non-fundamental or asymmetric modes can be utilized to minimize transmission losses and/or obtain increased propagation distances.", "It is noted that the term parallel is generally a geometric construct which often is not exactly achievable in real systems.", "Accordingly, the term parallel as utilized in the subject disclosure represents an approximation rather than an exact configuration when used to describe embodiments disclosed in the subject disclosure.", "In an embodiment, substantially parallel can include approximations that are within 30 degrees of true parallel in all dimensions.", "In an embodiment, the wave 706 can exhibit one or more wave propagation modes.", "The arc coupler modes can be dependent on the shape and/or design of the coupler 704.The one or more arc coupler modes of wave 706 can generate, influence, or impact one or more wave propagation modes of the guided wave 708 propagating along wire 702.It should be particularly noted however that the guided wave modes present in the guided wave 706 may be the same or different from the guided wave modes of the guided wave 708.In this fashion, one or more guided wave modes of the guided wave 706 may not be transferred to the guided wave 708, and further one or more guided wave modes of guided wave 708 may not have been present in guided wave 706.It should also be noted that the cut-off frequency of the arc coupler 704 for a particular guided wave mode may be different than the cutoff frequency of the wire 702 or other transmission medium for that same mode.", "For example, while the wire 702 or other transmission medium may be operated slightly above its cutoff frequency for a particular guided wave mode, the arc coupler 704 may be operated well above its cut-off frequency for that same mode for low loss, slightly below its cut-off frequency for that same mode to, for example, induce greater coupling and power transfer, or some other point in relation to the arc coupler's cutoff frequency for that mode.", "In an embodiment, the wave propagation modes on the wire 702 can be similar to the arc coupler modes since both waves 706 and 708 propagate about the outside of the arc coupler 704 and wire 702 respectively.", "In some embodiments, as the wave 706 couples to the wire 702, the modes can change form, or new modes can be created or generated, due to the coupling between the arc coupler 704 and the wire 702.For example, differences in size, material, and/or impedances of the arc coupler 704 and wire 702 may create additional modes not present in the arc coupler modes and/or suppress some of the arc coupler modes.", "The wave propagation modes can comprise the fundamental transverse electromagnetic mode (Quasi-TEM00), where only small electric and/or magnetic fields extend in the direction of propagation, and the electric and magnetic fields extend radially outwards while the guided wave propagates along the wire.", "This guided wave mode can be donut shaped, where few of the electromagnetic fields exist within the arc coupler 704 or wire 702.Waves 706 and 708 can comprise a fundamental TEM mode where the fields extend radially outwards, and also comprise other, non-fundamental (e.g., asymmetric, higher-level, etc.)", "modes.", "While particular wave propagation modes are discussed above, other wave propagation modes are likewise possible such as transverse electric (TE) and transverse magnetic (TM) modes, based on the frequencies employed, the design of the arc coupler 704, the dimensions and composition of the wire 702, as well as its surface characteristics, its insulation if present, the electromagnetic properties of the surrounding environment, etc.", "It should be noted that, depending on the frequency, the electrical and physical characteristics of the wire 702 and the particular wave propagation modes that are generated, guided wave 708 can travel along the conductive surface of an oxidized uninsulated wire, an unoxidized uninsulated wire, an insulated wire and/or along the insulating surface of an insulated wire.", "In an embodiment, a diameter of the arc coupler 704 is smaller than the diameter of the wire 702.For the millimeter-band wavelength being used, the arc coupler 704 supports a single waveguide mode that makes up wave 706.This single waveguide mode can change as it couples to the wire 702 as guided wave 708.If the arc coupler 704 were larger, more than one waveguide mode can be supported, but these additional waveguide modes may not couple to the wire 702 as efficiently, and higher coupling losses can result.", "However, in some alternative embodiments, the diameter of the arc coupler 704 can be equal to or larger than the diameter of the wire 702, for example, where higher coupling losses are desirable or when used in conjunction with other techniques to otherwise reduce coupling losses (e.g., impedance matching with tapering, etc.).", "In an embodiment, the wavelength of the waves 706 and 708 are comparable in size, or smaller than a circumference of the arc coupler 704 and the wire 702.In an example, if the wire 702 has a diameter of 0.5 cm, and a corresponding circumference of around 1.5 cm, the wavelength of the transmission is around 1.5 cm or less, corresponding to a frequency of 70 GHz or greater.", "In another embodiment, a suitable frequency of the transmission and the carrier-wave signal is in the range of 30-100 GHz, perhaps around 30-60 GHz, and around 38 GHz in one example.", "In an embodiment, when the circumference of the arc coupler 704 and wire 702 is comparable in size to, or greater, than a wavelength of the transmission, the waves 706 and 708 can exhibit multiple wave propagation modes including fundamental and/or non-fundamental (symmetric and/or asymmetric) modes that propagate over sufficient distances to support various communication systems described herein.", "The waves 706 and 708 can therefore comprise more than one type of electric and magnetic field configuration.", "In an embodiment, as the guided wave 708 propagates down the wire 702, the electrical and magnetic field configurations will remain the same from end to end of the wire 702.In other embodiments, as the guided wave 708 encounters interference (distortion or obstructions) or loses energy due to transmission losses or scattering, the electric and magnetic field configurations can change as the guided wave 708 propagates down wire 702.In an embodiment, the arc coupler 704 can be composed of nylon, Teflon, polyethylene, a polyamide, or other plastics.", "In other embodiments, other dielectric materials are possible.", "The wire surface of wire 702 can be metallic with either a bare metallic surface, or can be insulated using plastic, dielectric, insulator or other coating, jacket or sheathing.", "In an embodiment, a dielectric or otherwise non-conducting/insulated waveguide can be paired with either a bare/metallic wire or insulated wire.", "In other embodiments, a metallic and/or conductive waveguide can be paired with a bare/metallic wire or insulated wire.", "In an embodiment, an oxidation layer on the bare metallic surface of the wire 702 (e.g., resulting from exposure of the bare metallic surface to oxygen/air) can also provide insulating or dielectric properties similar to those provided by some insulators or sheathings.", "It is noted that the graphical representations of waves 706, 708 and 710 are presented merely to illustrate the principles that wave 706 induces or otherwise launches a guided wave 708 on a wire 702 that operates, for example, as a single wire transmission line.", "Wave 710 represents the portion of wave 706 that remains on the arc coupler 704 after the generation of guided wave 708.The actual electric and magnetic fields generated as a result of such wave propagation may vary depending on the frequencies employed, the particular wave propagation mode or modes, the design of the arc coupler 704, the dimensions and composition of the wire 702, as well as its surface characteristics, its optional insulation, the electromagnetic properties of the surrounding environment, etc.", "It is noted that arc coupler 704 can include a termination circuit or damper 714 at the end of the arc coupler 704 that can absorb leftover radiation or energy from wave 710.The termination circuit or damper 714 can prevent and/or minimize the leftover radiation or energy from wave 710 reflecting back toward transmitter circuit 712.In an embodiment, the termination circuit or damper 714 can include termination resistors, and/or other components that perform impedance matching to attenuate reflection.", "In some embodiments, if the coupling efficiencies are high enough, and/or wave 710 is sufficiently small, it may not be necessary to use a termination circuit or damper 714.For the sake of simplicity, these transmitter 712 and termination circuits or dampers 714 may not be depicted in the other figures, but in those embodiments, transmitter and termination circuits or dampers may possibly be used.", "Further, while a single arc coupler 704 is presented that generates a single guided wave 708, multiple arc couplers 704 placed at different points along the wire 702 and/or at different azimuthal orientations about the wire can be employed to generate and receive multiple guided waves 708 at the same or different frequencies, at the same or different phases, at the same or different wave propagation modes.", "FIG.", "8, a block diagram 800 illustrating an example, non-limiting embodiment of an arc coupler is shown.", "In the embodiment shown, at least a portion of the coupler 704 can be placed near a wire 702 or other transmission medium, (such as transmission medium 125), in order to facilitate coupling between the arc coupler 704 and the wire 702 or other transmission medium, to extract a portion of the guided wave 806 as a guided wave 808 as described herein.", "The arc coupler 704 can be placed such that a portion of the curved arc coupler 704 is tangential to, and parallel or substantially parallel to the wire 702.The portion of the arc coupler 704 that is parallel to the wire can be an apex of the curve, or any point where a tangent of the curve is parallel to the wire 702.When the arc coupler 704 is positioned or placed thusly, the guided wave 806 travelling along the wire 702 couples, at least in part, to the arc coupler 704, and propagates as guided wave 808 along the arc coupler 704 to a receiving device (not expressly shown).", "A portion of the guided wave 806 that does not couple to the arc coupler propagates as wave 810 along the wire 702 or other transmission medium.", "In an embodiment, the guided wave 806 can exhibit one or more wave propagation modes.", "The arc coupler modes can be dependent on the shape and/or design of the coupler 704.The one or more modes of guided wave 806 can generate, influence, or impact one or more guide-wave modes of the guided wave 808 propagating along the arc coupler 704.It should be particularly noted however that the guided wave modes present in the guided wave 806 may be the same or different from the guided wave modes of the guided wave 808.In this fashion, one or more guided wave modes of the guided wave 806 may not be transferred to the guided wave 808, and further one or more guided wave modes of guided wave 808 may not have been present in guided wave 806.Referring now to FIG.", "9A, a block diagram 900 illustrating an example, non-limiting embodiment of a stub coupler is shown.", "In particular a coupling device that includes stub coupler 904 is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.The stub coupler 904 can be made of a dielectric material, or other low-loss insulator (e.g., Teflon, polyethylene and etc.", "), or made of a conducting (e.g., metallic, non-metallic, etc.)", "material, or any combination of the foregoing materials.", "As shown, the stub coupler 904 operates as a waveguide and has a wave 906 propagating as a guided wave about a waveguide surface of the stub coupler 904.In the embodiment shown, at least a portion of the stub coupler 904 can be placed near a wire 702 or other transmission medium, (such as transmission medium 125), in order to facilitate coupling between the stub coupler 904 and the wire 702 or other transmission medium, as described herein to launch the guided wave 908 on the wire.", "In an embodiment, the stub coupler 904 is curved, and an end of the stub coupler 904 can be tied, fastened, or otherwise mechanically coupled to a wire 702.When the end of the stub coupler 904 is fastened to the wire 702, the end of the stub coupler 904 is parallel or substantially parallel to the wire 702.Alternatively, another portion of the dielectric waveguide beyond an end can be fastened or coupled to wire 702 such that the fastened or coupled portion is parallel or substantially parallel to the wire 702.The fastener 910 can be a nylon cable tie or other type of non-conducting/dielectric material that is either separate from the stub coupler 904 or constructed as an integrated component of the stub coupler 904.The stub coupler 904 can be adjacent to the wire 702 without surrounding the wire 702.Like the arc coupler 704 described in conjunction with FIG.", "7, when the stub coupler 904 is placed with the end parallel to the wire 702, the guided wave 906 travelling along the stub coupler 904 couples to the wire 702, and propagates as guided wave 908 about the wire surface of the wire 702.In an example embodiment, the guided wave 908 can be characterized as a surface wave or other electromagnetic wave.", "It is noted that the graphical representations of waves 906 and 908 are presented merely to illustrate the principles that wave 906 induces or otherwise launches a guided wave 908 on a wire 702 that operates, for example, as a single wire transmission line.", "The actual electric and magnetic fields generated as a result of such wave propagation may vary depending on one or more of the shape and/or design of the coupler, the relative position of the dielectric waveguide to the wire, the frequencies employed, the design of the stub coupler 904, the dimensions and composition of the wire 702, as well as its surface characteristics, its optional insulation, the electromagnetic properties of the surrounding environment, etc.", "In an embodiment, an end of stub coupler 904 can taper towards the wire 702 in order to increase coupling efficiencies.", "Indeed, the tapering of the end of the stub coupler 904 can provide impedance matching to the wire 702 and reduce reflections, according to an example embodiment of the subject disclosure.", "For example, an end of the stub coupler 904 can be gradually tapered in order to obtain a desired level of coupling between waves 906 and 908 as illustrated in FIG.", "9A.", "In an embodiment, the fastener 910 can be placed such that there is a short length of the stub coupler 904 between the fastener 910 and an end of the stub coupler 904.Maximum coupling efficiencies are realized in this embodiment when the length of the end of the stub coupler 904 that is beyond the fastener 910 is at least several wavelengths long for whatever frequency is being transmitted.", "Turning now to FIG.", "9B, a diagram 950 illustrating an example, non-limiting embodiment of an electromagnetic distribution in accordance with various aspects described herein is shown.", "In particular, an electromagnetic distribution is presented in two dimensions for a transmission device that includes coupler 952, shown in an example stub coupler constructed of a dielectric material.", "The coupler 952 couples an electromagnetic wave for propagation as a guided wave along an outer surface of a wire 702 or other transmission medium.", "The coupler 952 guides the electromagnetic wave to a junction at x0 via a symmetrical guided wave mode.", "While some of the energy of the electromagnetic wave that propagates along the coupler 952 is outside of the coupler 952, the majority of the energy of this electromagnetic wave is contained within the coupler 952.The junction at x0 couples the electromagnetic wave to the wire 702 or other transmission medium at an azimuthal angle corresponding to the bottom of the transmission medium.", "This coupling induces an electromagnetic wave that is guided to propagate along the outer surface of the wire 702 or other transmission medium via at least one guided wave mode in direction 956.The majority of the energy of the guided electromagnetic wave is outside or, but in close proximity to the outer surface of the wire 702 or other transmission medium.", "In the example shown, the junction at x0 forms an electromagnetic wave that propagates via both a symmetrical mode and at least one asymmetrical surface mode, such as the first order mode presented in conjunction with FIG.", "3, that skims the surface of the wire 702 or other transmission medium.", "It is noted that the graphical representations of guided waves are presented merely to illustrate an example of guided wave coupling and propagation.", "The actual electric and magnetic fields generated as a result of such wave propagation may vary depending on the frequencies employed, the design and/or configuration of the coupler 952, the dimensions and composition of the wire 702 or other transmission medium, as well as its surface characteristics, its insulation if present, the electromagnetic properties of the surrounding environment, etc.", "Turning now to FIG.", "10A, illustrated is a block diagram 1000 of an example, non-limiting embodiment of a coupler and transceiver system in accordance with various aspects described herein.", "The system is an example of transmission device 101 or 102.In particular, the communication interface 1008 is an example of communications interface 205, the stub coupler 1002 is an example of coupler 220, and the transmitter/receiver device 1006, diplexer 1016, power amplifier 1014, low noise amplifier 1018, frequency mixers 1010 and 1020 and local oscillator 1012 collectively form an example of transceiver 210.In operation, the transmitter/receiver device 1006 launches and receives waves (e.g., guided wave 1004 onto stub coupler 1002).", "The guided waves 1004 can be used to transport signals received from and sent to a host device, base station, mobile devices, a building or other devices by way of a communications interface 1008.The communications interface 1008 can be an integral part of system 1000.Alternatively, the communications interface 1008 can be tethered to system 1000.The communications interface 1008 can comprise a wireless interface for interfacing to the host device, base station, mobile devices, a building or other device utilizing any of various wireless signaling protocols (e.g., LTE, Wi-Fi, WiMAX, IEEE 802.xx, etc.)", "including an infrared protocol such as an infrared data association (IrDA) protocol or other line of sight optical protocols.", "The communications interface 1008 can also comprise a wired interface such as a fiber optic line, coaxial cable, twisted pair, category 5 (CAT-5) cable or other suitable wired or optical mediums for communicating with the host device, base station, mobile devices, a building or other devices via a protocol such as an Ethernet protocol, universal serial bus (USB) protocol, a data over cable service interface specification (DOCSIS) protocol, a digital subscriber line (DSL) protocol, a Firewire (IEEE 1394) protocol, or other wired or optical protocols.", "For embodiments where system 1000 functions as a repeater, the communications interface 1008 may not be necessary.", "The output signals (e.g., Tx) of the communications interface 1008 can be combined with a carrier wave (e.g., millimeter-wave carrier wave) generated by a local oscillator 1012 at frequency mixer 1010.Frequency mixer 1010 can use heterodyning techniques or other frequency shifting techniques to frequency shift the output signals from communications interface 1008.For example, signals sent to and from the communications interface 1008 can be modulated signals such as orthogonal frequency division multiplexed (OFDM) signals formatted in accordance with a Long-Term Evolution (LTE) wireless protocol or other wireless 3G, 4G, 5G or higher voice and data protocols, a ZigBee, WIMAX, Ultra-Wideband or IEEE 802.11 wireless protocol; a wired protocol such as an Ethernet protocol, universal serial bus (USB) protocol, a data over cable service interface specification (DOCSIS) protocol, a digital subscriber line (DSL) protocol, a Firewire (IEEE 1394) protocol or other wired or wireless protocols.", "In an example embodiment, this frequency conversion can be done in the analog domain, and as a result, the frequency shifting can be done without regard to the type of communications protocol used by a base station, mobile devices, or in-building devices.", "As new communications technologies are developed, the communications interface 1008 can be upgraded (e.g., updated with software, firmware, and/or hardware) or replaced and the frequency shifting and transmission apparatus can remain, simplifying upgrades.", "The carrier wave can then be sent to a power amplifier (“PA”) 1014 and can be transmitted via the transmitter receiver device 1006 via the diplexer 1016.Signals received from the transmitter/receiver device 1006 that are directed towards the communications interface 1008 can be separated from other signals via diplexer 1016.The received signal can then be sent to low noise amplifier (“LNA”) 1018 for amplification.", "A frequency mixer 1020, with help from local oscillator 1012 can downshift the received signal (which is in the millimeter-wave band or around 38 GHz in some embodiments) to the native frequency.", "The communications interface 1008 can then receive the transmission at an input port (Rx).", "In an embodiment, transmitter/receiver device 1006 can include a cylindrical or non-cylindrical metal (which, for example, can be hollow in an embodiment, but not necessarily drawn to scale) or other conducting or non-conducting waveguides and an end of the stub coupler 1002 can be placed in or in proximity to the waveguide or the transmitter/receiver device 1006 such that when the transmitter/receiver device 1006 generates a transmission, the guided wave couples to stub coupler 1002 and propagates as a guided wave 1004 about the waveguide surface of the stub coupler 1002.In some embodiments, the guided wave 1004 can propagate in part on the outer surface of the stub coupler 1002 and in part inside the stub coupler 1002.In other embodiments, the guided wave 1004 can propagate substantially or completely on the outer surface of the stub coupler 1002.In yet other embodiments, the guided wave 1004 can propagate substantially or completely inside the stub coupler 1002.In this latter embodiment, the guided wave 1004 can radiate at an end of the stub coupler 1002 (such as the tapered end shown in FIG.", "4) for coupling to a transmission medium such as a wire 702 of FIG.", "7.Similarly, if guided wave 1004 is incoming (coupled to the stub coupler 1002 from a wire 702), guided wave 1004 then enters the transmitter/receiver device 1006 and couples to the cylindrical waveguide or conducting waveguide.", "While transmitter/receiver device 1006 is shown to include a separate waveguide—an antenna, cavity resonator, klystron, magnetron, travelling wave tube, or other radiating elements can be employed to induce a guided wave on the coupler 1002, with or without the separate waveguide.", "In an embodiment, stub coupler 1002 can be wholly constructed of a dielectric material (or another suitable insulating material), without any metallic or otherwise conducting materials therein.", "Stub coupler 1002 can be composed of nylon, Teflon, polyethylene, a polyamide, other plastics, or other materials that are non-conducting and suitable for facilitating transmission of electromagnetic waves at least in part on an outer surface of such materials.", "In another embodiment, stub coupler 1002 can include a core that is conducting/metallic, and have an exterior dielectric surface.", "Similarly, a transmission medium that couples to the stub coupler 1002 for propagating electromagnetic waves induced by the stub coupler 1002 or for supplying electromagnetic waves to the stub coupler 1002 can, in addition to being a bare or insulated wire, be wholly constructed of a dielectric material (or another suitable insulating material), without any metallic or otherwise conducting materials therein.", "It is noted that although FIG.", "10A shows that the opening of transmitter receiver device 1006 is much wider than the stub coupler 1002, this is not to scale, and that in other embodiments the width of the stub coupler 1002 is comparable or slightly smaller than the opening of the hollow waveguide.", "It is also not shown, but in an embodiment, an end of the coupler 1002 that is inserted into the transmitter/receiver device 1006 tapers down in order to reduce reflection and increase coupling efficiencies.", "Before coupling to the stub coupler 1002, the one or more waveguide modes of the guided wave generated by the transmitter/receiver device 1006 can couple to the stub coupler 1002 to induce one or more wave propagation modes of the guided wave 1004.The wave propagation modes of the guided wave 1004 can be different than the hollow metal waveguide modes due to the different characteristics of the hollow metal waveguide and the dielectric waveguide.", "For instance, wave propagation modes of the guided wave 1004 can comprise the fundamental transverse electromagnetic mode (Quasi-TEM00), where only small electrical and/or magnetic fields extend in the direction of propagation, and the electric and magnetic fields extend radially outwards from the stub coupler 1002 while the guided waves propagate along the stub coupler 1002.The fundamental transverse electromagnetic mode wave propagation mode may or may not exist inside a waveguide that is hollow.", "Therefore, the hollow metal waveguide modes that are used by transmitter/receiver device 1006 are waveguide modes that can couple effectively and efficiently to wave propagation modes of stub coupler 1002.It will be appreciated that other constructs or combinations of the transmitter/receiver device 1006 and stub coupler 1002 are possible.", "For example, a stub coupler 1002′ can be placed tangentially or in parallel (with or without a gap) with respect to an outer surface of the hollow metal waveguide of the transmitter/receiver device 1006′ (corresponding circuitry not shown) as depicted by reference 1000′ of FIG.", "10B.", "In another embodiment, not shown by reference 1000′, the stub coupler 1002′ can be placed inside the hollow metal waveguide of the transmitter/receiver device 1006′ without an axis of the stub coupler 1002′ being coaxially aligned with an axis of the hollow metal waveguide of the transmitter/receiver device 1006′.", "In either of these embodiments, the guided wave generated by the transmitter/receiver device 1006′ can couple to a surface of the stub coupler 1002′ to induce one or more wave propagation modes of the guided wave 1004′ on the stub coupler 1002′ including a fundamental mode (e.g., a symmetric mode) and/or a non-fundamental mode (e.g., asymmetric mode).", "In one embodiment, the guided wave 1004′ can propagate in part on the outer surface of the stub coupler 1002′ and in part inside the stub coupler 1002′.", "In another embodiment, the guided wave 1004′ can propagate substantially or completely on the outer surface of the stub coupler 1002′.", "In yet other embodiments, the guided wave 1004′ can propagate substantially or completely inside the stub coupler 1002′.", "In this latter embodiment, the guided wave 1004′ can radiate at an end of the stub coupler 1002′ (such as the tapered end shown in FIG.", "9) for coupling to a transmission medium such as a wire 702 of FIG.", "9.It will be further appreciated that other constructs of the transmitter/receiver device 1006 are possible.", "For example, a hollow metal waveguide of a transmitter/receiver device 1006″ (corresponding circuitry not shown), depicted in FIG.", "10B as reference 1000″, can be placed tangentially or in parallel (with or without a gap) with respect to an outer surface of a transmission medium such as the wire 702 of FIG.", "4 without the use of the stub coupler 1002.In this embodiment, the guided wave generated by the transmitter/receiver device 1006″ can couple to a surface of the wire 702 to induce one or more wave propagation modes of a guided wave 908 on the wire 702 including a fundamental mode (e.g., a symmetric mode) and/or a non-fundamental mode (e.g., asymmetric mode).", "In another embodiment, the wire 702 can be positioned inside a hollow metal waveguide of a transmitter/receiver device 1006′″ (corresponding circuitry not shown) so that an axis of the wire 702 is coaxially (or not coaxially) aligned with an axis of the hollow metal waveguide without the use of the stub coupler 1002—see FIG.", "10B reference 1000′″.", "In this embodiment, the guided wave generated by the transmitter/receiver device 1006′″ can couple to a surface of the wire 702 to induce one or more wave propagation modes of a guided wave 908 on the wire including a fundamental mode (e.g., a symmetric mode) and/or a non-fundamental mode (e.g., asymmetric mode).", "In the embodiments of 1000″ and 1000′″, for a wire 702 having an insulated outer surface, the guided wave 908 can propagate in part on the outer surface of the insulator and in part inside the insulator.", "In some embodiments, the guided wave 908 can propagate substantially or completely on the outer surface of the insulator, or substantially or completely inside the insulator.", "In the embodiments of 1000″ and 1000′″, for a wire 702 that is a bare conductor, the guided wave 908 can propagate in part on the outer surface of the conductor and in part inside the conductor.", "In another embodiment, the guided wave 908 can propagate substantially or completely on the outer surface of the conductor.", "Referring now to FIG.", "11, a block diagram 1100 illustrating an example, non-limiting embodiment of a dual stub coupler is shown.", "In particular, a dual coupler design is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.In an embodiment, two or more couplers (such as the stub couplers 1104 and 1106) can be positioned around a wire 1102 in order to receive guided wave 1108.In an embodiment, one coupler is enough to receive the guided wave 1108.In that case, guided wave 1108 couples to coupler 1104 and propagates as guided wave 1110.If the field structure of the guided wave 1108 oscillates or undulates around the wire 1102 due to the particular guided wave mode(s) or various outside factors, then coupler 1106 can be placed such that guided wave 1108 couples to coupler 1106.In some embodiments, four or more couplers can be placed around a portion of the wire 1102, e.g., at 90 degrees or another spacing with respect to each other, in order to receive guided waves that may oscillate or rotate around the wire 1102, that have been induced at different azimuthal orientations or that have non-fundamental or higher order modes that, for example, have lobes and/or nulls or other asymmetries that are orientation dependent.", "However, it will be appreciated that there may be less than or more than four couplers placed around a portion of the wire 1102 without departing from example embodiments.", "It should be noted that while couplers 1106 and 1104 are illustrated as stub couplers, any other of the coupler designs described herein including arc couplers, antenna or horn couplers, magnetic couplers, etc., could likewise be used.", "It will also be appreciated that while some example embodiments have presented a plurality of couplers around at least a portion of a wire 1102, this plurality of couplers can also be considered as part of a single coupler system having multiple coupler subcomponents.", "For example, two or more couplers can be manufactured as single system that can be installed around a wire in a single installation such that the couplers are either pre-positioned or adjustable relative to each other (either manually or automatically with a controllable mechanism such as a motor or other actuator) in accordance with the single system.", "Receivers coupled to couplers 1106 and 1104 can use diversity combining to combine signals received from both couplers 1106 and 1104 in order to maximize the signal quality.", "In other embodiments, if one or the other of the couplers 1104 and 1106 receive a transmission that is above a predetermined threshold, receivers can use selection diversity when deciding which signal to use.", "Further, while reception by a plurality of couplers 1106 and 1104 is illustrated, transmission by couplers 1106 and 1104 in the same configuration can likewise take place.", "In particular, a wide range of multi-input multi-output (MIMO) transmission and reception techniques can be employed for transmissions where a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1 includes multiple transceivers and multiple couplers.", "It is noted that the graphical representations of waves 1108 and 1110 are presented merely to illustrate the principles that guided wave 1108 induces or otherwise launches a wave 1110 on a coupler 1104.The actual electric and magnetic fields generated as a result of such wave propagation may vary depending on the frequencies employed, the design of the coupler 1104, the dimensions and composition of the wire 1102, as well as its surface characteristics, its insulation if any, the electromagnetic properties of the surrounding environment, etc.", "Referring now to FIG.", "12, a block diagram 1200 illustrating an example, non-limiting embodiment of a repeater system is shown.", "In particular, a repeater device 1210 is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.In this system, two couplers 1204 and 1214 can be placed near a wire 1202 or other transmission medium such that guided waves 1205 propagating along the wire 1202 are extracted by coupler 1204 as wave 1206 (e.g., as a guided wave), and then are boosted or repeated by repeater device 1210 and launched as a wave 1216 (e.g., as a guided wave) onto coupler 1214.The wave 1216 can then be launched on the wire 1202 and continue to propagate along the wire 1202 as a guided wave 1217.In an embodiment, the repeater device 1210 can receive at least a portion of the power utilized for boosting or repeating through magnetic coupling with the wire 1202, for example, when the wire 1202 is a power line or otherwise contains a power-carrying conductor.", "It should be noted that while couplers 1204 and 1214 are illustrated as stub couplers, any other of the coupler designs described herein including arc couplers, antenna or horn couplers, magnetic couplers, or the like, could likewise be used.", "In some embodiments, repeater device 1210 can repeat the transmission associated with wave 1206, and in other embodiments, repeater device 1210 can include a communications interface 205 that extracts data or other signals from the wave 1206 for supplying such data or signals to another network and/or one or more other devices as communication signals 110 or 112 and/or receiving communication signals 110 or 112 from another network and/or one or more other devices and launch guided wave 1216 having embedded therein the received communication signals 110 or 112.In a repeater configuration, receiver waveguide 1208 can receive the wave 1206 from the coupler 1204 and transmitter waveguide 1212 can launch guided wave 1216 onto coupler 1214 as guided wave 1217.Between receiver waveguide 1208 and transmitter waveguide 1212, the signal embedded in guided wave 1206 and/or the guided wave 1216 itself can be amplified to correct for signal loss and other inefficiencies associated with guided wave communications or the signal can be received and processed to extract the data contained therein and regenerated for transmission.", "In an embodiment, the receiver waveguide 1208 can be configured to extract data from the signal, process the data to correct for data errors utilizing for example error correcting codes, and regenerate an updated signal with the corrected data.", "The transmitter waveguide 1212 can then transmit guided wave 1216 with the updated signal embedded therein.", "In an embodiment, a signal embedded in guided wave 1206 can be extracted from the transmission and processed for communication with another network and/or one or more other devices via communications interface 205 as communication signals 110 or 112.Similarly, communication signals 110 or 112 received by the communications interface 205 can be inserted into a transmission of guided wave 1216 that is generated and launched onto coupler 1214 by transmitter waveguide 1212.It is noted that although FIG.", "12 shows guided wave transmissions 1206 and 1216 entering from the left and exiting to the right respectively, this is merely a simplification and is not intended to be limiting.", "In other embodiments, receiver waveguide 1208 and transmitter waveguide 1212 can also function as transmitters and receivers respectively, allowing the repeater device 1210 to be bi-directional.", "In an embodiment, repeater device 1210 can be placed at locations where there are discontinuities or obstacles on the wire 1202 or other transmission medium.", "In the case where the wire 1202 is a power line, these obstacles can include transformers, connections, utility poles, and other such power line devices.", "The repeater device 1210 can help the guided (e.g., surface) waves jump over these obstacles on the line and boost the transmission power at the same time.", "In other embodiments, a coupler can be used to jump over the obstacle without the use of a repeater device.", "In that embodiment, both ends of the coupler can be tied or fastened to the wire, thus providing a path for the guided wave to travel without being blocked by the obstacle.", "Turning now to FIG.", "13, illustrated is a block diagram 1300 of an example, non-limiting embodiment of a bidirectional repeater in accordance with various aspects described herein.", "In particular, a bidirectional repeater device 1306 is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.It should be noted that while the couplers are illustrated as stub couplers, any other of the coupler designs described herein including arc couplers, antenna or horn couplers, magnetic couplers, or the like, could likewise be used.", "The bidirectional repeater 1306 can employ diversity paths in the case of when two or more wires or other transmission media are present.", "Since guided wave transmissions have different transmission efficiencies and coupling efficiencies for transmission medium of different types such as insulated wires, un-insulated wires or other types of transmission media and further, if exposed to the elements, can be affected by weather, and other atmospheric conditions, it can be advantageous to selectively transmit on different transmission media at certain times.", "In various embodiments, the various transmission media can be designated as a primary, secondary, tertiary, etc.", "whether or not such designation indicates a preference of one transmission medium over another.", "In the embodiment shown, the transmission media include an insulated or uninsulated wire 1302 and an insulated or uninsulated wire 1304 (referred to herein as wires 1302 and 1304, respectively).", "The repeater device 1306 uses a receiver coupler 1308 to receive a guided wave traveling along wire 1302 and repeats the transmission using transmitter waveguide 1310 as a guided wave along wire 1304.In other embodiments, repeater device 1306 can switch from the wire 1304 to the wire 1302, or can repeat the transmissions along the same paths.", "Repeater device 1306 can include sensors, or be in communication with sensors (or a network management system 1601 depicted in FIG.", "16A) that indicate conditions that can affect the transmission.", "Based on the feedback received from the sensors, the repeater device 1306 can make the determination about whether to keep the transmission along the same wire, or transfer the transmission to the other wire.", "Turning now to FIG.", "14, illustrated is a block diagram 1400 illustrating an example, non-limiting embodiment of a bidirectional repeater system.", "In particular, a bidirectional repeater system is presented for use in a transmission device, such as transmission device 101 or 102 presented in conjunction with FIG.", "1.The bidirectional repeater system includes waveguide coupling devices 1402 and 1404 that receive and transmit transmissions from other coupling devices located in a distributed antenna system or backhaul system.", "In various embodiments, waveguide coupling device 1402 can receive a transmission from another waveguide coupling device, wherein the transmission has a plurality of subcarriers.", "Diplexer 1406 can separate the transmission from other transmissions, and direct the transmission to low-noise amplifier (“LNA”) 1408.A frequency mixer 1428, with help from a local oscillator 1412, can downshift the transmission (which is in the millimeter-wave band or around 38 GHz in some embodiments) to a lower frequency, such as a cellular band (˜1.9 GHz) for a distributed antenna system, a native frequency, or other frequency for a backhaul system.", "An extractor (or demultiplexer) 1432 can extract the signal on a subcarrier and direct the signal to an output component 1422 for optional amplification, buffering or isolation by power amplifier 1424 for coupling to communications interface 205.The communications interface 205 can further process the signals received from the power amplifier 1424 or otherwise transmit such signals over a wireless or wired interface to other devices such as a base station, mobile devices, a building, etc.", "For the signals that are not being extracted at this location, extractor 1432 can redirect them to another frequency mixer 1436, where the signals are used to modulate a carrier wave generated by local oscillator 1414.The carrier wave, with its subcarriers, is directed to a power amplifier (“PA”) 1416 and is retransmitted by waveguide coupling device 1404 to another system, via diplexer 1420.An LNA 1426 can be used to amplify, buffer or isolate signals that are received by the communication interface 205 and then send the signal to a multiplexer 1434 which merges the signal with signals that have been received from waveguide coupling device 1404.The signals received from coupling device 1404 have been split by diplexer 1420, and then passed through LNA 1418, and downshifted in frequency by frequency mixer 1438.When the signals are combined by multiplexer 1434, they are upshifted in frequency by frequency mixer 1430, and then boosted by PA 1410, and transmitted to another system by waveguide coupling device 1402.In an embodiment bidirectional repeater system can be merely a repeater without the output device 1422.In this embodiment, the multiplexer 1434 would not be utilized and signals from LNA 1418 would be directed to mixer 1430 as previously described.", "It will be appreciated that in some embodiments, the bidirectional repeater system could also be implemented using two distinct and separate unidirectional repeaters.", "In an alternative embodiment, a bidirectional repeater system could also be a booster or otherwise perform retransmissions without downshifting and upshifting.", "Indeed in an example embodiment, the retransmissions can be based upon receiving a signal or guided wave and performing some signal or guided wave processing or reshaping, filtering, and/or amplification, prior to retransmission of the signal or guided wave.", "Referring now to FIG.", "15, a block diagram 1500 illustrating an example, non-limiting embodiment of a guided wave communications system is shown.", "This diagram depicts an exemplary environment in which a guided wave communication system, such as the guided wave communication system presented in conjunction with FIG.", "1, can be used.", "To provide network connectivity to additional base station devices, a backhaul network that links the communication cells (e.g., macrocells and macrocells) to network devices of a core network correspondingly expands.", "Similarly, to provide network connectivity to a distributed antenna system, an extended communication system that links base station devices and their distributed antennas is desirable.", "A guided wave communication system 1500 such as shown in FIG.", "15 can be provided to enable alternative, increased or additional network connectivity and a waveguide coupling system can be provided to transmit and/or receive guided wave (e.g., surface wave) communications on a transmission medium such as a wire that operates as a single-wire transmission line (e.g., a utility line), and that can be used as a waveguide and/or that otherwise operates to guide the transmission of an electromagnetic wave.", "The guided wave communication system 1500 can comprise a first instance of a distribution system 1550 that includes one or more base station devices (e.g., base station device 1504) that are communicably coupled to a central office 1501 and/or a macrocell site 1502.Base station device 1504 can be connected by a wired (e.g., fiber and/or cable), or by a wireless (e.g., microwave wireless) connection to the macrocell site 1502 and the central office 1501.A second instance of the distribution system 1560 can be used to provide wireless voice and data services to mobile device 1522 and to residential and/or commercial establishments 1542 (herein referred to as establishments 1542).", "System 1500 can have additional instances of the distribution systems 1550 and 1560 for providing voice and/or data services to mobile devices 1522-1524 and establishments 1542 as shown in FIG.", "15.Macrocells such as macrocell site 1502 can have dedicated connections to a mobile network and base station device 1504 or can share and/or otherwise use another connection.", "Central office 1501 can be used to distribute media content and/or provide internet service provider (ISP) services to mobile devices 1522-1524 and establishments 1542.The central office 1501 can receive media content from a constellation of satellites 1530 (one of which is shown in FIG.", "15) or other sources of content, and distribute such content to mobile devices 1522-1524 and establishments 1542 via the first and second instances of the distribution system 1550 and 1560.The central office 1501 can also be communicatively coupled to the Internet 1503 for providing internet data services to mobile devices 1522-1524 and establishments 1542.Base station device 1504 can be mounted on, or attached to, utility pole 1516.In other embodiments, base station device 1504 can be near transformers and/or other locations situated nearby a power line.", "Base station device 1504 can facilitate connectivity to a mobile network for mobile devices 1522 and 1524.Antennas 1512 and 1514, mounted on or near utility poles 1518 and 1520, respectively, can receive signals from base station device 1504 and transmit those signals to mobile devices 1522 and 1524 over a much wider area than if the antennas 1512 and 1514 were located at or near base station device 1504.It is noted that FIG.", "15 displays three utility poles, in each instance of the distribution systems 1550 and 1560, with one base station device, for purposes of simplicity.", "In other embodiments, utility pole 1516 can have more base station devices, and more utility poles with distributed antennas and/or tethered connections to establishments 1542.A transmission device 1506, such as transmission device 101 or 102 presented in conjunction with FIG.", "1, can transmit a signal from base station device 1504 to antennas 1512 and 1514 via utility or power line(s) that connect the utility poles 1516, 1518, and 1520.To transmit the signal, radio source and/or transmission device 1506 upconverts the signal (e.g., via frequency mixing) from base station device 1504 or otherwise converts the signal from the base station device 1504 to a microwave band signal and the transmission device 1506 launches a microwave band wave that propagates as a guided wave traveling along the utility line or other wire as described in previous embodiments.", "At utility pole 1518, another transmission device 1508 receives the guided wave (and optionally can amplify it as needed or desired or operate as a repeater to receive it and regenerate it) and sends it forward as a guided wave on the utility line or other wire.", "The transmission device 1508 can also extract a signal from the microwave band guided wave and shift it down in frequency or otherwise convert it to its original cellular band frequency (e.g., 1.9 GHz or other defined cellular frequency) or another cellular (or non-cellular) band frequency.", "An antenna 1512 can wireless transmit the downshifted signal to mobile device 1522.The process can be repeated by transmission device 1510, antenna 1514 and mobile device 1524, as necessary or desirable.", "Transmissions from mobile devices 1522 and 1524 can also be received by antennas 1512 and 1514, respectively.", "The transmission devices 1508 and 1510 can upshift or otherwise convert the cellular band signals to microwave band and transmit the signals as guided wave (e.g., surface wave or other electromagnetic wave) transmissions over the power line(s) to base station device 1504.Media content received by the central office 1501 can be supplied to the second instance of the distribution system 1560 via the base station device 1504 for distribution to mobile devices 1522 and establishments 1542.The transmission device 1510 can be tethered to the establishments 1542 by one or more wired connections or a wireless interface.", "The one or more wired connections may include without limitation, a power line, a coaxial cable, a fiber cable, a twisted pair cable, a guided wave transmission medium or other suitable wired mediums for distribution of media content and/or for providing internet services.", "In an example embodiment, the wired connections from the transmission device 1510 can be communicatively coupled to one or more very high bit rate digital subscriber line (VDSL) modems located at one or more corresponding service area interfaces (SAIs—not shown) or pedestals, each SAI or pedestal providing services to a portion of the establishments 1542.The VDSL modems can be used to selectively distribute media content and/or provide internet services to gateways (not shown) located in the establishments 1542.The SAIs or pedestals can also be communicatively coupled to the establishments 1542 over a wired medium such as a power line, a coaxial cable, a fiber cable, a twisted pair cable, a guided wave transmission medium or other suitable wired mediums.", "In other example embodiments, the transmission device 1510 can be communicatively coupled directly to establishments 1542 without intermediate interfaces such as the SAIs or pedestals.", "In another example embodiment, system 1500 can employ diversity paths, where two or more utility lines or other wires are strung between the utility poles 1516, 1518, and 1520 (e.g., for example, two or more wires between poles 1516 and 1520) and redundant transmissions from base station/macrocell site 1502 are transmitted as guided waves down the surface of the utility lines or other wires.", "The utility lines or other wires can be either insulated or uninsulated, and depending on the environmental conditions that cause transmission losses, the coupling devices can selectively receive signals from the insulated or uninsulated utility lines or other wires.", "The selection can be based on measurements of the signal-to-noise ratio of the wires, or based on determined weather/environmental conditions (e.g., moisture detectors, weather forecasts, etc.).", "The use of diversity paths with system 1500 can enable alternate routing capabilities, load balancing, increased load handling, concurrent bi-directional or synchronous communications, spread spectrum communications, etc.", "It is noted that the use of the transmission devices 1506, 1508, and 1510 in FIG.", "15 are by way of example only, and that in other embodiments, other uses are possible.", "For instance, transmission devices can be used in a backhaul communication system, providing network connectivity to base station devices.", "Transmission devices 1506, 1508, and 1510 can be used in many circumstances where it is desirable to transmit guided wave communications over a wire, whether insulated or not insulated.", "Transmission devices 1506, 1508, and 1510 are improvements over other coupling devices due to no contact or limited physical and/or electrical contact with the wires that may carry high voltages.", "The transmission device can be located away from the wire (e.g., spaced apart from the wire) and/or located on the wire so long as it is not electrically in contact with the wire, as the dielectric acts as an insulator, allowing for cheap, easy, and/or less complex installation.", "However, as previously noted conducting or non-dielectric couplers can be employed, for example in configurations where the wires correspond to a telephone network, cable television network, broadband data service, fiber optic communications system or other network employing low voltages or having insulated transmission lines.", "It is further noted, that while base station device 1504 and macrocell site 1502 are illustrated in an embodiment, other network configurations are likewise possible.", "For example, devices such as access points or other wireless gateways can be employed in a similar fashion to extend the reach of other networks such as a wireless local area network, a wireless personal area network or other wireless networks that operate in accordance with a communication protocol such as a 802.11 protocol, WIMAX protocol, Ultra-Wideband protocol, Bluetooth protocol, ZigBee protocol or other wireless protocol.", "Referring now to FIGS.", "16A & 16B, block diagrams illustrating an example, non-limiting embodiment of a system for managing a power grid communication system are shown.", "Considering FIG.", "16A, a waveguide system 1602 is presented for use in a guided wave communications system, such as the system presented in conjunction with FIG.", "15.The waveguide system 1602 can comprise sensors 1604, a power management system 1605, a transmission device 101 or 102 that includes at least one communication interface 205, transceiver 210 and coupler 220.The waveguide system 1602 can be coupled to a power line 1610 for facilitating guided wave communications in accordance with embodiments described in the subject disclosure.", "In an example embodiment, the transmission device 101 or 102 includes coupler 220 for inducing electromagnetic waves on a surface of the power line 1610 that longitudinally propagate along the surface of the power line 1610 as described in the subject disclosure.", "The transmission device 101 or 102 can also serve as a repeater for retransmitting electromagnetic waves on the same power line 1610 or for routing electromagnetic waves between power lines 1610 as shown in FIGS.", "12-13.The transmission device 101 or 102 includes transceiver 210 configured to, for example, up-convert a signal operating at an original frequency range to electromagnetic waves operating at, exhibiting, or associated with a carrier frequency that propagate along a coupler to induce corresponding guided electromagnetic waves that propagate along a surface of the power line 1610.A carrier frequency can be represented by a center frequency having upper and lower cutoff frequencies that define the bandwidth of the electromagnetic waves.", "The power line 1610 can be a wire (e.g., single stranded or multi-stranded) having a conducting surface or insulated surface.", "The transceiver 210 can also receive signals from the coupler 220 and down-convert the electromagnetic waves operating at a carrier frequency to signals at their original frequency.", "Signals received by the communications interface 205 of transmission device 101 or 102 for up-conversion can include without limitation signals supplied by a central office 1611 over a wired or wireless interface of the communications interface 205, a base station 1614 over a wired or wireless interface of the communications interface 205, wireless signals transmitted by mobile devices 1620 to the base station 1614 for delivery over the wired or wireless interface of the communications interface 205, signals supplied by in-building communication devices 1618 over the wired or wireless interface of the communications interface 205, and/or wireless signals supplied to the communications interface 205 by mobile devices 1612 roaming in a wireless communication range of the communications interface 205.In embodiments where the waveguide system 1602 functions as a repeater, such as shown in FIGS.", "12-13, the communications interface 205 may or may not be included in the waveguide system 1602.The electromagnetic waves propagating along the surface of the power line 1610 can be modulated and formatted to include packets or frames of data that include a data payload and further include networking information (such as header information for identifying one or more destination waveguide systems 1602).", "The networking information may be provided by the waveguide system 1602 or an originating device such as the central office 1611, the base station 1614, mobile devices 1620, or in-building devices 1618, or a combination thereof.", "Additionally, the modulated electromagnetic waves can include error correction data for mitigating signal disturbances.", "The networking information and error correction data can be used by a destination waveguide system 1602 for detecting transmissions directed to it, and for down-converting and processing with error correction data transmissions that include voice and/or data signals directed to recipient communication devices communicatively coupled to the destination waveguide system 1602.Referring now to the sensors 1604 of the waveguide system 1602, the sensors 1604 can comprise one or more of: a temperature sensor 1604a, a disturbance detection sensor 1604b, a loss of energy sensor 1604c, a noise sensor 1604d, a vibration sensor 1604e, an environmental (e.g., weather) sensor 1604f, and/or an image sensor 1604g.", "The temperature sensor 1604a can be used to measure ambient temperature, a temperature of the transmission device 101 or 102, a temperature of the power line 1610, temperature differentials (e.g., compared to a setpoint or baseline, between transmission device 101 or 102 and 1610, etc.", "), or any combination thereof.", "In one embodiment, temperature metrics can be collected and reported periodically to a network management system 1601 by way of the base station 1614.The disturbance detection sensor 1604b can perform measurements on the power line 1610 to detect disturbances such as signal reflections, which may indicate a presence of a downstream disturbance that may impede the propagation of electromagnetic waves on the power line 1610.A signal reflection can represent a distortion resulting from, for example, an electromagnetic wave transmitted on the power line 1610 by the transmission device 101 or 102 that reflects in whole or in part back to the transmission device 101 or 102 from a disturbance in the power line 1610 located downstream from the transmission device 101 or 102.Signal reflections can be caused by obstructions on the power line 1610.For example, a tree limb may cause electromagnetic wave reflections when the tree limb is lying on the power line 1610, or is in close proximity to the power line 1610 which may cause a corona discharge.", "Other obstructions that can cause electromagnetic wave reflections can include without limitation an object that has been entangled on the power line 1610 (e.g., clothing, a shoe wrapped around a power line 1610 with a shoe string, etc.", "), a corroded build-up on the power line 1610 or an ice build-up.", "Power grid components may also impede or obstruct with the propagation of electromagnetic waves on the surface of power lines 1610.Illustrations of power grid components that may cause signal reflections include without limitation a transformer and a joint for connecting spliced power lines.", "A sharp angle on the power line 1610 may also cause electromagnetic wave reflections.", "The disturbance detection sensor 1604b can comprise a circuit to compare magnitudes of electromagnetic wave reflections to magnitudes of original electromagnetic waves transmitted by the transmission device 101 or 102 to determine how much a downstream disturbance in the power line 1610 attenuates transmissions.", "The disturbance detection sensor 1604b can further comprise a spectral analyzer circuit for performing spectral analysis on the reflected waves.", "The spectral data generated by the spectral analyzer circuit can be compared with spectral profiles via pattern recognition, an expert system, curve fitting, matched filtering or other artificial intelligence, classification or comparison technique to identify a type of disturbance based on, for example, the spectral profile that most closely matches the spectral data.", "The spectral profiles can be stored in a memory of the disturbance detection sensor 1604b or may be remotely accessible by the disturbance detection sensor 1604b.", "The profiles can comprise spectral data that models different disturbances that may be encountered on power lines 1610 to enable the disturbance detection sensor 1604b to identify disturbances locally.", "An identification of the disturbance if known can be reported to the network management system 1601 by way of the base station 1614.The disturbance detection sensor 1604b can also utilize the transmission device 101 or 102 to transmit electromagnetic waves as test signals to determine a roundtrip time for an electromagnetic wave reflection.", "The round trip time measured by the disturbance detection sensor 1604b can be used to calculate a distance traveled by the electromagnetic wave up to a point where the reflection takes place, which enables the disturbance detection sensor 1604b to calculate a distance from the transmission device 101 or 102 to the downstream disturbance on the power line 1610.The distance calculated can be reported to the network management system 1601 by way of the base station 1614.In one embodiment, the location of the waveguide system 1602 on the power line 1610 may be known to the network management system 1601, which the network management system 1601 can use to determine a location of the disturbance on the power line 1610 based on a known topology of the power grid.", "In another embodiment, the waveguide system 1602 can provide its location to the network management system 1601 to assist in the determination of the location of the disturbance on the power line 1610.The location of the waveguide system 1602 can be obtained by the waveguide system 1602 from a pre-programmed location of the waveguide system 1602 stored in a memory of the waveguide system 1602, or the waveguide system 1602 can determine its location using a GPS receiver (not shown) included in the waveguide system 1602.The power management system 1605 provides energy to the aforementioned components of the waveguide system 1602.The power management system 1605 can receive energy from solar cells, or from a transformer (not shown) coupled to the power line 1610, or by inductive coupling to the power line 1610 or another nearby power line.", "The power management system 1605 can also include a backup battery and/or a super capacitor or other capacitor circuit for providing the waveguide system 1602 with temporary power.", "The loss of energy sensor 1604c can be used to detect when the waveguide system 1602 has a loss of power condition and/or the occurrence of some other malfunction.", "For example, the loss of energy sensor 1604c can detect when there is a loss of power due to defective solar cells, an obstruction on the solar cells that causes them to malfunction, loss of power on the power line 1610, and/or when the backup power system malfunctions due to expiration of a backup battery, or a detectable defect in a super capacitor.", "When a malfunction and/or loss of power occurs, the loss of energy sensor 1604c can notify the network management system 1601 by way of the base station 1614.The noise sensor 1604d can be used to measure noise on the power line 1610 that may adversely affect transmission of electromagnetic waves on the power line 1610.The noise sensor 1604d can sense unexpected electromagnetic interference, noise bursts, or other sources of disturbances that may interrupt reception of modulated electromagnetic waves on a surface of a power line 1610.A noise burst can be caused by, for example, a corona discharge, or other source of noise.", "The noise sensor 1604d can compare the measured noise to a noise profile obtained by the waveguide system 1602 from an internal database of noise profiles or from a remotely located database that stores noise profiles via pattern recognition, an expert system, curve fitting, matched filtering or other artificial intelligence, classification or comparison technique.", "From the comparison, the noise sensor 1604d may identify a noise source (e.g., corona discharge or otherwise) based on, for example, the noise profile that provides the closest match to the measured noise.", "The noise sensor 1604d can also detect how noise affects transmissions by measuring transmission metrics such as bit error rate, packet loss rate, jitter, packet retransmission requests, etc.", "The noise sensor 1604d can report to the network management system 1601 by way of the base station 1614 the identity of noise sources, their time of occurrence, and transmission metrics, among other things.", "The vibration sensor 1604e can include accelerometers and/or gyroscopes to detect 2D or 3D vibrations on the power line 1610.The vibrations can be compared to vibration profiles that can be stored locally in the waveguide system 1602, or obtained by the waveguide system 1602 from a remote database via pattern recognition, an expert system, curve fitting, matched filtering or other artificial intelligence, classification or comparison technique.", "Vibration profiles can be used, for example, to distinguish fallen trees from wind gusts based on, for example, the vibration profile that provides the closest match to the measured vibrations.", "The results of this analysis can be reported by the vibration sensor 1604e to the network management system 1601 by way of the base station 1614.The environmental sensor 1604f can include a barometer for measuring atmospheric pressure, ambient temperature (which can be provided by the temperature sensor 1604a), wind speed, humidity, wind direction, and rainfall, among other things.", "The environmental sensor 1604f can collect raw information and process this information by comparing it to environmental profiles that can be obtained from a memory of the waveguide system 1602 or a remote database to predict weather conditions before they arise via pattern recognition, an expert system, knowledge-based system or other artificial intelligence, classification or other weather modeling and prediction technique.", "The environmental sensor 1604f can report raw data as well as its analysis to the network management system 1601.The image sensor 1604g can be a digital camera (e.g., a charged coupled device or CCD imager, infrared camera, etc.)", "for capturing images in a vicinity of the waveguide system 1602.The image sensor 1604g can include an electromechanical mechanism to control movement (e.g., actual position or focal points/zooms) of the camera for inspecting the power line 1610 from multiple perspectives (e.g., top surface, bottom surface, left surface, right surface and so on).", "Alternatively, the image sensor 1604g can be designed such that no electromechanical mechanism is needed in order to obtain the multiple perspectives.", "The collection and retrieval of imaging data generated by the image sensor 1604g can be controlled by the network management system 1601, or can be autonomously collected and reported by the image sensor 1604g to the network management system 1601.Other sensors that may be suitable for collecting telemetry information associated with the waveguide system 1602 and/or the power lines 1610 for purposes of detecting, predicting and/or mitigating disturbances that can impede the propagation of electromagnetic wave transmissions on power lines 1610 (or any other form of a transmission medium of electromagnetic waves) may be utilized by the waveguide system 1602.Referring now to FIG.", "16B, block diagram 1650 illustrates an example, non-limiting embodiment of a system for managing a power grid 1653 and a communication system 1655 embedded therein or associated therewith in accordance with various aspects described herein.", "The communication system 1655 comprises a plurality of waveguide systems 1602 coupled to power lines 1610 of the power grid 1653.At least a portion of the waveguide systems 1602 used in the communication system 1655 can be in direct communication with a base station 1614 and/or the network management system 1601.Waveguide systems 1602 not directly connected to a base station 1614 or the network management system 1601 can engage in communication sessions with either a base station 1614 or the network management system 1601 by way of other downstream waveguide systems 1602 connected to a base station 1614 or the network management system 1601.The network management system 1601 can be communicatively coupled to equipment of a utility company 1652 and equipment of a communications service provider 1654 for providing each entity, status information associated with the power grid 1653 and the communication system 1655, respectively.", "The network management system 1601, the equipment of the utility company 1652, and the communications service provider 1654 can access communication devices utilized by utility company personnel 1656 and/or communication devices utilized by communications service provider personnel 1658 for purposes of providing status information and/or for directing such personnel in the management of the power grid 1653 and/or communication system 1655.FIG.", "17A illustrates a flow diagram of an example, non-limiting embodiment of a method 1700 for detecting and mitigating disturbances occurring in a communication network of the systems of FIGS.", "16A & 16B.", "Method 1700 can begin with step 1702 where a waveguide system 1602 transmits and receives messages embedded in, or forming part of, modulated electromagnetic waves or another type of electromagnetic waves traveling along a surface of a power line 1610.The messages can be voice messages, streaming video, and/or other data/information exchanged between communication devices communicatively coupled to the communication system 1655.At step 1704 the sensors 1604 of the waveguide system 1602 can collect sensing data.", "In an embodiment, the sensing data can be collected in step 1704 prior to, during, or after the transmission and/or receipt of messages in step 1702.At step 1706 the waveguide system 1602 (or the sensors 1604 themselves) can determine from the sensing data an actual or predicted occurrence of a disturbance in the communication system 1655 that can affect communications originating from (e.g., transmitted by) or received by the waveguide system 1602.The waveguide system 1602 (or the sensors 1604) can process temperature data, signal reflection data, loss of energy data, noise data, vibration data, environmental data, or any combination thereof to make this determination.", "The waveguide system 1602 (or the sensors 1604) may also detect, identify, estimate, or predict the source of the disturbance and/or its location in the communication system 1655.If a disturbance is neither detected/identified nor predicted/estimated at step 1708, the waveguide system 1602 can proceed to step 1702 where it continues to transmit and receive messages embedded in, or forming part of, modulated electromagnetic waves traveling along a surface of the power line 1610.If at step 1708 a disturbance is detected/identified or predicted/estimated to occur, the waveguide system 1602 proceeds to step 1710 to determine if the disturbance adversely affects (or alternatively, is likely to adversely affect or the extent to which it may adversely affect) transmission or reception of messages in the communication system 1655.In one embodiment, a duration threshold and a frequency of occurrence threshold can be used at step 1710 to determine when a disturbance adversely affects communications in the communication system 1655.For illustration purposes only, assume a duration threshold is set to 500 ms, while a frequency of occurrence threshold is set to 5 disturbances occurring in an observation period of 10 sec.", "Thus, a disturbance having a duration greater than 500 ms will trigger the duration threshold.", "Additionally, any disturbance occurring more than 5 times in a 10 sec time interval will trigger the frequency of occurrence threshold.", "In one embodiment, a disturbance may be considered to adversely affect signal integrity in the communication systems 1655 when the duration threshold alone is exceeded.", "In another embodiment, a disturbance may be considered as adversely affecting signal integrity in the communication systems 1655 when both the duration threshold and the frequency of occurrence threshold are exceeded.", "The latter embodiment is thus more conservative than the former embodiment for classifying disturbances that adversely affect signal integrity in the communication system 1655.It will be appreciated that many other algorithms and associated parameters and thresholds can be utilized for step 1710 in accordance with example embodiments.", "Referring back to method 1700, if at step 1710 the disturbance detected at step 1708 does not meet the condition for adversely affected communications (e.g., neither exceeds the duration threshold nor the frequency of occurrence threshold), the waveguide system 1602 may proceed to step 1702 and continue processing messages.", "For instance, if the disturbance detected in step 1708 has a duration of 1 ms with a single occurrence in a 10 sec time period, then neither threshold will be exceeded.", "Consequently, such a disturbance may be considered as having a nominal effect on signal integrity in the communication system 1655 and thus would not be flagged as a disturbance requiring mitigation.", "Although not flagged, the occurrence of the disturbance, its time of occurrence, its frequency of occurrence, spectral data, and/or other useful information, may be reported to the network management system 1601 as telemetry data for monitoring purposes.", "Referring back to step 1710, if on the other hand the disturbance satisfies the condition for adversely affected communications (e.g., exceeds either or both thresholds), the waveguide system 1602 can proceed to step 1712 and report the incident to the network management system 1601.The report can include raw sensing data collected by the sensors 1604, a description of the disturbance if known by the waveguide system 1602, a time of occurrence of the disturbance, a frequency of occurrence of the disturbance, a location associated with the disturbance, parameters readings such as bit error rate, packet loss rate, retransmission requests, jitter, latency and so on.", "If the disturbance is based on a prediction by one or more sensors of the waveguide system 1602, the report can include a type of disturbance expected, and if predictable, an expected time occurrence of the disturbance, and an expected frequency of occurrence of the predicted disturbance when the prediction is based on historical sensing data collected by the sensors 1604 of the waveguide system 1602.At step 1714, the network management system 1601 can determine a mitigation, circumvention, or correction technique, which may include directing the waveguide system 1602 to reroute traffic to circumvent the disturbance if the location of the disturbance can be determined.", "In one embodiment, the waveguide coupling device 1402 detecting the disturbance may direct a repeater such as the one shown in FIGS.", "13-14 to connect the waveguide system 1602 from a primary power line affected by the disturbance to a secondary power line to enable the waveguide system 1602 to reroute traffic to a different transmission medium and avoid the disturbance.", "In an embodiment where the waveguide system 1602 is configured as a repeater the waveguide system 1602 can itself perform the rerouting of traffic from the primary power line to the secondary power line.", "It is further noted that for bidirectional communications (e.g., full or half-duplex communications), the repeater can be configured to reroute traffic from the secondary power line back to the primary power line for processing by the waveguide system 1602.In another embodiment, the waveguide system 1602 can redirect traffic by instructing a first repeater situated upstream of the disturbance and a second repeater situated downstream of the disturbance to redirect traffic from a primary power line temporarily to a secondary power line and back to the primary power line in a manner that avoids the disturbance.", "It is further noted that for bidirectional communications (e.g., full or half-duplex communications), repeaters can be configured to reroute traffic from the secondary power line back to the primary power line.", "To avoid interrupting existing communication sessions occurring on a secondary power line, the network management system 1601 may direct the waveguide system 1602 to instruct repeater(s) to utilize unused time slot(s) and/or frequency band(s) of the secondary power line for redirecting data and/or voice traffic away from the primary power line to circumvent the disturbance.", "At step 1716, while traffic is being rerouted to avoid the disturbance, the network management system 1601 can notify equipment of the utility company 1652 and/or equipment of the communications service provider 1654, which in turn may notify personnel of the utility company 1656 and/or personnel of the communications service provider 1658 of the detected disturbance and its location if known.", "Field personnel from either party can attend to resolving the disturbance at a determined location of the disturbance.", "Once the disturbance is removed or otherwise mitigated by personnel of the utility company and/or personnel of the communications service provider, such personnel can notify their respective companies and/or the network management system 1601 utilizing field equipment (e.g., a laptop computer, smartphone, etc.)", "communicatively coupled to network management system 1601, and/or equipment of the utility company and/or the communications service provider.", "The notification can include a description of how the disturbance was mitigated and any changes to the power lines 1610 that may change a topology of the communication system 1655.Once the disturbance has been resolved (as determined in decision 1718), the network management system 1601 can direct the waveguide system 1602 at step 1720 to restore the previous routing configuration used by the waveguide system 1602 or route traffic according to a new routing configuration if the restoration strategy used to mitigate the disturbance resulted in a new network topology of the communication system 1655.In another embodiment, the waveguide system 1602 can be configured to monitor mitigation of the disturbance by transmitting test signals on the power line 1610 to determine when the disturbance has been removed.", "Once the waveguide system 1602 detects an absence of the disturbance it can autonomously restore its routing configuration without assistance by the network management system 1601 if it determines the network topology of the communication system 1655 has not changed, or it can utilize a new routing configuration that adapts to a detected new network topology.", "FIG.", "17B illustrates a flow diagram of an example, non-limiting embodiment of a method 1750 for detecting and mitigating disturbances occurring in a communication network of the system of FIGS.", "16A and 16B.", "In one embodiment, method 1750 can begin with step 1752 where a network management system 1601 receives from equipment of the utility company 1652 or equipment of the communications service provider 1654 maintenance information associated with a maintenance schedule.", "The network management system 1601 can at step 1754 identify from the maintenance information, maintenance activities to be performed during the maintenance schedule.", "From these activities, the network management system 1601 can detect a disturbance resulting from the maintenance (e.g., scheduled replacement of a power line 1610, scheduled replacement of a waveguide system 1602 on the power line 1610, scheduled reconfiguration of power lines 1610 in the power grid 1653, etc.).", "In another embodiment, the network management system 1601 can receive at step 1755 telemetry information from one or more waveguide systems 1602.The telemetry information can include among other things an identity of each waveguide system 1602 submitting the telemetry information, measurements taken by sensors 1604 of each waveguide system 1602, information relating to predicted, estimated, or actual disturbances detected by the sensors 1604 of each waveguide system 1602, location information associated with each waveguide system 1602, an estimated location of a detected disturbance, an identification of the disturbance, and so on.", "The network management system 1601 can determine from the telemetry information a type of disturbance that may be adverse to operations of the waveguide, transmission of the electromagnetic waves along the wire surface, or both.", "The network management system 1601 can also use telemetry information from multiple waveguide systems 1602 to isolate and identify the disturbance.", "Additionally, the network management system 1601 can request telemetry information from waveguide systems 1602 in a vicinity of an affected waveguide system 1602 to triangulate a location of the disturbance and/or validate an identification of the disturbance by receiving similar telemetry information from other waveguide systems 1602.In yet another embodiment, the network management system 1601 can receive at step 1756 an unscheduled activity report from maintenance field personnel.", "Unscheduled maintenance may occur as result of field calls that are unplanned or as a result of unexpected field issues discovered during field calls or scheduled maintenance activities.", "The activity report can identify changes to a topology configuration of the power grid 1653 resulting from field personnel addressing discovered issues in the communication system 1655 and/or power grid 1653, changes to one or more waveguide systems 1602 (such as replacement or repair thereof), mitigation of disturbances performed if any, and so on.", "At step 1758, the network management system 1601 can determine from reports received according to steps 1752 through 1756 if a disturbance will occur based on a maintenance schedule, or if a disturbance has occurred or is predicted to occur based on telemetry data, or if a disturbance has occurred due to an unplanned maintenance identified in a field activity report.", "From any of these reports, the network management system 1601 can determine whether a detected or predicted disturbance requires rerouting of traffic by the affected waveguide systems 1602 or other waveguide systems 1602 of the communication system 1655.When a disturbance is detected or predicted at step 1758, the network management system 1601 can proceed to step 1760 where it can direct one or more waveguide systems 1602 to reroute traffic to circumvent the disturbance.", "When the disturbance is permanent due to a permanent topology change of the power grid 1653, the network management system 1601 can proceed to step 1770 and skip steps 1762, 1764, 1766, and 1772.At step 1770, the network management system 1601 can direct one or more waveguide systems 1602 to use a new routing configuration that adapts to the new topology.", "However, when the disturbance has been detected from telemetry information supplied by one or more waveguide systems 1602, the network management system 1601 can notify maintenance personnel of the utility company 1656 or the communications service provider 1658 of a location of the disturbance, a type of disturbance if known, and related information that may be helpful to such personnel to mitigate the disturbance.", "When a disturbance is expected due to maintenance activities, the network management system 1601 can direct one or more waveguide systems 1602 to reconfigure traffic routes at a given schedule (consistent with the maintenance schedule) to avoid disturbances caused by the maintenance activities during the maintenance schedule.", "Returning back to step 1760 and upon its completion, the process can continue with step 1762.At step 1762, the network management system 1601 can monitor when the disturbance(s) have been mitigated by field personnel.", "Mitigation of a disturbance can be detected at step 1762 by analyzing field reports submitted to the network management system 1601 by field personnel over a communications network (e.g., cellular communication system) utilizing field equipment (e.g., a laptop computer or handheld computer/device).", "If field personnel have reported that a disturbance has been mitigated, the network management system 1601 can proceed to step 1764 to determine from the field report whether a topology change was required to mitigate the disturbance.", "A topology change can include rerouting a power line 1610, reconfiguring a waveguide system 1602 to utilize a different power line 1610, otherwise utilizing an alternative link to bypass the disturbance and so on.", "If a topology change has taken place, the network management system 1601 can direct at step 1770 one or more waveguide systems 1602 to use a new routing configuration that adapts to the new topology.", "If, however, a topology change has not been reported by field personnel, the network management system 1601 can proceed to step 1766 where it can direct one or more waveguide systems 1602 to send test signals to test a routing configuration that had been used prior to the detected disturbance(s).", "Test signals can be sent to affected waveguide systems 1602 in a vicinity of the disturbance.", "The test signals can be used to determine if signal disturbances (e.g., electromagnetic wave reflections) are detected by any of the waveguide systems 1602.If the test signals confirm that a prior routing configuration is no longer subject to previously detected disturbance(s), then the network management system 1601 can at step 1772 direct the affected waveguide systems 1602 to restore a previous routing configuration.", "If, however, test signals analyzed by one or more waveguide coupling device 1402 and reported to the network management system 1601 indicate that the disturbance(s) or new disturbance(s) are present, then the network management system 1601 will proceed to step 1768 and report this information to field personnel to further address field issues.", "The network management system 1601 can in this situation continue to monitor mitigation of the disturbance(s) at step 1762.In the aforementioned embodiments, the waveguide systems 1602 can be configured to be self-adapting to changes in the power grid 1653 and/or to mitigation of disturbances.", "That is, one or more affected waveguide systems 1602 can be configured to self-monitor mitigation of disturbances and reconfigure traffic routes without requiring instructions to be sent to them by the network management system 1601.In this embodiment, the one or more waveguide systems 1602 that are self-configurable can inform the network management system 1601 of its routing choices so that the network management system 1601 can maintain a macro-level view of the communication topology of the communication system 1655.While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIGS.", "17A and 17B, respectively, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "18A, a block diagram illustrating an example, non-limiting embodiment of a communication system 1800 in accordance with various aspects of the subject disclosure is shown.", "The communication system 1800 can include a macro base station 1802 such as a base station or access point having antennas that covers one or more sectors (e.g., 6 or more sectors).", "The macro base station 1802 can be communicatively coupled to a communication node 1804A that serves as a master or distribution node for other communication nodes 1804B-E distributed at differing geographic locations inside or beyond a coverage area of the macro base station 1802.The communication nodes 1804 operate as a distributed antenna system configured to handle communications traffic associated with client devices such as mobile devices (e.g., cell phones) and/or fixed/stationary devices (e.g., a communication device in a residence, or commercial establishment) that are wirelessly coupled to any of the communication nodes 1804.In particular, the wireless resources of the macro base station 1802 can be made available to mobile devices by allowing and/or redirecting certain mobile and/or stationary devices to utilize the wireless resources of a communication node 1804 in a communication range of the mobile or stationary devices.", "The communication nodes 1804A-E can be communicatively coupled to each other over an interface 1810.In one embodiment, the interface 1810 can comprise a wired or tethered interface (e.g., fiber optic cable).", "In other embodiments, the interface 1810 can comprise a wireless RF interface forming a radio distributed antenna system.", "In various embodiments, the communication nodes 1804A-E can be configured to provide communication services to mobile and stationary devices according to instructions provided by the macro base station 1802.In other examples of operation however, the communication nodes 1804A-E operate merely as analog repeaters to spread the coverage of the macro base station 1802 throughout the entire range of the individual communication nodes 1804A-E.", "The micro base stations (depicted as communication nodes 1804) can differ from the macro base station in several ways.", "For example, the communication range of the micro base stations can be smaller than the communication range of the macro base station.", "Consequently, the power consumed by the micro base stations can be less than the power consumed by the macro base station.", "The macro base station optionally directs the micro base stations as to which mobile and/or stationary devices they are to communicate with, and which carrier frequency, spectral segment(s) and/or timeslot schedule of such spectral segment(s) are to be used by the micro base stations when communicating with certain mobile or stationary devices.", "In these cases, control of the micro base stations by the macro base station can be performed in a master-slave configuration or other suitable control configurations.", "Whether operating independently or under the control of the macro base station 1802, the resources of the micro base stations can be simpler and less costly than the resources utilized by the macro base station 1802.Turning now to FIG.", "18B, a block diagram illustrating an example, non-limiting embodiment of the communication nodes 1804B-E of the communication system 1800 of FIG.", "18A is shown.", "In this illustration, the communication nodes 1804B-E are placed on a utility fixture such as a light post.", "In other embodiments, some of the communication nodes 1804B-E can be placed on a building or a utility post or pole that is used for distributing power and/or communication lines.", "The communication nodes 1804B-E in these illustrations can be configured to communicate with each other over the interface 1810, which in this illustration is shown as a wireless interface.", "The communication nodes 1804B-E can also be configured to communicate with mobile or stationary devices 1806A-C over a wireless interface 1811 that conforms to one or more communication protocols (e.g., fourth generation (4G) wireless signals such as LTE signals or other 4G signals, fifth generation (5G) wireless signals, WiMAX, 802.11 signals, ultra-wideband signals, etc.).", "The communication nodes 1804 can be configured to exchange signals over the interface 1810 at an operating frequency that may be higher (e.g., 28 GHz, 38 GHz, 60 GHz, 80 GHz or higher) than the operating frequency used for communicating with the mobile or stationary devices (e.g., 1.9 GHz) over interface 1811.The high carrier frequency and a wider bandwidth can be used for communicating between the communication nodes 1804 enabling the communication nodes 1804 to provide communication services to multiple mobile or stationary devices via one or more differing frequency bands, (e.g.", "a 900 MHz band, 1.9 GHz band, a 2.4 GHz band, and/or a 5.8 GHz band, etc.)", "and/or one or more differing protocols, as will be illustrated by spectral downlink and uplink diagrams of FIG.", "19A described below.", "In other embodiments, particularly where the interface 1810 is implemented via a guided wave communications system on a wire, a wideband spectrum in a lower frequency range (e.g.", "in the range of 2-6 GHz, 4-10 GHz, etc.)", "can be employed.", "Turning now to FIGS.", "18C-18D, block diagrams illustrating example, non-limiting embodiments of a communication node 1804 of the communication system 1800 of FIG.", "18A is shown.", "The communication node 1804 can be attached to a support structure 1818 of a utility fixture such as a utility post or pole as shown in FIG.", "18C.", "The communication node 1804 can be affixed to the support structure 1818 with an arm 1820 constructed of plastic or other suitable material that attaches to an end of the communication node 1804.The communication node 1804 can further include a plastic housing assembly 1816 that covers components of the communication node 1804.The communication node 1804 can be powered by a power line 1821 (e.g., 110/220 VAC).", "The power line 1821 can originate from a light pole or can be coupled to a power line of a utility pole.", "In an embodiment where the communication nodes 1804 communicate wirelessly with other communication nodes 1804 as shown in FIG.", "18B, a top side 1812 of the communication node 1804 (illustrated also in FIG.", "18D) can comprise a plurality of antennas 1822 (e.g., 16 dielectric antennas devoid of metal surfaces) coupled to one or more transceivers such as, for example, in whole or in part, the transceiver 1400 illustrated in FIG.", "14.Each of the plurality of antennas 1822 of the top side 1812 can operate as a sector of the communication node 1804, each sector configured for communicating with at least one communication node 1804 in a communication range of the sector.", "Alternatively, or in combination, the interface 1810 between communication nodes 1804 can be a tethered interface (e.g., a fiber optic cable, or a power line used for transport of guided electromagnetic waves as previously described).", "In other embodiments, the interface 1810 can differ between communication nodes 1804.That is, some communications nodes 1804 may communicate over a wireless interface, while others communicate over a tethered interface.", "In yet other embodiments, some communications nodes 1804 may utilize a combined wireless and tethered interface.", "A bottom side 1814 of the communication node 1804 can also comprise a plurality of antennas 1824 for wirelessly communicating with one or more mobile or stationary devices 1806 at a carrier frequency that is suitable for the mobile or stationary devices 1806.As noted earlier, the carrier frequency used by the communication node 1804 for communicating with the mobile or station devices over the wireless interface 1811 shown in FIG.", "18B can be different from the carrier frequency used for communicating between the communication nodes 1804 over interface 1810.The plurality of antennas 1824 of the bottom portion 1814 of the communication node 1804 can also utilize a transceiver such as, for example, in whole or in part, the transceiver 1400 illustrated in FIG.", "14.Turning now to FIG.", "19A, a block diagram illustrating an example, non-limiting embodiment of downlink and uplink communication techniques for enabling a base station to communicate with the communication nodes 1804 of FIG.", "18A is shown.", "In the illustrations of FIG.", "19A, downlink signals (i.e., signals directed from the macro base station 1802 to the communication nodes 1804) can be spectrally divided into control channels 1902, downlink spectral segments 1906 each including modulated signals which can be frequency converted to their original/native frequency band for enabling the communication nodes 1804 to communicate with one or more mobile or stationary devices 1906, and pilot signals 1904 which can be supplied with some or all of the spectral segments 1906 for mitigating distortion created between the communication nodes 1904.The pilot signals 1904 can be processed by the top side 1816 (tethered or wireless) transceivers of downstream communication nodes 1804 to remove distortion from a receive signal (e.g., phase distortion).", "Each downlink spectral segment 1906 can be allotted a bandwidth 1905 sufficiently wide (e.g., 50 MHz) to include a corresponding pilot signal 1904 and one or more downlink modulated signals located in frequency channels (or frequency slots) in the spectral segment 1906.The modulated signals can represent cellular channels, WLAN channels or other modulated communication signals (e.g., 10-20 MHz), which can be used by the communication nodes 1804 for communicating with one or more mobile or stationary devices 1806.Uplink modulated signals generated by mobile or stationary communication devices in their native/original frequency bands can be frequency converted and thereby located in frequency channels (or frequency slots) in the uplink spectral segment 1910.The uplink modulated signals can represent cellular channels, WLAN channels or other modulated communication signals.", "Each uplink spectral segment 1910 can be allotted a similar or same bandwidth 1905 to include a pilot signal 1908 which can be provided with some or each spectral segment 1910 to enable upstream communication nodes 1804 and/or the macro base station 1802 to remove distortion (e.g., phase error).", "In the embodiment shown, the downlink and uplink spectral segments 1906 and 1910 each comprise a plurality of frequency channels (or frequency slots), which can be occupied with modulated signals that have been frequency converted from any number of native/original frequency bands (e.g., a 900 MHz band, 1.9 GHz band, a 2.4 GHz band, and/or a 5.8 GHz band, etc.).", "The modulated signals can be up-converted to adjacent frequency channels in downlink and uplink spectral segments 1906 and 1910.In this fashion, while some adjacent frequency channels in a downlink spectral segment 1906 can include modulated signals originally in a same native/original frequency band, other adjacent frequency channels in the downlink spectral segment 1906 can also include modulated signals originally in different native/original frequency bands, but frequency converted to be located in adjacent frequency channels of the downlink spectral segment 1906.For example, a first modulated signal in a 1.9 GHz band and a second modulated signal in the same frequency band (i.e., 1.9 GHz) can be frequency converted and thereby positioned in adjacent frequency channels of a downlink spectral segment 1906.In another illustration, a first modulated signal in a 1.9 GHz band and a second communication signal in a different frequency band (i.e., 2.4 GHz) can be frequency converted and thereby positioned in adjacent frequency channels of a downlink spectral segment 1906.Accordingly, frequency channels of a downlink spectral segment 1906 can be occupied with any combination of modulated signals of the same or differing signaling protocols and of a same or differing native/original frequency bands.", "Similarly, while some adjacent frequency channels in an uplink spectral segment 1910 can include modulated signals originally in a same frequency band, adjacent frequency channels in the uplink spectral segment 1910 can also include modulated signals originally in different native/original frequency bands, but frequency converted to be located in adjacent frequency channels of an uplink segment 1910.For example, a first communication signal in a 2.4 GHz band and a second communication signal in the same frequency band (i.e., 2.4 GHz) can be frequency converted and thereby positioned in adjacent frequency channels of an uplink spectral segment 1910.In another illustration, a first communication signal in a 1.9 GHz band and a second communication signal in a different frequency band (i.e., 2.4 GHz) can be frequency converted and thereby positioned in adjacent frequency channels of the uplink spectral segment 1906.Accordingly, frequency channels of an uplink spectral segment 1910 can be occupied with any combination of modulated signals of a same or differing signaling protocols and of a same or differing native/original frequency bands.", "It should be noted that a downlink spectral segment 1906 and an uplink spectral segment 1910 can themselves be adjacent to one another and separated by only a guard band or otherwise separated by a larger frequency spacing, depending on the spectral allocation in place.", "Turning now to FIG.", "19B, a block diagram 1920 illustrating an example, non-limiting embodiment of a communication node is shown.", "In particular, the communication node device such as communication node 1804A of a radio distributed antenna system includes a base station interface 1922, duplexer/diplexer assembly 1924, and two transceivers 1930 and 1932.It should be noted however, that when the communication node 1804A is collocated with a base station, such as a macro base station 1802, the duplexer/diplexer assembly 1924 and the transceiver 1930 can be omitted and the transceiver 1932 can be directly coupled to the base station interface 1922.In various embodiments, the base station interface 1922 receives a first modulated signal having one or more down link channels in a first spectral segment for transmission to a client device such as one or more mobile communication devices.", "The first spectral segment represents an original/native frequency band of the first modulated signal.", "The first modulated signal can include one or more downlink communication channels conforming to a signaling protocol such as a LTE or other 4G wireless protocol, a 5G wireless communication protocol, an ultra-wideband protocol, a WiMAX protocol, an 802.11 or other wireless local area network protocol and/or other communication protocol.", "The duplexer/diplexer assembly 1924 transfers the first modulated signal in the first spectral segment to the transceiver 1930 for direct communication with one or more mobile communication devices in range of the communication node 1804A as a free space wireless signal.", "In various embodiments, the transceiver 1930 is implemented via analog circuitry that merely provides: filtration to pass the spectrum of the downlink channels and the uplink channels of modulated signals in their original/native frequency bands while attenuating out-of-band signals, power amplification, transmit/receive switching, duplexing, diplexing, and impedance matching to drive one or more antennas that sends and receives the wireless signals of interface 1810.In other embodiments, the transceiver 1932 is configured to perform frequency conversion of the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on, in various embodiments, an analog signal processing of the first modulated signal without modifying the signaling protocol of the first modulated signal.", "The first modulated signal at the first carrier frequency can occupy one or more frequency channels of a downlink spectral segment 1906.The first carrier frequency can be in a millimeter-wave or microwave frequency band.", "As used herein analog signal processing includes filtering, switching, duplexing, diplexing, amplification, frequency up and down conversion, and other analog processing that does not require digital signal processing, such as including without limitation either analog to digital conversion, digital to analog conversion, or digital frequency conversion.", "In other embodiments, the transceiver 1932 can be configured to perform frequency conversion of the first modulated signal in the first spectral segment to the first carrier frequency by applying digital signal processing to the first modulated signal without utilizing any form of analog signal processing and without modifying the signaling protocol of the first modulated signal.", "In yet other embodiments, the transceiver 1932 can be configured to perform frequency conversion of the first modulated signal in the first spectral segment to the first carrier frequency by applying a combination of digital signal processing and analog processing to the first modulated signal and without modifying the signaling protocol of the first modulated signal.", "The transceiver 1932 can be further configured to transmit one or more control channels, one or more corresponding reference signals, such as pilot signals or other reference signals, and/or one or more clock signals together with the first modulated signal at the first carrier frequency to a network element of the distributed antenna system, such as one or more downstream communication nodes 1904B-E, for wireless distribution of the first modulated signal to one or more other mobile communication devices once frequency converted by the network element to the first spectral segment.", "In particular, the reference signal enables the network element to reduce a phase error (and/or other forms of signal distortion) during processing of the first modulated signal from the first carrier frequency to the first spectral segment.", "The control channel can include instructions to direct the communication node of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment, to control frequency selections and reuse patterns, handoff and/or other control signaling.", "In embodiments where the instructions transmitted and received via the control channel are digital signals, the transceiver can 1932 can include a digital signal processing component that provides analog to digital conversion, digital to analog conversion and that processes the digital data sent and/or received via the control channel.", "The clock signals supplied with the downlink spectral segment 1906 can be utilized to synchronize timing of digital control channel processing by the downstream communication nodes 1904B-E to recover the instructions from the control channel and/or to provide other timing signals.", "In various embodiments, the transceiver 1932 can receive a second modulated signal at a second carrier frequency from a network element such as a communication node 1804B-E.", "The second modulated signal can include one or more uplink frequency channels occupied by one or more modulated signals conforming to a signaling protocol such as a LTE or other 4G wireless protocol, a 5G wireless communication protocol, an ultra-wideband protocol, an 802.11 or other wireless local area network protocol and/or other communication protocol.", "In particular, the mobile or stationary communication device generates the second modulated signal in a second spectral segment such as an original/native frequency band and the network element frequency converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency as received by the communication node 1804A.", "The transceiver 1932 operates to convert the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment and sends the second modulated signal in the second spectral segment, via the duplexer/diplexer assembly 1924 and base station interface 1922, to a base station, such as macro base station 1802, for processing.", "Consider the following examples where the communication node 1804A is implemented in a distributed antenna system.", "The uplink frequency channels in an uplink spectral segment 1910 and downlink frequency channels in a downlink spectral segment 1906 can be occupied with signals modulated and otherwise formatted in accordance with a DOCSIS 2.0 or higher standard protocol, a WiMAX standard protocol, an ultra-wideband protocol, a 802.11 standard protocol, a 4G or 5G voice and data protocol such as an LTE protocol and/or other standard communication protocols.", "In addition to protocols that conform with current standards, any of these protocols can be modified to operate in conjunction with the system of FIG.", "18A.", "For example, a 802.11 protocol or other protocol can be modified to include additional guidelines and/or a separate data channel to provide collision detection/multiple access over a wider area (e.g., allowing network elements or communication devices communicatively coupled to the network elements that are communicating via a particular frequency channel of a downlink spectral segment 1906 or uplink spectral segment 1910 to hear one another).", "In various embodiments all of the uplink frequency channels of the uplink spectral segment 1910 and downlink frequency channel of the downlink spectral segment 1906 can all be formatted in accordance with the same communications protocol.", "In the alternative however, two or more differing protocols can be employed on both the uplink spectral segment 1910 and the downlink spectral segment 1906 to, for example, be compatible with a wider range of client devices and/or operate in different frequency bands.", "When two or more differing protocols are employed, a first subset of the downlink frequency channels of the downlink spectral segment 1906 can be modulated in accordance with a first standard protocol and a second subset of the downlink frequency channels of the downlink spectral segment 1906 can be modulated in accordance with a second standard protocol that differs from the first standard protocol.", "Likewise a first subset of the uplink frequency channels of the uplink spectral segment 1910 can be received by the system for demodulation in accordance with the first standard protocol and a second subset of the uplink frequency channels of the uplink spectral segment 1910 can be received in accordance with a second standard protocol for demodulation in accordance with the second standard protocol that differs from the first standard protocol.", "In accordance with these examples, the base station interface 1922 can be configured to receive modulated signals such as one or more downlink channels in their original/native frequency bands from a base station such as macro base station 1802 or other communications network element.", "Similarly, the base station interface 1922 can be configured to supply to a base station modulated signals received from another network element that is frequency converted to modulated signals having one or more uplink channels in their original/native frequency bands.", "The base station interface 1922 can be implemented via a wired or wireless interface that bidirectionally communicates communication signals such as uplink and downlink channels in their original/native frequency bands, communication control signals and other network signaling with a macro base station or other network element.", "The duplexer/diplexer assembly 1924 is configured to transfer the downlink channels in their original/native frequency bands to the transceiver 1932 which frequency converts the frequency of the downlink channels from their original/native frequency bands into the frequency spectrum of interface 1810—in this case a wireless communication link used to transport the communication signals downstream to one or more other communication nodes 1804B-E of the distributed antenna system in range of the communication device 1804A.", "In various embodiments, the transceiver 1932 includes an analog radio that frequency converts the downlink channel signals in their original/native frequency bands via mixing or other heterodyne action to generate frequency converted downlink channels signals that occupy downlink frequency channels of the downlink spectral segment 1906.In this illustration, the downlink spectral segment 1906 is within the downlink frequency band of the interface 1810.In an embodiment, the downlink channel signals are up-converted from their original/native frequency bands to a 28 GHz, 38 GHz, 60 GHz, 70 GHz or 80 GHz band of the downlink spectral segment 1906 for line-of-sight wireless communications to one or more other communication nodes 1804B-E.", "It is noted, however, that other frequency bands can likewise be employed for a downlink spectral segment 1906 (e.g., 3 GHz to 5 GHz).", "For example, the transceiver 1932 can be configured for down-conversion of one or more downlink channel signals in their original/native spectral bands in instances where the frequency band of the interface 1810 falls below the original/native spectral bands of the one or more downlink channels signals.", "The transceiver 1932 can be coupled to multiple individual antennas, such as antennas 1822 presented in conjunction with FIG.", "18D, for communicating with the communication nodes 1804B, a phased antenna array or steerable beam or multi-beam antenna system for communicating with multiple devices at different locations.", "The duplexer/diplexer assembly 1924 can include a duplexer, triplexer, splitter, switch, router and/or other assembly that operates as a “channel duplexer” to provide bi-directional communications over multiple communication paths via one or more original/native spectral segments of the uplink and downlink channels.", "In addition to forwarding frequency converted modulated signals downstream to other communication nodes 1804B-E at a carrier frequency that differs from their original/native spectral bands, the communication node 1804A can also communicate all or a selected portion of the modulated signals unmodified from their original/native spectral bands to client devices in a wireless communication range of the communication node 1804A via the wireless interface 1811.The duplexer/diplexer assembly 1924 transfers the modulated signals in their original/native spectral bands to the transceiver 1930.The transceiver 1930 can include a channel selection filter for selecting one or more downlink channels and a power amplifier coupled to one or more antennas, such as antennas 1824 presented in conjunction with FIG.", "18D, for transmission of the downlink channels via wireless interface 1811 to mobile or fixed wireless devices.", "In addition to downlink communications destined for client devices, communication node 1804A can operate in a reciprocal fashion to handle uplink communications originating from client devices as well.", "In operation, the transceiver 1932 receives uplink channels in the uplink spectral segment 1910 from communication nodes 1804B-E via the uplink spectrum of interface 1810.The uplink frequency channels in the uplink spectral segment 1910 include modulated signals that were frequency converted by communication nodes 1804B-E from their original/native spectral bands to the uplink frequency channels of the uplink spectral segment 1910.In situations where the interface 1810 operates in a higher frequency band than the native/original spectral segments of the modulated signals supplied by the client devices, the transceiver 1932 down-converts the up-converted modulated signals to their original frequency bands.", "In situations, however, where the interface 1810 operates in a lower frequency band than the native/original spectral segments of the modulated signals supplied by the client devices, the transceiver 1932 up-converts the down-converted modulated signals to their original frequency bands.", "Further, the transceiver 1930 operates to receive all or selected ones of the modulated signals in their original/native frequency bands from client devices via the wireless interface 1811.The duplexer/diplexer assembly 1924 transfers the modulated signals in their original/native frequency bands received via the transceiver 1930 to the base station interface 1922 to be sent to the macro base station 1802 or other network element of a communications network.", "Similarly, modulated signals occupying uplink frequency channels in an uplink spectral segment 1910 that are frequency converted to their original/native frequency bands by the transceiver 1932 are supplied to the duplexer/diplexer assembly 1924 for transfer to the base station interface 1922 to be sent to the macro base station 1802 or other network element of a communications network.", "Turning now to FIG.", "19C, a block diagram 1935 illustrating an example, non-limiting embodiment of a communication node is shown.", "In particular, the communication node device such as communication node 1804B, 1804C, 1804D or 1804E of a radio distributed antenna system includes transceiver 1933, duplexer/diplexer assembly 1924, an amplifier 1938 and two transceivers 1936A and 1936B.", "In various embodiments, the transceiver 1936A receives, from a communication node 1804A or an upstream communication node 1804B-E, a first modulated signal at a first carrier frequency corresponding to the placement of the channels of the first modulated signal in the converted spectrum of the distributed antenna system (e.g., frequency channels of one or more downlink spectral segments 1906).", "The first modulated signal includes first communications data provided by a base station and directed to a mobile communication device.", "The transceiver 1936A is further configured to receive, from a communication node 1804A one or more control channels and one or more corresponding reference signals, such as pilot signals or other reference signals, and/or one or more clock signals associated with the first modulated signal at the first carrier frequency.", "The first modulated signal can include one or more downlink communication channels conforming to a signaling protocol such as a LTE or other 4G wireless protocol, a 5G wireless communication protocol, an ultra-wideband protocol, a WiMAX protocol, an 802.11 or other wireless local area network protocol and/or other communication protocol.", "As previously discussed, the reference signal enables the network element to reduce a phase error (and/or other forms of signal distortion) during processing of the first modulated signal from the first carrier frequency to the first spectral segment (i.e., original/native spectrum).", "The control channel includes instructions to direct the communication node of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment, to control frequency selections and reuse patterns, handoff and/or other control signaling.", "The clock signals can synchronize timing of digital control channel processing by the downstream communication nodes 1804B-E to recover the instructions from the control channel and/or to provide other timing signals.", "The amplifier 1938 can be a bidirectional amplifier that amplifies the first modulated signal at the first carrier frequency together with the reference signals, control channels and/or clock signals for coupling via the duplexer/diplexer assembly 1924 to transceiver 1936B, which in this illustration, serves as a repeater for retransmission of the amplified the first modulated signal at the first carrier frequency together with the reference signals, control channels and/or clock signals to one or more others of the communication nodes 1804B-E that are downstream from the communication node 1804B-E that is shown and that operate in a similar fashion.", "The amplified first modulated signal at the first carrier frequency together with the reference signals, control channels and/or clock signals are also coupled via the duplexer/diplexer assembly 1924 to the transceiver 1933.The transceiver 1933 performs digital signal processing on the control channel to recover the instructions, such as in the form of digital data, from the control channel.", "The clock signal is used to synchronize timing of the digital control channel processing.", "The transceiver 1933 then performs frequency conversion of the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment in accordance with the instructions and based on an analog (and/or digital) signal processing of the first modulated signal and utilizing the reference signal to reduce distortion during the converting process.", "The transceiver 1933 wirelessly transmits the first modulated signal in the first spectral segment for direct communication with one or more mobile communication devices in range of the communication node 1804B-E as free space wireless signals.", "In various embodiments, the transceiver 1936B receives a second modulated signal at a second carrier frequency in an uplink spectral segment 1910 from other network elements such as one or more other communication nodes 1804B-E that are downstream from the communication node 1804B-E that is shown.", "The second modulated signal can include one or more uplink communication channels conforming to a signaling protocol such as a LTE or other 4G wireless protocol, a 5G wireless communication protocol, an ultra-wideband protocol, an 802.11 or other wireless local area network protocol and/or other communication protocols.", "In particular, one or more mobile communication devices generate the second modulated signal in a second spectral segment such as an original/native frequency band and the downstream network element performs frequency conversion on the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency in an uplink spectral segment 1910 as received by the communication node 1804B-E shown.", "The transceiver 1936B operates to send the second modulated signal at the second carrier frequency to amplifier 1938, via the duplexer/diplexer assembly 1924, for amplification and retransmission via the transceiver 1936A back to the communication node 1804A or upstream communication nodes 1804B-E for further retransmission back to a base station, such as macro base station 1802, for processing.", "The transceiver 1933 may also receive a second modulated signal in the second spectral segment from one or more mobile communication devices in range of the communication node 1804B-E.", "The transceiver 1933 operates to perform frequency conversion on the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency, for example, under control of the instructions received via the control channel, inserts the reference signals, control channels and/or clock signals for use by communication node 1804A in reconverting the second modulated signal back to the original/native spectral segments and sends the second modulated signal at the second carrier frequency, via the duplexer/diplexer assembly 1924 and amplifier 1938, to the transceiver 1936A for amplification and retransmission back to the communication node 1804A or upstream communication nodes 1804B-E for further retransmission back to a base station, such as macro base station 1802, for processing.", "Turning now to FIG.", "19D, a graphical diagram 1940 illustrating an example, non-limiting embodiment of a frequency spectrum is shown.", "In particular, a spectrum 1942 is shown for a distributed antenna system that conveys modulated signals that occupy frequency channels of a downlink segment 1906 or uplink spectral segment 1910 after they have been converted in frequency (e.g., via up-conversion or down-conversion) from one or more original/native spectral segments into the spectrum 1942.In the example presented, the downstream (downlink) channel band 1944 includes a plurality of downstream frequency channels represented by separate downlink spectral segments 1906.Likewise the upstream (uplink) channel band 1946 includes a plurality of upstream frequency channels represented by separate uplink spectral segments 1910.The spectral shapes of the separate spectral segments are meant to be placeholders for the frequency allocation of each modulated signal along with associated reference signals, control channels and clock signals.", "The actual spectral response of each frequency channel in a downlink spectral segment 1906 or uplink spectral segment 1910 will vary based on the protocol and modulation employed and further as a function of time.", "The number of the uplink spectral segments 1910 can be less than or greater than the number of the downlink spectral segments 1906 in accordance with an asymmetrical communication system.", "In this case, the upstream channel band 1946 can be narrower or wider than the downstream channel band 1944.In the alternative, the number of the uplink spectral segments 1910 can be equal to the number of the downlink spectral segments 1906 in the case where a symmetrical communication system is implemented.", "In this case, the width of the upstream channel band 1946 can be equal to the width of the downstream channel band 1944 and bit stuffing or other data filling techniques can be employed to compensate for variations in upstream traffic.", "While the downstream channel band 1944 is shown at a lower frequency than the upstream channel band 1946, in other embodiments, the downstream channel band 1844 can be at a higher frequency than the upstream channel band 1946.In addition, the number of spectral segments and their respective frequency positions in spectrum 1942 can change dynamically over time.", "For example, a general control channel can be provided in the spectrum 1942 (not shown) which can indicate to communication nodes 1804 the frequency position of each downlink spectral segment 1906 and each uplink spectral segment 1910.Depending on traffic conditions, or network requirements necessitating a reallocation of bandwidth, the number of downlink spectral segments 1906 and uplink spectral segments 1910 can be changed by way of the general control channel.", "Additionally, the downlink spectral segments 1906 and uplink spectral segments 1910 do not have to be grouped separately.", "For instance, a general control channel can identify a downlink spectral segment 1906 being followed by an uplink spectral segment 1910 in an alternating fashion, or in any other combination which may or may not be symmetric.", "It is further noted that instead of utilizing a general control channel, multiple control channels can be used, each identifying the frequency position of one or more spectral segments and the type of spectral segment (i.e., uplink or downlink).", "Further, while the downstream channel band 1944 and upstream channel band 1946 are shown as occupying a single contiguous frequency band, in other embodiments, two or more upstream and/or two or more downstream channel bands can be employed, depending on available spectrum and/or the communication standards employed.", "Frequency channels of the uplink spectral segments 1910 and downlink spectral segments 1906 can be occupied by frequency converted signals modulated formatted in accordance with a DOCSIS 2.0 or higher standard protocol, a WiMAX standard protocol, an ultra-wideband protocol, a 802.11 standard protocol, a 4G or 5G voice and data protocol such as an LTE protocol and/or other standard communication protocol.", "In addition to protocols that conform with current standards, any of these protocols can be modified to operate in conjunction with the system shown.", "For example, an 802.11 protocol or other protocol can be modified to include additional guidelines and/or a separate data channel to provide collision detection/multiple access over a wider area (e.g.", "allowing devices that are communicating via a particular frequency channel to hear one another).", "In various embodiments all of the uplink frequency channels of the uplink spectral segments 1910 and downlink frequency channel of the downlink spectral segments 1906 are all formatted in accordance with the same communications protocol.", "In the alternative however, two or more differing protocols can be employed on both the uplink frequency channels of one or more uplink spectral segments 1910 and downlink frequency channels of one or more downlink spectral segments 1906 to, for example, be compatible with a wider range of client devices and/or operate in different frequency bands.", "It should be noted that, the modulated signals can be gathered from differing original/native spectral segments for aggregation into the spectrum 1942.In this fashion, a first portion of uplink frequency channels of an uplink spectral segment 1910 may be adjacent to a second portion of uplink frequency channels of the uplink spectral segment 1910 that have been frequency converted from one or more differing original/native spectral segments.", "Similarly, a first portion of downlink frequency channels of a downlink spectral segment 1906 may be adjacent to a second portion of downlink frequency channels of the downlink spectral segment 1906 that have been frequency converted from one or more differing original/native spectral segments.", "For example, one or more 2.4 GHz 802.11 channels that have been frequency converted may be adjacent to one or more 5.8 GHz 802.11 channels that have also been frequency converted to a spectrum 1942 that is centered at 80 GHz.", "It should be noted that each spectral segment can have an associated reference signal such as a pilot signal that can be used in generating a local oscillator signal at a frequency and phase that provides the frequency conversion of one or more frequency channels of that spectral segment from its placement in the spectrum 1942 back into it original/native spectral segment.", "Turning now to FIG.", "19E, a graphical diagram 1950 illustrating an example, non-limiting embodiment of a frequency spectrum is shown.", "In particular a spectral segment selection is presented as discussed in conjunction with signal processing performed on the selected spectral segment by transceivers 1930 of communication node 1840A or transceiver 1932 of communication node 1804B-E. As shown, a particular uplink frequency portion 1958 including one of the uplink spectral segments 1910 of uplink frequency channel band 1946 and a particular downlink frequency portion 1956 including one of the downlink spectral segments 1906 of downlink channel frequency band 1944 is selected to be passed by channel selection filtration, with the remaining portions of uplink frequency channel band 1946 and downlink channel frequency band 1944 being filtered out—i.e.", "attenuated so as to mitigate adverse effects of the processing of the desired frequency channels that are passed by the transceiver.", "It should be noted that while a single particular uplink spectral segment 1910 and a particular downlink spectral segment 1906 are shown as being selected, two or more uplink and/or downlink spectral segments may be passed in other embodiments.", "While the transceivers 1930 and 1932 can operate based on static channel filters with the uplink and downlink frequency portions 1958 and 1956 being fixed, as previously discussed, instructions sent to the transceivers 1930 and 1932 via the control channel can be used to dynamically configure the transceivers 1930 and 1932 to a particular frequency selection.", "In this fashion, upstream and downstream frequency channels of corresponding spectral segments can be dynamically allocated to various communication nodes by the macro base station 1802 or other network element of a communication network to optimize performance by the distributed antenna system.", "Turning now to FIG.", "19F, a graphical diagram 1960 illustrating an example, non-limiting embodiment of a frequency spectrum is shown.", "In particular, a spectrum 1962 is shown for a distributed antenna system that conveys modulated signals occupying frequency channels of uplink or downlink spectral segments after they have been converted in frequency (e.g., via up-conversion or down-conversion) from one or more original/native spectral segments into the spectrum 1962.As previously discussed two or more different communication protocols can be employed to communicate upstream and downstream data.", "When two or more differing protocols are employed, a first subset of the downlink frequency channels of a downlink spectral segment 1906 can be occupied by frequency converted modulated signals in accordance with a first standard protocol and a second subset of the downlink frequency channels of the same or a different downlink spectral segment 1910 can be occupied by frequency converted modulated signals in accordance with a second standard protocol that differs from the first standard protocol.", "Likewise a first subset of the uplink frequency channels of an uplink spectral segment 1910 can be received by the system for demodulation in accordance with the first standard protocol and a second subset of the uplink frequency channels of the same or a different uplink spectral segment 1910 can be received in accordance with a second standard protocol for demodulation in accordance with the second standard protocol that differs from the first standard protocol.", "In the example shown, the downstream channel band 1944 includes a first plurality of downstream spectral segments represented by separate spectral shapes of a first type representing the use of a first communication protocol.", "The downstream channel band 1944′ includes a second plurality of downstream spectral segments represented by separate spectral shapes of a second type representing the use of a second communication protocol.", "Likewise the upstream channel band 1946 includes a first plurality of upstream spectral segments represented by separate spectral shapes of the first type representing the use of the first communication protocol.", "The upstream channel band 1946′ includes a second plurality of upstream spectral segments represented by separate spectral shapes of the second type representing the use of the second communication protocol.", "These separate spectral shapes are meant to be placeholders for the frequency allocation of each individual spectral segment along with associated reference signals, control channels and/or clock signals.", "While the individual channel bandwidth is shown as being roughly the same for channels of the first and second type, it should be noted that upstream and downstream channel bands 1944, 1944′, 1946 and 1946′ may be of differing bandwidths.", "Additionally, the spectral segments in these channel bands of the first and second type may be of differing bandwidths, depending on available spectrum and/or the communication standards employed.", "Turning now to FIG.", "19G, a graphical diagram 1970 illustrating an example, non-limiting embodiment of a frequency spectrum is shown.", "In particular a portion of the spectrum 1942 or 1962 of FIGS.", "19D-19F is shown for a distributed antenna system that conveys modulated signals in the form of channel signals that have been converted in frequency (e.g., via up-conversion or down-conversion) from one or more original/native spectral segments.", "The portion 1972 includes a portion of a downlink or uplink spectral segment 1906 and 1910 that is represented by a spectral shape and that represents a portion of the bandwidth set aside for a control channel, reference signal, and/or clock signal.", "The spectral shape 1974, for example, represents a control channel that is separate from reference signal 1979 and a clock signal 1978.It should be noted that the clock signal 1978 is shown with a spectral shape representing a sinusoidal signal that may require conditioning into the form of a more traditional clock signal.", "In other embodiments however, a traditional clock signal could be sent as a modulated carrier wave such by modulating the reference signal 1979 via amplitude modulation or other modulation technique that preserves the phase of the carrier for use as a phase reference.", "In other embodiments, the clock signal could be transmitted by modulating another carrier wave or as another signal.", "Further, it is noted that both the clock signal 1978 and the reference signal 1979 are shown as being outside the frequency band of the control channel 1974.In another example, the portion 1975 includes a portion of a downlink or uplink spectral segment 1906 and 1910 that is represented by a portion of a spectral shape that represents a portion of the bandwidth set aside for a control channel, reference signal, and/or clock signal.", "The spectral shape 1976 represents a control channel having instructions that include digital data that modulates the reference signal, via amplitude modulation, amplitude shift keying or other modulation technique that preserves the phase of the carrier for use as a phase reference.", "The clock signal 1978 is shown as being outside the frequency band of the spectral shape 1976.The reference signal, being modulated by the control channel instructions, is in effect a subcarrier of the control channel and is in-band to the control channel.", "Again, the clock signal 1978 is shown with a spectral shape representing a sinusoidal signal, in other embodiments however, a traditional clock signal could be sent as a modulated carrier wave or other signal.", "In this case, the instructions of the control channel can be used to modulate the clock signal 1978 instead of the reference signal.", "Consider the following example, where the control channel 1976 is carried via modulation of a reference signal in the form of a continuous wave (CW) from which the phase distortion in the receiver is corrected during frequency conversion of the downlink or uplink spectral segment 1906 and 1910 back to its original/native spectral segment.", "The control channel 1976 can be modulated with a robust modulation such as pulse amplitude modulation, binary phase shift keying, amplitude shift keying or other modulation scheme to carry instructions between network elements of the distributed antenna system such as network operations, administration and management traffic and other control data.", "In various embodiments, the control data can include without limitation: Status information that indicates online status, offline status, and network performance parameters of each network element.", "Network device information such as module names and addresses, hardware and software versions, device capabilities, etc.", "Spectral information such as frequency conversion factors, channel spacing, guard bands, uplink/downlink allocations, uplink and downlink channel selections, etc.", "Environmental measurements such as weather conditions, image data, power outage information, line of sight blockages, etc.", "In a further example, the control channel data can be sent via ultra-wideband (UWB) signaling.", "The control channel data can be transmitted by generating radio energy at specific time intervals and occupying a larger bandwidth, via pulse-position or time modulation, by encoding the polarity or amplitude of the UWB pulses and/or by using orthogonal pulses.", "In particular, UWB pulses can be sent sporadically at relatively low pulse rates to support time or position modulation, but can also be sent at rates up to the inverse of the UWB pulse bandwidth.", "In this fashion, the control channel can be spread over an UWB spectrum with relatively low power, and without interfering with CW transmissions of the reference signal and/or clock signal that may occupy in-band portions of the UWB spectrum of the control channel.", "Turning now to FIG.", "19H, a block diagram 1980 illustrating an example, non-limiting embodiment of a transmitter is shown.", "In particular, a transmitter 1982 is shown for use with, for example, a receiver 1981 and a digital control channel processor 1995 in a transceiver, such as transceiver 1933 presented in conjunction with FIG.", "19C.", "As shown, the transmitter 1982 includes an analog front-end 1986, clock signal generator 1989, a local oscillator 1992, a mixer 1996, and a transmitter front end 1984.The amplified first modulated signal at the first carrier frequency together with the reference signals, control channels and/or clock signals are coupled from the amplifier 1938 to the analog front-end 1986.The analog front end 1986 includes one or more filters or other frequency selection to separate the control channel signal 1987, a clock reference signal 1978, a pilot signal 1991 and one or more selected channels signals 1994.The digital control channel processor 1995 performs digital signal processing on the control channel to recover the instructions, such as via demodulation of digital control channel data, from the control channel signal 1987.The clock signal generator 1989 generates the clock signal 1990, from the clock reference signal 1978, to synchronize timing of the digital control channel processing by the digital control channel processor 1995.In embodiments where the clock reference signal 1978 is a sinusoid, the clock signal generator 1989 can provide amplification and limiting to create a traditional clock signal or other timing signal from the sinusoid.", "In embodiments where the clock reference signal 1978 is a modulated carrier signal, such as a modulation of the reference or pilot signal or other carrier wave, the clock signal generator 1989 can provide demodulation to create a traditional clock signal or other timing signal.", "In various embodiments, the control channel signal 1987 can be either a digitally modulated signal in a range of frequencies separate from the pilot signal 1991 and the clock reference 1988 or as modulation of the pilot signal 1991.In operation, the digital control channel processor 1995 provides demodulation of the control channel signal 1987 to extract the instructions contained therein in order to generate a control signal 1993.In particular, the control signal 1993 generated by the digital control channel processor 1995 in response to instructions received via the control channel can be used to select the particular channel signals 1994 along with the corresponding pilot signal 1991 and/or clock reference 1988 to be used for converting the frequencies of channel signals 1994 for transmission via wireless interface 1811.It should be noted that in circumstances where the control channel signal 1987 conveys the instructions via modulation of the pilot signal 1991, the pilot signal 1991 can be extracted via the digital control channel processor 1995 rather than the analog front-end 1986 as shown.", "The digital control channel processor 1995 may be implemented via a processing module such as a microprocessor, micro-controller, digital signal processor, microcomputer, central processing unit, field programmable gate array, programmable logic device, state machine, logic circuitry, digital circuitry, an analog to digital converter, a digital to analog converter and/or any device that manipulates signals (analog and/or digital) based on hard coding of the circuitry and/or operational instructions.", "The processing module may be, or further include, memory and/or an integrated memory element, which may be a single memory device, a plurality of memory devices, and/or embedded circuitry of another processing module, module, processing circuit, and/or processing unit.", "Such a memory device may be a read-only memory, random access memory, volatile memory, non-volatile memory, static memory, dynamic memory, flash memory, cache memory, and/or any device that stores digital information.", "Note that if the processing module includes more than one processing device, the processing devices may be centrally located (e.g., directly coupled together via a wired and/or wireless bus structure) or may be distributedly located (e.g., cloud computing via indirect coupling via a local area network and/or a wide area network).", "Further note that the memory and/or memory element storing the corresponding operational instructions may be embedded within, or external to, the microprocessor, micro-controller, digital signal processor, microcomputer, central processing unit, field programmable gate array, programmable logic device, state machine, logic circuitry, digital circuitry, an analog to digital converter, a digital to analog converter or other device.", "Still further note that, the memory element may store, and the processing module executes, hard coded and/or operational instructions corresponding to at least some of the steps and/or functions described herein and such a memory device or memory element can be implemented as an article of manufacture.", "The local oscillator 1992 generates the local oscillator signal 1997 utilizing the pilot signal 1991 to reduce distortion during the frequency conversion process.", "In various embodiments the pilot signal 1991 is at the correct frequency and phase of the local oscillator signal 1997 to generate the local oscillator signal 1997 at the proper frequency and phase to convert the channel signals 1994 at the carrier frequency associated with their placement in the spectrum of the distributed antenna system to their original/native spectral segments for transmission to fixed or mobile communication devices.", "In this case, the local oscillator 1992 can employ bandpass filtration and/or other signal conditioning to generate a sinusoidal local oscillator signal 1997 that preserves the frequency and phase of the pilot signal 1991.In other embodiments, the pilot signal 1991 has a frequency and phase that can be used to derive the local oscillator signal 1997.In this case, the local oscillator 1992 employs frequency division, frequency multiplication or other frequency synthesis, based on the pilot signal 1991, to generate the local oscillator signal 1997 at the proper frequency and phase to convert the channel signals 1994 at the carrier frequency associated with their placement in the spectrum of the distributed antenna system to their original/native spectral segments for transmission to fixed or mobile communication devices.", "The mixer 1996 operates based on the local oscillator signal 1997 to shift the channel signals 1994 in frequency to generate frequency converted channel signals 1998 at their corresponding original/native spectral segments.", "While a single mixing stage is shown, multiple mixing stages can be employed to shift the channel signals to baseband and/or one or more intermediate frequencies as part of the total frequency conversion.", "The transmitter (Xmtr) front-end 1984 includes a power amplifier and impedance matching to wirelessly transmit the frequency converted channel signals 1998 as a free space wireless signals via one or more antennas, such as antennas 1824, to one or more mobile or fixed communication devices in range of the communication node 1804B-E.", "Turning now to FIG.", "19I, a block diagram 1985 illustrating an example, non-limiting embodiment of a receiver is shown.", "In particular, a receiver 1981 is shown for use with, for example, transmitter 1982 and digital control channel processor 1995 in a transceiver, such as transceiver 1933 presented in conjunction with FIG.", "19C.", "As shown, the receiver 1981 includes an analog receiver (RCVR) front-end 1983, local oscillator 1992, and mixer 1996.The digital control channel processor 1995 operates under control of instructions from the control channel to generate the pilot signal 1991, control channel signal 1987 and clock reference signal 1978.The control signal 1993 generated by the digital control channel processor 1995 in response to instructions received via the control channel can also be used to select the particular channel signals 1994 along with the corresponding pilot signal 1991 and/or clock reference 1988 to be used for converting the frequencies of channel signals 1994 for reception via wireless interface 1811.The analog receiver front end 1983 includes a low noise amplifier and one or more filters or other frequency selection to receive one or more selected channels signals 1994 under control of the control signal 1993.The local oscillator 1992 generates the local oscillator signal 1997 utilizing the pilot signal 1991 to reduce distortion during the frequency conversion process.", "In various embodiments the local oscillator employs bandpass filtration and/or other signal conditioning, frequency division, frequency multiplication or other frequency synthesis, based on the pilot signal 1991, to generate the local oscillator signal 1997 at the proper frequency and phase to frequency convert the channel signals 1994, the pilot signal 1991, control channel signal 1987 and clock reference signal 1978 to the spectrum of the distributed antenna system for transmission to other communication nodes 1804A-E.", "In particular, the mixer 1996 operates based on the local oscillator signal 1997 to shift the channel signals 1994 in frequency to generate frequency converted channel signals 1998 at the desired placement within spectrum spectral segment of the distributed antenna system for coupling to the amplifier 1938, to transceiver 1936A for amplification and retransmission via the transceiver 1936A back to the communication node 1804A or upstream communication nodes 1804B-E for further retransmission back to a base station, such as macro base station 1802, for processing.", "Again, while a single mixing stage is shown, multiple mixing stages can be employed to shift the channel signals to baseband and/or one or more intermediate frequencies as part of the total frequency conversion.", "Turning now to FIG.", "20A, a flow diagram of an example, non-limiting embodiment of a method 2000, is shown.", "Method 2000 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Method 2000 can begin with step 2002 in which a base station, such as the macro base station 1802 of FIG.", "18A, determines a rate of travel of a communication device.", "The communication device can be a mobile communication device such as one of the mobile devices 1806 illustrated in FIG.", "18B, or stationary communication device (e.g., a communication device in a residence, or commercial establishment).", "The base station can communicate directly with the communication device utilizing wireless cellular communications technology (e.g., LTE), which enables the base station to monitor the movement of the communication device by receiving location information from the communication device, and/or to provide the communication device wireless communication services such as voice and/or data services.", "During a communication session, the base station and the communication device exchange wireless signals that operate at a certain native/original carrier frequency (e.g., a 900 MHz band, 1.9 GHz band, a 2.4 GHz band, and/or a 5.8 GHz band, etc.)", "utilizing one or more spectral segments (e.g., resource blocks) of a certain bandwidth (e.g., 10-20 MHz).", "In some embodiments, the spectral segments are used according to a time slot schedule assigned to the communication device by the base station.", "The rate of travel of the communication device can be determined at step 2002 from GPS coordinates provided by the communication device to the base station by way of cellular wireless signals.", "If the rate of travel is above a threshold (e.g., 25 miles per hour) at step 2004, the base station can continue to provide wireless services to the communication device at step 2006 utilizing the wireless resources of the base station.", "If, on the other hand, the communication device has a rate of travel below the threshold, the base station can be configured to further determine whether the communication device can be redirected to a communication node to make available the wireless resources of the base station for other communication devices.", "For example, suppose the base station detects that the communication device has a slow rate of travel (e.g., 3 mph or near stationary).", "Under certain circumstances, the base station may also determine that a current location of the communication device places the communication device in a communication range of a particular communication node 1804.The base station may also determine that the slow rate of travel of the communication device will maintain the communication device within the communication range of the particular communication node 1804 for a sufficiently long enough time (another threshold test that can be used by the base station) to justify redirecting the communication device to the particular communication node 1804.Once such a determination is made, the base station can proceed to step 2008 and select the communication node 1804 that is in the communication range of the communication device for providing communication services thereto.", "Accordingly, the selection process performed at step 2008 can be based on a location of the communication device determined from GPS coordinates provided to the base station by the communication device.", "The selection process can also be based on a trajectory of travel of the communication device, which may be determined from several instances of GPS coordinates provided by the communication device.", "In some embodiments, the base station may determine that the trajectory of the communication device will eventually place the communication device in a communication range of a subsequent communication node 1804 neighboring the communication node selected at step 2008.In this embodiment, the base station can inform multiple communication nodes 1804 of this trajectory to enable the communication nodes 1804 coordinate a handoff of communication services provided to the communication device.", "Once one or more communication nodes 1804 have been selected at step 2008, the base station can proceed to step 2010 where it assigns one or more spectral segments (e.g., resource blocks) for use by the communication device at a first carrier frequency (e.g., 1.9 GHz).", "It is not necessary for the first carrier frequency and/or spectral segments selected by the base station to be the same as the carrier frequency and/or spectral segments in use between the base station and the communication device.", "For example, suppose the base station and the communication device are utilizing a carrier frequency at 1.9 GHz for wireless communications between each other.", "The base station can select a different carrier frequency (e.g., 900 MHz) at step 2010 for the communication node selected at step 2008 to communicate with the communication device.", "Similarly, the base station can assign spectral segment(s) (e.g., resource blocks) and/or a timeslot schedule of the spectral segment(s) to the communication node that differs from the spectral segment(s) and/or timeslot schedule in use between the base station and the communication device.", "At step 2012, the base station can generate first modulated signal(s) in the spectral segment(s) assigned in step 2010 at the first carrier frequency.", "The first modulated signal(s) can include data directed to the communication device, the data representative of a voice communication session, a data communication session, or a combination thereof.", "At step 2014, the base station can up-convert (with a mixer, bandpass filter and other circuitry) the first modulated signal(s) at the first native carrier frequency (e.g., 1.9 GHz) to a second carrier frequency (e.g., 80 GHz) for transport of such signals in one or more frequency channels of a downlink spectral segment 1906 which is directed to the communication node 1804 selected at step 2008.Alternatively, the base station can provide the first modulated signal(s) at the first carrier frequency to the first communication node 1804A (illustrated in FIG.", "18A) for up-conversion to the second carrier frequency for transport in one or more frequency channels of a downlink spectral segment 1906 directed to the communication node 1804 selected at step 2008.At step 2016, the base station can also transmit instructions to transition the communication device to the communication node 1804 selected at step 2008.The instructions can be directed to the communication device while the communication device is in direct communications with the base station utilizing the wireless resources of the base station.", "Alternatively, the instructions can be communicated to the communication node 1804 selected at step 2008 by way of a control channel 1902 of the downlink spectral segment 1906 illustrated in FIG.", "19A.", "Step 2016 can occur before, after or contemporaneously with steps 2012-2014.Once the instructions have been transmitted, the base station can proceed to step 2018 where it transmits in one or more frequency channels of a downlink spectral segment 1906 the first modulated signal at the second carrier frequency (e.g., 80 GHz) for transmission by the first communication node 1804A (illustrated in FIG.", "18A).", "Alternatively, the first communication node 1804A can perform the up-conversion at step 2014 for transport of the first modulated signal at the second carrier frequency in one or more frequency channels of a downlink spectral segment 1906 upon receiving from the base station the first modulated signal(s) at the first native carrier frequency.", "The first communication node 1804A can serve as a master communication node for distributing downlink signals generated by the base station to downstream communication nodes 1804 according to the downlink spectral segments 1906 assigned to each communication node 1804 at step 2010.The assignment of the downlink spectral segments 1906 can be provided to the communication nodes 1804 by way of instructions transmitted by the first communication node 1804A in the control channel 1902 illustrated in FIG.", "19A.", "At step 2018, the communication node 1804 receiving the first modulated signal(s) at the second carrier frequency in one or more frequency channels of a downlink spectral segment 1906 can be configured to down-convert it to the first carrier frequency, and utilize the pilot signal supplied with the first modulated signal(s) to remove distortions (e.g., phase distortion) caused by the distribution of the downlink spectral segments 1906 over communication hops between the communication nodes 1804B-D.", "In particular, the pilot signal can be derived from the local oscillator signal used to generate the frequency up-conversion (e.g., via frequency multiplication and/or division).", "When down conversion is required the pilot signal can be used to recreate a frequency and phase correct version of the local oscillator signal (e.g., via frequency multiplication and/or division) to return the modulated signal to its original portion of the frequency band with minimal phase error.", "In this fashion, the frequency channels of a communication system can be converted in frequency for transport via the distributed antenna system and then returned to their original position in the spectrum for transmission to wireless client device.", "Once the down-conversion process is completed, the communication node 1804 can transmit at step 2022 the first modulated signal at the first native carrier frequency (e.g., 1.9 GHz) to the communication device utilizing the same spectral segment assigned to the communication node 1804.Step 2022 can be coordinated so that it occurs after the communication device has transitioned to the communication node 1804 in accordance with the instructions provided at step 2016.To make such a transition seamless, and so as to avoid interrupting an existing wireless communication session between the base station and the communication device, the instructions provided in step 2016 can direct the communication device and/or the communication node 1804 to transition to the assigned spectral segment(s) and/or time slot schedule as part of and/or subsequent to a registration process between the communication device and the communication node 1804 selected at step 2008.In some instances such a transition may require that the communication device to have concurrent wireless communications with the base station and the communication node 1804 for a short period of time.", "Once the communication device successfully transitions to the communication node 1804, the communication device can terminate wireless communications with the base station, and continue the communication session by way of the communication node 1804.Termination of wireless services between the base station and the communication device makes certain wireless resources of the base station available for use with other communication devices.", "It should be noted that although the base station has in the foregoing steps delegated wireless connectivity to a select communication node 1804, the communication session between base station and the communication device continues as before by way of the network of communication nodes 1804 illustrated in FIG.", "18A.", "The difference is, however, that the base station no longer needs to utilize its own wireless resources to communicate with the communication device.", "In order to provide bidirectional communications between the base station and the communication device, by way of the network of communication nodes 1804, the communication node 1804 and/or the communication device can be instructed to utilize one or more frequency channels of one or more uplink spectral segments 1910 on the uplink illustrated in FIG.", "19A.", "Uplink instructions can be provided to the communication node 1804 and/or communication device at step 2016 as part of and/or subsequent to the registration process between the communication device and the communication node 1804 selected at step 2008.Accordingly, when the communication device has data it needs to transmit to the base station, it can wirelessly transmit second modulated signal(s) at the first native carrier frequency which can be received by the communication node 1804 at step 2024.The second modulated signal(s) can be included in one or more frequency channels of one or more uplink spectral segments 1910 specified in the instructions provided to the communication device and/or communication node at step 2016.To convey the second modulated signal(s) to the base station, the communication node 1804 can up-convert these signals at step 2026 from the first native carrier frequency (e.g., 1.9 GHz) to the second carrier frequency (e.g., 80 GHz).", "To enable upstream communication nodes and/or the base station to remove distortion, the second modulated signal(s) at the second carrier frequency can be transmitted at step 2028 by the communication node 1804 with one or more uplink pilot signals 1908.Once the base station receives the second modulated signal(s) at the second carrier frequency via communication node 1804A, it can down-convert these signals at step 2030 from the second carrier frequency to the first native carrier frequency to obtain data provided by the communication device at step 2032.Alternatively, the first communication node 1804A can perform the down-conversion of the second modulated signal(s) at the second carrier frequency to the first native carrier frequency and provide the resulting signals to the base station.", "The base station can then process the second modulated signal(s) at the first native carrier frequency to retrieve data provided by the communication device in a manner similar or identical to how the base station would have processed signals from the communication device had the base station been in direct wireless communications with the communication device.", "The foregoing steps method 2000 provide a way for a base station 1802 to make available wireless resources (e.g., sector antennas, spectrum) for fast moving communication devices and in some embodiments increase bandwidth utilization by redirecting slow moving communication devices to one or more communication nodes 1804 communicatively coupled to the base station 1802.For example, suppose a base station 1802 has ten (10) communication nodes 1804 that it can redirect mobile and/or stationary communication devices to.", "Further suppose that the 10 communication nodes 1804 have substantially non-overlapping communication ranges.", "Further suppose, the base station 1802 has set aside certain spectral segments (e.g., resource blocks 5, 7 and 9) during particular timeslots and at a particular carrier frequency, which it assigns to all 10 communication nodes 1804.During operations, the base station 1802 can be configured not to utilize resource blocks 5, 7 and 9 during the timeslot schedule and carrier frequency set aside for the communication nodes 1804 to avoid interference.", "As the base station 1802 detects slow moving or stationary communication devices, it can redirect the communication devices to different ones of the 10 communication nodes 1804 based on the location of the communication devices.", "When, for example, the base station 1802 redirects communications of a particular communication device to a particular communication node 1804, the base station 1802 can up-convert resource blocks 5, 7 and 9 during the assigned timeslots and at the carrier frequency to one or more spectral range(s) on the downlink (see FIG.", "19A) assigned to the communication node 1804 in question.", "The communication node 1804 in question can also be assigned to one or more frequency channels of one or more uplink spectral segments 1910 on the uplink which it can use to redirect communication signals provided by the communication device to the base station 1802.Such communication signals can be up-converted by the communication node 1804 according to the assigned uplink frequency channels in one or more corresponding uplink spectral segments 1910 and transmitted to the base station 1802 for processing.", "The downlink and uplink frequency channel assignments can be communicated by the base station 1802 to each communication node 1804 by way of a control channel as depicted in FIG.", "19A.", "The foregoing downlink and uplink assignment process can also be used for the other communication nodes 1804 for providing communication services to other communication devices redirected by the base station 1802 thereto.", "In this illustration, the reuse of resource blocks 5, 7 and 9 during a corresponding timeslot schedule and carrier frequency by the 10 communication nodes 1804 can effectively increase bandwidth utilization by the base station 1802 up to a factor of 10.Although the base station 1802 can no longer use resource blocks 5, 7 and 9 it set aside for the 10 communication nodes 1804 for wirelessly communicating with other communication devices, its ability to redirect communication devices to 10 different communication nodes 1804 reusing these resource blocks effectively increases the bandwidth capabilities of the base station 1802.Accordingly, method 2000 in certain embodiments can increase bandwidth utilization of a base station 1802 and make available resources of the base station 1802 for other communication devices.", "It will be appreciated that in some embodiments, the base station 1802 can be configured to reuse spectral segments assigned to communication nodes 1804 by selecting one or more sectors of an antenna system of the base station 1802 that point away from the communication nodes 1804 assigned to the same spectral segments.", "Accordingly, the base station 1802 can be configured in some embodiments to avoid reusing certain spectral segments assigned to certain communication nodes 1804 and in other embodiments reuse other spectral segments assigned to other communication nodes 1804 by selecting specific sectors of the antenna system of the base station 1802.Similar concepts can be applied to sectors of the antenna system 1824 employed by the communication nodes 1804.Certain reuse schemes can be employed between the base station 1802 and one or more communication nodes 1804 based on sectors utilized by the base station 1802 and/or the one or more communication nodes 1804.Method 2000 also enables the reuse of legacy systems when communication devices are redirected to one or more communication nodes.", "For example, the signaling protocol (e.g., LTE) utilized by the base station to wirelessly communicate with the communication device can be preserved in the communication signals exchanged between the base station and the communication nodes 1804.Accordingly, when assigning spectral segments to the communication nodes 1804, the exchange of modulated signals in these segments between the base station and the communication nodes 1804 can be the same signals that would have been used by the base station to perform direct wireless communications with the communication device.", "Thus, legacy base stations can be updated to perform the up and down-conversion process previously described, with the added feature of distortion mitigation, while all other functions performed in hardware and/or software for processing modulated signals at the first native carrier frequency can remain substantially unaltered.", "It should also be noted that, in further embodiments, channels from an original frequency band can be converted to another frequency band utilizing by the same protocol.", "For example, LTE channels in the 2.5 GHz band can be up-converted into a 80 GHZ band for transport and then down-converted as 5.8 GHz LTE channels if required for spectral diversity.", "It is further noted that method 2000 can be adapted without departing from the scope of the subject disclosure.", "For example, when the base station detects that a communication device has a trajectory that will result in a transition from the communication range of one communication node to another, the base station (or the communication nodes in question) can monitor such a trajectory by way of periodic GPS coordinates provided by the communication device, and accordingly coordinate a handoff of the communication device to the other communication node.", "Method 2000 can also be adapted so that when the communication device is near a point of transitioning from the communication range of one communication node to another, instructions can be transmitted by the base station (or the active communication node) to direct the communication device and/or the other communication node to utilize certain spectral segments and/or timeslots in the downlink and uplink channels to successfully transition communications without interrupting an existing communication session.", "It is further noted that method 2000 can also be adapted to coordinate a handoff of wireless communications between the communication device and a communication node 1804 back to the base station when the base station or the active communication node 1804 detects that the communication device will at some point transition outside of a communication range of the communication node and no other communication node is in a communication range of the communication device.", "Other adaptations of method 2000 are contemplated by the subject disclosure.", "It is further noted that when a carrier frequency of a downlink or uplink spectral segment is lower than a native frequency band of a modulated signal, a reverse process of frequency conversion would be required.", "That is, when transporting a modulated signal in a downlink or uplink spectral segment frequency down-conversion will be used instead of up-conversion.", "And when extracting a modulated signal in a downlink or uplink spectral segment frequency up-conversion will be used instead of down-conversion.", "Method 2000 can further be adapted to use the clock signal referred to above for synchronizing the processing of digital data in a control channel.", "Method 2000 can also be adapted to use a reference signal that is modulated by instructions in the control channel or a clock signal that is modulated by instructions in the control channel.", "Method 2000 can further be adapted to avoid tracking of movement of a communication device and instead direct multiple communication nodes 1804 to transmit the modulated signal of a particular communication device at its native frequency without knowledge of which communication node is in a communication range of the particular communication device.", "Similarly, each communication node can be instructed to receive modulated signals from the particular communication device and transport such signals in certain frequency channels of one or more uplink spectral segments 1910 without knowledge as to which communication node will receive modulated signals from the particular communication device.", "Such an implementation can help reduce the implementation complexity and cost of the communication nodes 1804.While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20A, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20B, a flow diagram of an example, non-limiting embodiment of a method 2035, is shown.", "Method 2035 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2036 includes receiving, by a system including circuitry, a first modulated signal in a first spectral segment directed to a mobile communication device, wherein the first modulated signal conforms to a signaling protocol.", "Step 2037 includes converting, by the system, the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on a signal processing of the first modulated signal and without modifying the signaling protocol of the first modulated signal, wherein the first carrier frequency is outside the first spectral segment.", "Step 2038 includes transmitting, by the system, a reference signal with the first modulated signal at the first carrier frequency to a network element of a distributed antenna system, the reference signal enabling the network element to reduce a phase error when reconverting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment for wireless distribution of the first modulated signal to the mobile communication device in the first spectral segment.", "In various embodiments, the signal processing does not require either analog to digital conversion or digital to analog conversion.", "The transmitting can comprise transmitting to the network element the first modulated signal at the first carrier frequency as a free space wireless signal.", "The first carrier frequency can be in a millimeter-wave frequency band.", "The first modulated signal can be generated by modulating signals in a plurality of frequency channels according to the signaling protocol to generate the first modulated signal in the first spectral segment.", "The signaling protocol can comprise a Long-Term Evolution (LTE) wireless protocol or a fifth generation cellular communications protocol.", "Converting by the system can comprise up-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency or down-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency.", "Converting by the network element can comprises down-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment or up-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "The method can further include receiving, by the system, a second modulated signal at a second carrier frequency from the network element, wherein the mobile communication device generates the second modulated signal in a second spectral segment, and wherein the network element converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency.", "The method can further include converting, by the system, the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment; and sending, by the system, the second modulated signal in the second spectral segment to a base station for processing.", "The second spectral segment can differ from the first spectral segment, and wherein the first carrier frequency can differ from the second carrier frequency.", "The system can be mounted to a first utility pole and the network element can be mounted to a second utility pole.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20B, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20C, a flow diagram of an example, non-limiting embodiment of a method 2040, is shown.", "Method 2035 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2041 include receiving, by a network element of a distributed antenna system, a reference signal and a first modulated signal at a first carrier frequency, the first modulated signal including first communications data provided by a base station and directed to a mobile communication device.", "Step 2042 includes converting, by the network element, the first modulated signal at the first carrier frequency to the first modulated signal in a first spectral segment based on a signal processing of the first modulated signal and utilizing the reference signal to reduce distortion during the converting.", "Step 2043 includes wirelessly transmitting, by the network element, the first modulated signal at the first spectral segment to the mobile communication device.", "In various embodiments the first modulated signal conforms to a signaling protocol, and the signal processing converts the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency without modifying the signaling protocol of the first modulated signal.", "The converting by the network element can include converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment without modifying the signaling protocol of the first modulated signal.", "The method can further include receiving, by the network element, a second modulated signal in a second spectral segment generated by the mobile communication device, converting, by the network element, the second modulated signal in the second spectral segment to the second modulated signal at a second carrier frequency; and transmitting, by the network element, to another network element of the distributed antenna system the second modulated signal at the second carrier frequency.", "The other network element of the distributed antenna system can receive the second modulated signal at the second carrier frequency, converts the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment, and provides the second modulated signal in the second spectral segment to the base station for processing.", "The second spectral segment can differs from the first spectral segment, and the first carrier frequency can differ from the second carrier frequency.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20C, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20D, a flow diagram of an example, non-limiting embodiment of a method 2045, is shown.", "Method 2045 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2046 includes receiving, by a system including circuitry, a first modulated signal in a first spectral segment directed to a mobile communication device, wherein the first modulated signal conforms to a signaling protocol.", "Step 2047 includes converting, by the system, the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on a signal processing of the first modulated signal and without modifying the signaling protocol of the first modulated signal, wherein the first carrier frequency is outside the first spectral segment.", "Step 2048 includes transmitting, by the system, instructions in a control channel to direct a network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "Step 2049 includes transmitting, by the system, a reference signal with the first modulated signal at the first carrier frequency to the network element of a distributed antenna system, the reference signal enabling the network element to reduce a phase error when reconverting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment for wireless distribution of the first modulated signal to the mobile communication device in the first spectral segment, wherein the reference signal is transmitted at an out of band frequency relative to the control channel.", "In various embodiments, the control channel is transmitted at a frequency adjacent to the first modulated signal at the first carrier frequency and/or at a frequency adjacent to the reference signal.", "The first carrier frequency can be in a millimeter-wave frequency band.", "The first modulated signal can be generated by modulating signals in a plurality of frequency channels according to the signaling protocol to generate the first modulated signal in the first spectral segment.", "The signaling protocol can comprise a Long-Term Evolution (LTE) wireless protocol or a fifth generation cellular communications protocol.", "The converting by the system can comprises up-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency or down-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency.", "The converting by the network element can comprise down-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment or up-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "The method can further include receiving, by the system, a second modulated signal at a second carrier frequency from the network element, wherein the mobile communication device generates the second modulated signal in a second spectral segment, and wherein the network element converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency.", "The method can further include converting, by the system, the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment; and sending, by the system, the second modulated signal in the second spectral segment to a base station for processing.", "The second spectral segment can differ from the first spectral segment, and wherein the first carrier frequency can differ from the second carrier frequency.", "The system can be mounted to a first utility pole and the network element can be mounted to a second utility pole.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20D, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20E, a flow diagram of an example, non-limiting embodiment of a method 2050, is shown.", "Method 2050 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2051 includes receiving, by a network element of a distributed antenna system, a reference signal, a control channel and a first modulated signal at a first carrier frequency, the first modulated signal including first communications data provided by a base station and directed to a mobile communication device, wherein instructions in the control channel direct the network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in a first spectral segment, wherein the reference signal is received at an out of band frequency relative to the control channel.", "Step 2052 includes converting, by the network element, the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment in accordance with the instructions and based on a signal processing of the first modulated signal and utilizing the reference signal to reduce distortion during the converting.", "Step 2053 includes wirelessly transmitting, by the network element, the first modulated signal at the first spectral segment to the mobile communication device.", "In various embodiments, the control channel can be received at a frequency adjacent to the first modulated signal at the first carrier frequency and/or adjacent to the reference signal.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20E, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20F, a flow diagram of an example, non-limiting embodiment of a method 2055, is shown.", "Method 2055 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2056 includes receiving, by a system including circuitry, a first modulated signal in a first spectral segment directed to a mobile communication device, wherein the first modulated signal conforms to a signaling protocol.", "Step 2057 includes converting, by the system, the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on a signal processing of the first modulated signal and without modifying the signaling protocol of the first modulated signal, wherein the first carrier frequency is outside the first spectral segment.", "Step 2058 includes transmitting, by the system, instructions in a control channel to direct a network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "Step 2059 includes transmitting, by the system, a reference signal with the first modulated signal at the first carrier frequency to the network element of a distributed antenna system, the reference signal enabling the network element to reduce a phase error when reconverting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment for wireless distribution of the first modulated signal to the mobile communication device in the first spectral segment, wherein the reference signal is transmitted at an in-band frequency relative to the control channel.", "In various embodiments, the instructions are transmitted via modulation of the reference signal.", "The instructions can be transmitted as digital data via an amplitude modulation of the reference signal.", "The first carrier frequency can be in a millimeter-wave frequency band.", "The first modulated signal can be generated by modulating signals in a plurality of frequency channels according to the signaling protocol to generate the first modulated signal in the first spectral segment.", "The signaling protocol can comprise a Long-Term Evolution (LTE) wireless protocol or a fifth generation cellular communications protocol.", "The converting by the system can comprises up-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency or down-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency.", "The converting by the network element can comprise down-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment or up-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "The method can further include receiving, by the system, a second modulated signal at a second carrier frequency from the network element, wherein the mobile communication device generates the second modulated signal in a second spectral segment, and wherein the network element converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency.", "The method can further include converting, by the system, the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment; and sending, by the system, the second modulated signal in the second spectral segment to a base station for processing.", "The second spectral segment can differ from the first spectral segment, and wherein the first carrier frequency can differ from the second carrier frequency.", "The system can be mounted to a first utility pole and the network element can be mounted to a second utility pole.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20F, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20G, a flow diagram of an example, non-limiting embodiment of a method 2060, is shown.", "Method 2060 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2061 includes receiving, by a network element of a distributed antenna system, a reference signal, a control channel and a first modulated signal at a first carrier frequency, the first modulated signal including first communications data provided by a base station and directed to a mobile communication device, wherein instructions in the control channel direct the network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in a first spectral segment, and wherein the reference signal is received at an in-band frequency relative to the control channel.", "Step 2062 includes converting, by the network element, the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment in accordance with the instructions and based on a signal processing of the first modulated signal and utilizing the reference signal to reduce distortion during the converting.", "Step 2063 includes wirelessly transmitting, by the network element, the first modulated signal at the first spectral segment to the mobile communication device.", "In various embodiments, the instructions are received via demodulation of the reference signal and/or as digital data via an amplitude demodulation of the reference signal.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20G, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20H, a flow diagram of an example, non-limiting embodiment of a method 2065, is shown.", "Method 2065 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2066 includes receiving, by a system including circuitry, a first modulated signal in a first spectral segment directed to a mobile communication device, wherein the first modulated signal conforms to a signaling protocol.", "Step 2067 includes converting, by the system, the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on a signal processing of the first modulated signal and without modifying the signaling protocol of the first modulated signal, wherein the first carrier frequency is outside the first spectral segment.", "Step 2068 includes transmitting, by the system, instructions in a control channel to direct a network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "Step 2069 includes transmitting, by the system, a clock signal with the first modulated signal at the first carrier frequency to the network element of a distributed antenna system, wherein the clock signal synchronizes timing of digital control channel processing of the network element to recover the instructions from the control channel.", "In various embodiments, the method further includes transmitting, by the system, a reference signal with the first modulated signal at the first carrier frequency to a network element of a distributed antenna system, the reference signal enabling the network element to reduce a phase error when reconverting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment for wireless distribution of the first modulated signal to the mobile communication device in the first spectral segment.", "The instructions can be transmitted as digital data via the control channel.", "In various embodiments, the first carrier frequency can be in a millimeter-wave frequency band.", "The first modulated signal can be generated by modulating signals in a plurality of frequency channels according to the signaling protocol to generate the first modulated signal in the first spectral segment.", "The signaling protocol can comprise a Long-Term Evolution (LTE) wireless protocol or a fifth generation cellular communications protocol.", "The converting by the system can comprises up-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency or down-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency.", "The converting by the network element can comprise down-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment or up-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "The method can further include receiving, by the system, a second modulated signal at a second carrier frequency from the network element, wherein the mobile communication device generates the second modulated signal in a second spectral segment, and wherein the network element converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency.", "The method can further include converting, by the system, the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment; and sending, by the system, the second modulated signal in the second spectral segment to a base station for processing.", "The second spectral segment can differ from the first spectral segment, and wherein the first carrier frequency can differ from the second carrier frequency.", "The system can be mounted to a first utility pole and the network element can be mounted to a second utility pole.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20H, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20I, a flow diagram of an example, non-limiting embodiment of a method 2070, is shown.", "Method 2070 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2071 includes receiving, by a network element of a distributed antenna system, a clock signal, a control channel and a first modulated signal at a first carrier frequency, the first modulated signal including first communications data provided by a base station and directed to a mobile communication device, wherein the clock signal synchronizes timing of digital control channel processing by the network element to recover instructions from the control channel, wherein the instructions in the control channel direct the network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in a first spectral segment.", "Step 2072 includes converting, by the network element, the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment in accordance with the instructions and based on a signal processing of the first modulated signal.", "Step 2073 includes wirelessly transmitting, by the network element, the first modulated signal at the first spectral segment to the mobile communication device.", "In various embodiments, the instructions are received as digital data via the control channel.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20I, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20J, a flow diagram of an example, non-limiting embodiment of a method 2075, is shown.", "Method 2075 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2076 includes receiving, by a system including circuitry, a first modulated signal in a first spectral segment directed to a mobile communication device, wherein the first modulated signal conforms to a signaling protocol.", "Step 2077 includes converting, by the system, the first modulated signal in the first spectral segment to the first modulated signal at a first carrier frequency based on a signal processing of the first modulated signal and without modifying the signaling protocol of the first modulated signal, wherein the first carrier frequency is outside the first spectral segment.", "Step 2078 includes transmitting, by the system, instructions in an ultra-wideband control channel to direct a network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "Step 2059 includes transmitting, by the system, a reference signal with the first modulated signal at the first carrier frequency to the network element of a distributed antenna system, the reference signal enabling the network element to reduce a phase error when reconverting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment for wireless distribution of the first modulated signal to the mobile communication device in the first spectral segment.", "In various embodiments, wherein the first reference signal is transmitted at an in-band frequency relative to the ultra-wideband control channel.", "The method can further include receiving, via the ultra-wideband control channel from the network element of a distributed antenna system, control channel data that includes include: status information that indicates network status of the network element, network device information that indicates device information of the network element or an environmental measurement indicating an environmental condition in proximity to the network element.", "The instructions can further include a channel spacing, a guard band parameter, an uplink/downlink allocation, or an uplink channel selection.", "The first modulated signal can be generated by modulating signals in a plurality of frequency channels according to the signaling protocol to generate the first modulated signal in the first spectral segment.", "The signaling protocol can comprise a Long-Term Evolution (LTE) wireless protocol or a fifth generation cellular communications protocol.", "The converting by the system can comprises up-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency or down-converting the first modulated signal in the first spectral segment to the first modulated signal at the first carrier frequency.", "The converting by the network element can comprise down-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment or up-converting the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment.", "The method can further include receiving, by the system, a second modulated signal at a second carrier frequency from the network element, wherein the mobile communication device generates the second modulated signal in a second spectral segment, and wherein the network element converts the second modulated signal in the second spectral segment to the second modulated signal at the second carrier frequency and transmits the second modulated signal at the second carrier frequency.", "The method can further include converting, by the system, the second modulated signal at the second carrier frequency to the second modulated signal in the second spectral segment; and sending, by the system, the second modulated signal in the second spectral segment to a base station for processing.", "The second spectral segment can differ from the first spectral segment, and wherein the first carrier frequency can differ from the second carrier frequency.", "The system can be mounted to a first utility pole and the network element can be mounted to a second utility pole.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20J, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "20K, a flow diagram of an example, non-limiting embodiment of a method 2080, is shown.", "Method 2080 can be used with one or more functions and features presented in conjunction with FIGS.", "1-19.Step 2081 includes receiving, by a network element of a distributed antenna system, a reference signal, an ultra-wideband control channel and a first modulated signal at a first carrier frequency, the first modulated signal including first communications data provided by a base station and directed to a mobile communication device, wherein instructions in the ultra-wideband control channel direct the network element of the distributed antenna system to convert the first modulated signal at the first carrier frequency to the first modulated signal in a first spectral segment, and wherein the reference signal is received at an in-band frequency relative to the control channel.", "Step 2082 includes converting, by the network element, the first modulated signal at the first carrier frequency to the first modulated signal in the first spectral segment in accordance with the instructions and based on a signal processing of the first modulated signal and utilizing the reference signal to reduce distortion during the converting.", "Step 2083 includes wirelessly transmitting, by the network element, the first modulated signal at the first spectral segment to the mobile communication device.", "In various embodiments, wherein the first reference signal is received at an in-band frequency relative to the ultra-wideband control channel.", "The method can further include transmitting, via the ultra-wideband control channel from the network element of a distributed antenna system, control channel data that includes include: status information that indicates network status of the network element, network device information that indicates device information of the network element or an environmental measurement indicating an environmental condition in proximity to the network element.", "The instructions can further include a channel spacing, a guard band parameter, an uplink/downlink allocation, or an uplink channel selection.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "20K, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Turning now to FIG.", "21A, a flow diagram of an example, non-limiting embodiment of a method 2100 in accordance with various aspects is shown.", "FIGS.", "21B and 21C depict a distributed antenna system with five antenna systems of the distributed antenna system illustrated by symbols N1 through N5.Each of the antenna systems of the distributed antenna system shown in FIGS.", "21B-21C can be implemented and can function in whole or in part according to any of the embodiments described in the subject disclosure (see FIGS.", "1 through 20K and corresponding descriptions).", "The first antenna system (N1) can be wirelessly coupled to a central communication node noted by the symbol (C), which can be coupled to a communication network (e.g., a backbone landline and/or cellular communications network, the Internet, or combinations thereof).", "The central communication node (C) can perform similar functions to communication node 1804A described earlier in relation to FIG.", "18A.", "Each of FIGS.", "21B and 21C illustrates the antenna systems of the distributed antenna system in sequential or linear order.", "Other network configurations, however, are possible (e.g., star topology, zig-zag topology, spoke and hub topology, architectures where antenna systems communicate with more than one antenna system at a time, and so on).", "Accordingly, the architecture shown in FIGS.", "21B and 21C is only for illustration purposes.", "Additionally, FIGS.", "21B and 21C also show multiple instances of the distributed antenna system.", "The second instance with an “X” shown on certain antenna systems and wireless communication links illustrates how method 2100 can be applied to mitigate an antenna system that has malfunctioned.", "With this in mind, we turn to step 2104 of method 2100, where a first antenna system (N1) of the distributed antenna system can be configured to receive a first wireless signal 2124 from a second antenna system (N2) of the distributed antenna system.", "Similarly, at step 2106 the first antenna system (N1) can be configured to receive a second wireless signal 2122 from a second antenna system (N2) of the distributed antenna system.", "Note the second wireless signal 2122 represents an extension of the wireless signal 2126 which originated from the third antenna system (N3) while in communications with the second antenna system (N2).", "At step 2108, the first antenna system can be configured to compare a performance measurement such as a signal strength (e.g., a receive signal strength indication or RSSI), signal to noise ratio, signal to noise and interference ratio, data error rate, packet loss rate, retransmission rate or other performance measurement of the first wireless signal 2124 and a performance measurement of the second wireless signal 2122.When the second antenna system (N2) and the third antenna system (N3) are operating properly, it is expected that the RSSI measurement or other performance measurement of the first wireless signal 2124 would exceed the performance measurement of the second wireless signal 2122, because the distance from the third antenna system (N3) to the first antenna system (N1) exceeds the distance from the second antenna system (N2) to the first antenna system (N1).", "Consequently, when the first antenna system (N1) detects at step 2108 that the performance measurement of the first wireless signal 2124 exceeds or otherwise compares favorably to the performance measurement of the second wireless signal 2122, the first antenna system (N1) proceeds to step 2102 wherein the first antenna system (N1) maintains communications with the second antenna system (N2) as depicted by the bidirectional communication link 2124.In other embodiments, the comparison at step 2108 can be performed according to thresholds.", "For example, at a given distance (or range of distances) between the first antenna system (N1) and the second antenna system (N2), a systems engineer can calculate an expected minimum RSSI measurement or other performance measurement for the first wireless signal 2124 generated by the second antenna system (N2).", "The expected minimum RSSI measurement or other performance measurement can be used as a threshold to determine if an actual RSSI measurement or other performance measurement of the first wireless signal 2124 generated by the second antenna system (N2) is at or above the expected minimum RSSI measurement for other performance measurement or the first wireless signal 2124.Similarly, at a given distance (or range of distances) between the first antenna system (N1) and the third antenna system (N3), a systems engineer can calculate an expected minimum RSSI measurement or other performance measurement for the second wireless signal 2122 generated by the third antenna system (N3).", "The expected minimum RSSI measurement or other performance measurement can be used as a threshold to determine if an actual RSSI measurement or other performance measurement of the second wireless signal 2122 generated by the third antenna system (N3) is at or above the expected minimum RSSI measurement or other performance measurement for the second wireless signal 2122.Since the third antenna system (N3) is farther away from the first antenna system (N1) than the second antenna system (N2), the expected minimum RSSI measurement or other performance measurement for the first wireless signal 2124 should exceed or otherwise compare favorably to the expected minimum RSSI measurement for the second wireless signal 2122 under normal operations.", "Referring back to step 2108, when the RSSI measurement or other performance measurement of the first wireless signal 2124 is either below the threshold (e.g., the expected minimum RSSI measurement or other performance measurement), or is below or otherwise compares unfavorably to the RSSI measurement or other performance measurement of the second wireless signal 2122, the first antenna system (N1) can be configured to detect a fault in the second antenna system (N2).", "Responsive to the detection, the first antenna system (N1) can be configured to proceed to step 2110 where the first antenna system (N1) adjusts a wireless transmission to bypass the second antenna system (N2) and direct the adjusted wireless transmission to the third antenna system (N3) for processing.", "In one embodiment, the adjustment performed by the first antenna system (N1) can correspond to an increase in a power level of the wireless transmission that was originally directed to the second antenna system (N2).", "The adjusted wireless transmission can thus have a higher power level that enables the third antenna system (N3) to receive signals reliably from the first antenna system (N1).", "In other embodiments, the first antenna system (N1) can be configured to change other operational parameters of the wireless transmission such as frequency and phase, lower the data rate, increase the error protection, etc.", "In yet other embodiments, the first antenna system (N1) can be configured to perform beam steering adjustments and/or beam width adjustments.", "It will be appreciated that the first antenna system (N1) can be configured to perform any of the foregoing adjustments to the wireless transmission to generate the adjusted wireless transmission.", "In a bidirectional communication system such as shown in FIG.", "21B, the third antenna system (N3) can be configured to perform the steps of method 2100 and thereby reciprocally perform the same adjustments to generate an adjusted wireless transmission directed to the first antenna system (N1) to bypass the second antenna system (N2).", "This transition is depicted by reference numbers 2122′, 2124′ and 2126′.", "Links 2124′ and 2126′ are dashed to represent unused wireless communication links with the second antenna system (N2), while link 2122′ is illustrated as a solid line to represent an active wireless communication link between the first and third antenna systems (N1, N3).", "The communication links 2130 and 2132 remain active since the fourth and fifth antenna systems (N4, N5) are operating properly.", "In certain embodiments, if either the first antenna system (N1) or the third antenna system (N3) performs an adjustment of wireless transmissions before the other in order to bypass the second antenna system (N2), the recipient antenna system (e.g., the third antenna system (N3)) receiving the adjusted wireless transmission can be configured to extract a message included in the adjusted wireless transmission that indicates that a fault has been detected in the second antenna system (N2).", "In this embodiment, the recipient antenna system (e.g., the third antenna system (N3)) receiving the adjusted wireless transmission can transition to step 2110 without performing the threshold or performance comparisons referred to earlier at step 2108.Method 2100 enables antenna systems in a distributed antenna system to detect faults in an intermediate antenna system node, and thereby undertake mitigation steps to bypass the intermediate antenna system node until the detected fault is corrected by field personnel, remote diagnostics, or combinations thereof.", "To restore operations after a fault has been corrected, the first and second antenna systems (N1, N3) that performed the bypass at step 2110, can periodically monitor RSSI measurements or other performance measurements from the second antenna system (N2) that experienced the fault.", "If, for example, the RSSI measurements or other performance measurements of the second antenna system (N2) increases above or otherwise compare favorably first and second RSSI thresholds used by the first and second antenna systems, then either or both of the antenna systems (N1, N3) can turn to performing reliability testing with the second antenna system (N2) to determine its viability.", "Reliability testing can be performed by the first and second antenna systems (N1, N3) by transmitting testing signals, test packets, performing bit error rate testing, packet error rate testing, jitter testing, latency testing, and/or testing of other performance measurements, and so on.", "The first and second antenna systems (N1, N3) can maintain communications with each other during the testing period.", "Once either or both of the first and third antenna systems (N1, N3) determines that the second antenna system (N2) is functioning properly, the first and second antenna systems (N1, N3) can be configured to exchange messages to identify a transition strategy for restoring communications with the second antenna system (N2).", "The transition strategy can comprise a handoff of communications to the second antenna system (N2) by restoring the power level (and/or other operational parameters) of the wireless transmissions originally directed to the second antenna system (N2).", "Method 2100 can also be used when more than one intermediate antenna system experiences an operational fault as illustrated in FIG.", "21C.", "In this illustration the second and third antenna systems (N2, N3) experience a fault.", "The first antenna system (N1) can determine that the second antenna system (N2) is experiencing a fault when the RSSI measurement of the second antenna system (N2) falls below a first RSSI threshold used to analyze the second antenna system (N2).", "In one embodiment, the first antenna system (N1) can also determine that the third antenna system (N3) is experiencing a fault when the RSSI measurement of the third antenna system (N3) falls below second RSSI threshold used to analyze the third antenna system (N3).", "Under these circumstances, the first antenna system (N1) can adjust its wireless transmissions and generate adjusted wireless transmissions 2134′ that enable the fourth antenna system (N4) to communicate with the first antenna system (N1).", "Upon receiving the adjusted wireless transmissions of the first antenna system (N1) with a message indicating that the second and third antenna systems (N2, N3) are experiencing a fault, the fourth antenna system (N4) can adjust its wireless transmissions to enable communications with the first antenna system (N1).", "Alternatively, the fourth antenna system (N4) can perform similar operations to those described for the first antenna system (N1) and adjust its wireless transmissions thereafter.", "As noted earlier, method 2100 can be used in whole or in part in combination with any of the embodiments of the subject disclosure.", "It is further noted that the wireless signals exchanged between the antenna systems can include modulated signals that have been frequency-shifted from one or more cellular bands (and/or native bands) to a certain carrier frequency without modifying the signaling protocol(s) used to generate the modulated signals.", "It is further noted that the frequency-shifting can in some instances correspond to frequency up-conversion, and in other instances to frequency down-conversion.", "Method 2100 can also be adapted for a distributed antenna systems having a spanning tree architecture such as shown in FIG.", "21D.", "In the illustration of FIG.", "21D, the central communication node (C1) is shared with spanning trees originating from antenna systems N1 and N4.In an illustrative embodiment, for example, the central communication node (C1) can communicate with central communication node (C2) via antenna systems N1, N2, and N3.In another embodiment, the central communication node (C1) can communicate with central communication node (C3) via antenna systems N4, N5, and N6.To mitigate a communication fault in the distributed antenna system of FIG.", "21D, antenna systems N1 and N4 and antenna systems N2 and N5 can be communicatively coupled to each other.", "When applying method 2100 to the architecture of FIG.", "21D, the central communication node (C1) can be configured to receive a first wireless signal 2142 from the antenna system (N1) and a second wireless signal 2148 from the antenna system (N4) at steps 2104 and 2106, respectively.", "In one embodiment, the central communication node (C1) can be configured to compare an RSSI measurement or other performance measurement of the first wireless signal generated by the antenna system (N1) to an expected RSSI or other performance threshold as previously described, and detect at step 2108 a fault in antenna system (N1) if the RSSI measurement or other performance measurement is below or otherwise compares unfavorably to the expected RSSI or other performance threshold.", "Alternatively, or in combination with RSSI comparisons, the central communication node (C1) can be configured to analyze the first wireless signal 2142 and detect an operational fault in the antenna system (N1) by comparing other performance measurement and thresholds.", "This comparison can include comparing an operational characteristic of the first wireless signal 2142 to an operational criterion associated with the antenna system (N1) generating the first wireless signal 2142.The operational criterion can be provided by, for example, a service provider of the distributed antenna system.", "The operational characteristic can comprise a signaling characteristic (e.g., phase, frequency, modulation, signal to noise ratio, signal to noise and interference ratio), a data characteristic (e.g., bit error rate, packet error rate, retransmission rate), timing characteristics (e.g., latency, jitter), or any combinations thereof.", "The criterion can represent one or more expected signaling characteristics, one or more expected data characteristics, one or more expected timing characteristics, or any combinations thereof.", "If a fault is detected in antenna system (N1), the central communication node (C1) can be configured to compare an RSSI measurement of the second wireless signal 2148 generated by the antenna system (N4) to another expected RSSI threshold to determine the viability to use the antenna system (N4) as a means to bypass the antenna system (N1).", "Alternatively, or in combination with RSSI comparisons, the central communication node (C1) can be configured to analyze the second wireless signal 2148 by comparing an operational characteristic of the second wireless signal 2148 to an operational criterion associated with the antenna system (N4) generating the second wireless signal 2148.The operational criterion can also be provided by the service provider of the distributed antenna system.", "If the antenna system (N4) is confirmed to be operational based on the analysis of the second wireless signal 2148, the central communication node (C1) can be configured at step 2110 to redirect wireless transmissions from the antenna system (N1) to the antenna system (N4) to mitigate the detected operational fault in antenna system (N1).", "The second instance of the distributed antenna system of FIG.", "21D illustrates an operational fault in the antenna system (N1) depicted by an “X” superimposed on antenna system (N1) and “X's” superimposed on wireless communication links 2142′, 2144′, and 2150′.", "In this illustration, the central communication node (C1) can be configured to mitigate a malfunction of antenna system (N1) by redirecting at step 2110 wireless transmissions to antenna system (N4), depicted by the solid wireless communication link 2148′.", "The central communication node (C1) can be configured to send a message to antenna system (N5) instructing it to redirect at least a portion of data and/or voice traffic included in wireless communication link 2148′ to antenna system (N2) via an alternate wireless communication link 2132′.", "In this manner, the central communication node (C1) can bypass antenna system (N1) and redirect voice and/or data traffic to antenna systems N2, N3, and/or central communication node (C2).", "Other wireless communication links 2152, 2154, 2156, 2145, and 2146 of separate spanning trees can remain in operation unaffected by the bypass technique used in method 2100.The central communication node (C1) can also be configured to monitor the affected antenna system (N1).", "For example, the central communication node (C1) can be configured to exchange test messages with the antenna system (N1) and analyze the first wireless signal 2142 generated by the antenna system (N1) to determine if the operational fault has been corrected.", "This determination can be made by using any number of performance thresholds including an RSSI threshold, one or more expected signaling characteristics, one or more expected data characteristics, one or more expected timing characteristics, or any combinations thereof as described earlier.", "When the central communication node (C1) determines that an operational fault has been mitigated, the central communication node (C1) can be configured to notify the antenna system (N4) that wireless traffic will be redirected back to the antenna system (N1).", "The antenna system (N4) or the central communication node (C1) can also notify antenna system (N5) that redirection of traffic to antenna system (N2) via wireless link 2132′ can be discontinued.", "The foregoing mitigation embodiments of method 2100 can be applied to bidirectional traffic, and to any antenna system or central communication node in the illustration of FIG.", "21D.", "Additionally, the foregoing mitigation technique can be applied to any number of spanning trees communicatively coupled of a distributed antenna system that comprise a series of antenna systems communicatively coupled sequentially that represent a path of the spanning tree.", "It is further noted that spanning trees of FIG.", "21D are illustrative.", "Accordingly, method 2100 can be applied to other spanning tree configurations.", "While for purposes of simplicity of explanation, the respective processes are shown and described as a series of blocks in FIG.", "21A, it is to be understood and appreciated that the claimed subject matter is not limited by the order of the blocks, as some blocks may occur in different orders and/or concurrently with other blocks from what is depicted and described herein.", "Moreover, not all illustrated blocks may be required to implement the methods described herein.", "Referring now to FIG.", "22, there is illustrated a block diagram of a computing environment in accordance with various aspects described herein.", "In order to provide additional context for various embodiments of the embodiments described herein, FIG.", "22 and the following discussion are intended to provide a brief, general description of a suitable computing environment 2200 in which the various embodiments of the subject disclosure can be implemented.", "While the embodiments have been described above in the general context of computer-executable instructions that can run on one or more computers, those skilled in the art will recognize that the embodiments can be also implemented in combination with other program modules and/or as a combination of hardware and software.", "Generally, program modules comprise routines, programs, components, data structures, etc., that perform particular tasks or implement particular abstract data types.", "Moreover, those skilled in the art will appreciate that the inventive methods can be practiced with other computer system configurations, comprising single-processor or multiprocessor computer systems, minicomputers, mainframe computers, as well as personal computers, hand-held computing devices, microprocessor-based or programmable consumer electronics, and the like, each of which can be operatively coupled to one or more associated devices.", "As used herein, a processing circuit includes processor as well as other application specific circuits such as an application specific integrated circuit, digital logic circuit, state machine, programmable gate array or other circuit that processes input signals or data and that produces output signals or data in response thereto.", "It should be noted that while any functions and features described herein in association with the operation of a processor could likewise be performed by a processing circuit.", "The terms “first,” “second,” “third,” and so forth, as used in the claims, unless otherwise clear by context, is for clarity only and doesn't otherwise indicate or imply any order in time.", "For instance, “a first determination,” “a second determination,” and “a third determination,” does not indicate or imply that the first determination is to be made before the second determination, or vice versa, etc.", "The illustrated embodiments of the embodiments herein can be also practiced in distributed computing environments where certain tasks are performed by remote processing devices that are linked through a communications network.", "In a distributed computing environment, program modules can be located in both local and remote memory storage devices.", "Computing devices typically comprise a variety of media, which can comprise computer-readable storage media and/or communications media, which two terms are used herein differently from one another as follows.", "Computer-readable storage media can be any available storage media that can be accessed by the computer and comprises both volatile and nonvolatile media, removable and non-removable media.", "By way of example, and not limitation, computer-readable storage media can be implemented in connection with any method or technology for storage of information such as computer-readable instructions, program modules, structured data or unstructured data.", "Computer-readable storage media can comprise, but are not limited to, random access memory (RAM), read only memory (ROM), electrically erasable programmable read only memory (EEPROM),flash memory or other memory technology, compact disk read only memory (CD-ROM), digital versatile disk (DVD) or other optical disk storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices or other tangible and/or non-transitory media which can be used to store desired information.", "In this regard, the terms “tangible” or “non-transitory” herein as applied to storage, memory or computer-readable media, are to be understood to exclude only propagating transitory signals per se as modifiers and do not relinquish rights to all standard storage, memory or computer-readable media that are not only propagating transitory signals per se.", "Computer-readable storage media can be accessed by one or more local or remote computing devices, e.g., via access requests, queries or other data retrieval protocols, for a variety of operations with respect to the information stored by the medium.", "Communications media typically embody computer-readable instructions, data structures, program modules or other structured or unstructured data in a data signal such as a modulated data signal, e.g., a carrier wave or other transport mechanism, and comprises any information delivery or transport media.", "The term “modulated data signal” or signals refers to a signal that has one or more of its characteristics set or changed in such a manner as to encode information in one or more signals.", "By way of example, and not limitation, communication media comprise wired media, such as a wired network or direct-wired connection, and wireless media such as acoustic, RF, infrared and other wireless media.", "With reference again to FIG.", "22, the example environment 2200 for transmitting and receiving signals via or forming at least part of a base station (e.g., base station devices 1504, macrocell site 1502, or base stations 1614) or central office (e.g., central office 1501 or 1611).", "At least a portion of the example environment 2200 can also be used for transmission devices 101 or 102.The example environment can comprise a computer 2202, the computer 2202 comprising a processing unit 2204, a system memory 2206 and a system bus 2208.The system bus 2208 couples system components including, but not limited to, the system memory 2206 to the processing unit 2204.The processing unit 2204 can be any of various commercially available processors.", "Dual microprocessors and other multiprocessor architectures can also be employed as the processing unit 2204.The system bus 2208 can be any of several types of bus structure that can further interconnect to a memory bus (with or without a memory controller), a peripheral bus, and a local bus using any of a variety of commercially available bus architectures.", "The system memory 2206 comprises ROM 2210 and RAM 2212.A basic input/output system (BIOS) can be stored in a non-volatile memory such as ROM, erasable programmable read only memory (EPROM), EEPROM, which BIOS contains the basic routines that help to transfer information between elements within the computer 2202, such as during startup.", "The RAM 2212 can also comprise a high-speed RAM such as static RAM for caching data.", "The computer 2202 further comprises an internal hard disk drive (HDD) 2214 (e.g., EIDE, SATA), which internal hard disk drive 2214 can also be configured for external use in a suitable chassis (not shown), a magnetic floppy disk drive (FDD) 2216, (e.g., to read from or write to a removable diskette 2218) and an optical disk drive 2220, (e.g., reading a CD-ROM disk 2222 or, to read from or write to other high capacity optical media such as the DVD).", "The hard disk drive 2214, magnetic disk drive 2216 and optical disk drive 2220 can be connected to the system bus 2208 by a hard disk drive interface 2224, a magnetic disk drive interface 2226 and an optical drive interface 2228, respectively.", "The interface 2224 for external drive implementations comprises at least one or both of Universal Serial Bus (USB) and Institute of Electrical and Electronics Engineers (IEEE) 1394 interface technologies.", "Other external drive connection technologies are within contemplation of the embodiments described herein.", "The drives and their associated computer-readable storage media provide nonvolatile storage of data, data structures, computer-executable instructions, and so forth.", "For the computer 2202, the drives and storage media accommodate the storage of any data in a suitable digital format.", "Although the description of computer-readable storage media above refers to a hard disk drive (HDD), a removable magnetic diskette, and a removable optical media such as a CD or DVD, it should be appreciated by those skilled in the art that other types of storage media which are readable by a computer, such as zip drives, magnetic cassettes, flash memory cards, cartridges, and the like, can also be used in the example operating environment, and further, that any such storage media can contain computer-executable instructions for performing the methods described herein.", "A number of program modules can be stored in the drives and RAM 2212, comprising an operating system 2230, one or more application programs 2232, other program modules 2234 and program data 2236.All or portions of the operating system, applications, modules, and/or data can also be cached in the RAM 2212.The systems and methods described herein can be implemented utilizing various commercially available operating systems or combinations of operating systems.", "Examples of application programs 2232 that can be implemented and otherwise executed by processing unit 2204 include the diversity selection determining performed by transmission device 101 or 102.A user can enter commands and information into the computer 2202 through one or more wired/wireless input devices, e.g., a keyboard 2238 and a pointing device, such as a mouse 2240.Other input devices (not shown) can comprise a microphone, an infrared (IR) remote control, a joystick, a game pad, a stylus pen, touch screen or the like.", "These and other input devices are often connected to the processing unit 2204 through an input device interface 2242 that can be coupled to the system bus 2208, but can be connected by other interfaces, such as a parallel port, an IEEE 1394 serial port, a game port, a universal serial bus (USB) port, an IR interface, etc.", "A monitor 2244 or other type of display device can be also connected to the system bus 2208 via an interface, such as a video adapter 2246.It will also be appreciated that in alternative embodiments, a monitor 2244 can also be any display device (e.g., another computer having a display, a smart phone, a tablet computer, etc.)", "for receiving display information associated with computer 2202 via any communication means, including via the Internet and cloud-based networks.", "In addition to the monitor 2244, a computer typically comprises other peripheral output devices (not shown), such as speakers, printers, etc.", "The computer 2202 can operate in a networked environment using logical connections via wired and/or wireless communications to one or more remote computers, such as a remote computer(s) 2248.The remote computer(s) 2248 can be a workstation, a server computer, a router, a personal computer, portable computer, microprocessor-based entertainment appliance, a peer device or other common network node, and typically comprises many or all of the elements described relative to the computer 2202, although, for purposes of brevity, only a memory/storage device 2250 is illustrated.", "The logical connections depicted comprise wired/wireless connectivity to a local area network (LAN) 2252 and/or larger networks, e.g., a wide area network (WAN) 2254.Such LAN and WAN networking environments are commonplace in offices and companies, and facilitate enterprise-wide computer networks, such as intranets, all of which can connect to a global communications network, e.g., the Internet.", "When used in a LAN networking environment, the computer 2202 can be connected to the local network 2252 through a wired and/or wireless communication network interface or adapter 2256.The adapter 2256 can facilitate wired or wireless communication to the LAN 2252, which can also comprise a wireless AP disposed thereon for communicating with the wireless adapter 2256.When used in a WAN networking environment, the computer 2202 can comprise a modem 2258 or can be connected to a communications server on the WAN 2254 or has other means for establishing communications over the WAN 2254, such as by way of the Internet.", "The modem 2258, which can be internal or external and a wired or wireless device, can be connected to the system bus 2208 via the input device interface 2242.In a networked environment, program modules depicted relative to the computer 2202 or portions thereof, can be stored in the remote memory/storage device 2250.It will be appreciated that the network connections shown are example and other means of establishing a communications link between the computers can be used.", "The computer 2202 can be operable to communicate with any wireless devices or entities operatively disposed in wireless communication, e.g., a printer, scanner, desktop and/or portable computer, portable data assistant, communications satellite, any piece of equipment or location associated with a wirelessly detectable tag (e.g., a kiosk, news stand, restroom), and telephone.", "This can comprise Wireless Fidelity (Wi-Fi) and BLUETOOTH® wireless technologies.", "Thus, the communication can be a predefined structure as with a conventional network or simply an ad hoc communication between at least two devices.", "Wi-Fi can allow connection to the Internet from a couch at home, a bed in a hotel room or a conference room at work, without wires.", "Wi-Fi is a wireless technology similar to that used in a cell phone that enables such devices, e.g., computers, to send and receive data indoors and out; anywhere within the range of a base station.", "Wi-Fi networks use radio technologies called IEEE 802.11 (a, b, g, n, ac, ag etc.)", "to provide secure, reliable, fast wireless connectivity.", "A Wi-Fi network can be used to connect computers to each other, to the Internet, and to wired networks (which can use IEEE 802.3 or Ethernet).", "Wi-Fi networks operate in the unlicensed 2.4 and 5 GHz radio bands for example or with products that contain both bands (dual band), so the networks can provide real-world performance similar to the basic 10BaseT wired Ethernet networks used in many offices.", "FIG.", "23 presents an example embodiment 2300 of a mobile network platform 2310 that can implement and exploit one or more aspects of the disclosed subject matter described herein.", "In one or more embodiments, the mobile network platform 2310 can generate and receive signals transmitted and received by base stations (e.g., base station devices 1504, macrocell site 1502, or base stations 1614), central office (e.g., central office 1501 or 1611),or transmission device 101 or 102 associated with the disclosed subject matter.", "Generally, wireless network platform 2310 can comprise components, e.g., nodes, gateways, interfaces, servers, or disparate platforms, that facilitate both packet-switched (PS) (e.g., internet protocol (IP), frame relay, asynchronous transfer mode (ATM)) and circuit-switched (CS) traffic (e.g., voice and data), as well as control generation for networked wireless telecommunication.", "As a non-limiting example, wireless network platform 2310 can be included in telecommunications carrier networks, and can be considered carrier-side components as discussed elsewhere herein.", "Mobile network platform 2310 comprises CS gateway node(s) 2322 which can interface CS traffic received from legacy networks like telephony network(s) 2340 (e.g., public switched telephone network (PSTN), or public land mobile network (PLMN)) or a signaling system #7 (SS7) network 2370.Circuit switched gateway node(s) 2322 can authorize and authenticate traffic (e.g., voice) arising from such networks.", "Additionally, CS gateway node(s) 2322 can access mobility, or roaming, data generated through SS7 network 2370; for instance, mobility data stored in a visited location register (VLR), which can reside in memory 2330.Moreover, CS gateway node(s) 2322 interfaces CS-based traffic and signaling and PS gateway node(s) 2318.As an example, in a 3GPP UMTS network, CS gateway node(s) 2322 can be realized at least in part in gateway GPRS support node(s) (GGSN).", "It should be appreciated that functionality and specific operation of CS gateway node(s) 2322, PS gateway node(s) 2318, and serving node(s) 2316, is provided and dictated by radio technology(ies) utilized by mobile network platform 2310 for telecommunication.", "In addition to receiving and processing CS-switched traffic and signaling, PS gateway node(s) 2318 can authorize and authenticate PS-based data sessions with served mobile devices.", "Data sessions can comprise traffic, or content(s), exchanged with networks external to the wireless network platform 2310, like wide area network(s) (WANs) 2350, enterprise network(s) 2370, and service network(s) 2380, which can be embodied in local area network(s) (LANs), can also be interfaced with mobile network platform 2310 through PS gateway node(s) 2318.It is to be noted that WANs 2350 and enterprise network(s) 2360 can embody, at least in part, a service network(s) like IP multimedia subsystem (IMS).", "Based on radio technology layer(s) available in technology resource(s) 2317, packet-switched gateway node(s) 2318 can generate packet data protocol contexts when a data session is established; other data structures that facilitate routing of packetized data also can be generated.", "To that end, in an aspect, PS gateway node(s) 2318 can comprise a tunnel interface (e.g., tunnel termination gateway (TTG) in 3GPP UMTS network(s) (not shown)) which can facilitate packetized communication with disparate wireless network(s), such as Wi-Fi networks.", "In embodiment 2300, wireless network platform 2310 also comprises serving node(s) 2316 that, based upon available radio technology layer(s) within technology resource(s) 2317, convey the various packetized flows of data streams received through PS gateway node(s) 2318.It is to be noted that for technology resource(s) 2317 that rely primarily on CS communication, server node(s) can deliver traffic without reliance on PS gateway node(s) 2318; for example, server node(s) can embody at least in part a mobile switching center.", "As an example, in a 3GPP UMTS network, serving node(s) 2316 can be embodied in serving GPRS support node(s) (SGSN).", "For radio technologies that exploit packetized communication, server(s) 2314 in wireless network platform 2310 can execute numerous applications that can generate multiple disparate packetized data streams or flows, and manage (e.g., schedule, queue, format .", ".", ". )", "such flows.", "Such application(s) can comprise add-on features to standard services (for example, provisioning, billing, customer support .", ".", ". )", "provided by wireless network platform 2310.Data streams (e.g., content(s) that are part of a voice call or data session) can be conveyed to PS gateway node(s) 2318 for authorization/authentication and initiation of a data session, and to serving node(s) 2316 for communication thereafter.", "In addition to application server, server(s) 2314 can comprise utility server(s), a utility server can comprise a provisioning server, an operations and maintenance server, a security server that can implement at least in part a certificate authority and firewalls as well as other security mechanisms, and the like.", "In an aspect, security server(s) secure communication served through wireless network platform 2310 to ensure network's operation and data integrity in addition to authorization and authentication procedures that CS gateway node(s) 2322 and PS gateway node(s) 2318 can enact.", "Moreover, provisioning server(s) can provision services from external network(s) like networks operated by a disparate service provider; for instance, WAN 2350 or Global Positioning System (GPS) network(s) (not shown).", "Provisioning server(s) can also provision coverage through networks associated to wireless network platform 2310 (e.g., deployed and operated by the same service provider), such as the distributed antennas networks shown in FIG.", "1(s) that enhance wireless service coverage by providing more network coverage.", "Repeater devices such as those shown in FIGS.", "7, 8, and 9 also improve network coverage in order to enhance subscriber service experience by way of UE 2375.It is to be noted that server(s) 2314 can comprise one or more processors configured to confer at least in part the functionality of macro network platform 2310.To that end, the one or more processor can execute code instructions stored in memory 2330, for example.", "It is should be appreciated that server(s) 2314 can comprise a content manager 2315, which operates in substantially the same manner as described hereinbefore.", "In example embodiment 2300, memory 2330 can store information related to operation of wireless network platform 2310.Other operational information can comprise provisioning information of mobile devices served through wireless platform network 2310, subscriber databases; application intelligence, pricing schemes, e.g., promotional rates, flat-rate programs, couponing campaigns; technical specification(s) consistent with telecommunication protocols for operation of disparate radio, or wireless, technology layers; and so forth.", "Memory 2330 can also store information from at least one of telephony network(s) 2340, WAN 2350, enterprise network(s) 2370, or SS7 network 2360.In an aspect, memory 2330 can be, for example, accessed as part of a data store component or as a remotely connected memory store.", "In order to provide a context for the various aspects of the disclosed subject matter, FIG.", "23, and the following discussion, are intended to provide a brief, general description of a suitable environment in which the various aspects of the disclosed subject matter can be implemented.", "While the subject matter has been described above in the general context of computer-executable instructions of a computer program that runs on a computer and/or computers, those skilled in the art will recognize that the disclosed subject matter also can be implemented in combination with other program modules.", "Generally, program modules comprise routines, programs, components, data structures, etc.", "that perform particular tasks and/or implement particular abstract data types.", "FIG.", "24 depicts an illustrative embodiment of a communication device 2400.The communication device 2400 can serve as an illustrative embodiment of devices such as mobile devices and in-building devices referred to by the subject disclosure (e.g., in FIGS.", "15, 16A and 16B).", "The communication device 2400 can comprise a wireline and/or wireless transceiver 2402 (herein transceiver 2402), a user interface (UI) 2404, a power supply 2414, a location receiver 2416, a motion sensor 2418, an orientation sensor 2420, and a controller 2406 for managing operations thereof.", "The transceiver 2402 can support short-range or long-range wireless access technologies such as Bluetooth®, ZigBee®, Wi-Fi, DECT, or cellular communication technologies, just to mention a few (Bluetooth® and ZigBee® are trademarks registered by the Bluetooth® Special Interest Group and the ZigBee® Alliance, respectively).", "Cellular technologies can include, for example, CDMA-1X, UMTS/HSDPA, GSM/GPRS, TDMA/EDGE, EV/DO, WiMAX, SDR, LTE, as well as other next generation wireless communication technologies as they arise.", "The transceiver 2402 can also be adapted to support circuit-switched wireline access technologies (such as PSTN), packet-switched wireline access technologies (such as TCP/IP, VoIP, etc.", "), and combinations thereof.", "The UI 2404 can include a depressible or touch-sensitive keypad 2408 with a navigation mechanism such as a roller ball, a joystick, a mouse, or a navigation disk for manipulating operations of the communication device 2400.The keypad 2408 can be an integral part of a housing assembly of the communication device 2400 or an independent device operably coupled thereto by a tethered wireline interface (such as a USB cable) or a wireless interface supporting for example Bluetooth®.", "The keypad 2408 can represent a numeric keypad commonly used by phones, and/or a QWERTY keypad with alphanumeric keys.", "The UI 2404 can further include a display 2410 such as monochrome or color LCD (Liquid Crystal Display), OLED (Organic Light Emitting Diode) or other suitable display technology for conveying images to an end user of the communication device 2400.In an embodiment where the display 2410 is touch-sensitive, a portion or all of the keypad 2408 can be presented by way of the display 2410 with navigation features.", "The display 2410 can use touch screen technology to also serve as a user interface for detecting user input.", "As a touch screen display, the communication device 2400 can be adapted to present a user interface having graphical user interface (GUI) elements that can be selected by a user with a touch of a finger.", "The touch screen display 2410 can be equipped with capacitive, resistive or other forms of sensing technology to detect how much surface area of a user's finger has been placed on a portion of the touch screen display.", "This sensing information can be used to control the manipulation of the GUI elements or other functions of the user interface.", "The display 2410 can be an integral part of the housing assembly of the communication device 2400 or an independent device communicatively coupled thereto by a tethered wireline interface (such as a cable) or a wireless interface.", "The UI 2404 can also include an audio system 2412 that utilizes audio technology for conveying low volume audio (such as audio heard in proximity of a human ear) and high volume audio (such as speakerphone for hands free operation).", "The audio system 2412 can further include a microphone for receiving audible signals of an end user.", "The audio system 2412 can also be used for voice recognition applications.", "The UI 2404 can further include an image sensor 2413 such as a charged coupled device (CCD) camera for capturing still or moving images.", "The power supply 2414 can utilize common power management technologies such as replaceable and rechargeable batteries, supply regulation technologies, and/or charging system technologies for supplying energy to the components of the communication device 2400 to facilitate long-range or short-range portable communications.", "Alternatively, or in combination, the charging system can utilize external power sources such as DC power supplied over a physical interface such as a USB port or other suitable tethering technologies.", "The location receiver 2416 can utilize location technology such as a global positioning system (GPS) receiver capable of assisted GPS for identifying a location of the communication device 2400 based on signals generated by a constellation of GPS satellites, which can be used for facilitating location services such as navigation.", "The motion sensor 2418 can utilize motion sensing technology such as an accelerometer, a gyroscope, or other suitable motion sensing technology to detect motion of the communication device 2400 in three-dimensional space.", "The orientation sensor 2420 can utilize orientation sensing technology such as a magnetometer to detect the orientation of the communication device 2400 (north, south, west, and east, as well as combined orientations in degrees, minutes, or other suitable orientation metrics).", "The communication device 2400 can use the transceiver 2402 to also determine a proximity to a cellular, Wi-Fi, Bluetooth®, or other wireless access points by sensing techniques such as utilizing a received signal strength indicator (RSSI) and/or signal time of arrival (TOA) or time of flight (TOF) measurements.", "The controller 2406 can utilize computing technologies such as a microprocessor, a digital signal processor (DSP), programmable gate arrays, application specific integrated circuits, and/or a video processor with associated storage memory such as Flash, ROM, RAM, SRAM, DRAM or other storage technologies for executing computer instructions, controlling, and processing data supplied by the aforementioned components of the communication device 2400.Other components not shown in FIG.", "24 can be used in one or more embodiments of the subject disclosure.", "For instance, the communication device 2400 can include a slot for adding or removing an identity module such as a Subscriber Identity Module (SIM) card or Universal Integrated Circuit Card (UICC).", "SIM or UICC cards can be used for identifying subscriber services, executing programs, storing subscriber data, and so on.", "In the subject specification, terms such as “store,” “storage,” “data store,” data storage,” “database,” and substantially any other information storage component relevant to operation and functionality of a component, refer to “memory components,” or entities embodied in a “memory” or components comprising the memory.", "It will be appreciated that the memory components described herein can be either volatile memory or nonvolatile memory, or can comprise both volatile and nonvolatile memory, by way of illustration, and not limitation, volatile memory, non-volatile memory, disk storage, and memory storage.", "Further, nonvolatile memory can be included in read only memory (ROM), programmable ROM (PROM), electrically programmable ROM (EPROM), electrically erasable ROM (EEPROM), or flash memory.", "Volatile memory can comprise random access memory (RAM), which acts as external cache memory.", "By way of illustration and not limitation, RAM is available in many forms such as synchronous RAM (SRAM), dynamic RAM (DRAM), synchronous DRAM (SDRAM), double data rate SDRAM (DDR SDRAM), enhanced SDRAM (ESDRAM), Synchlink DRAM (SLDRAM), and direct Rambus RAM (DRRAM).", "Additionally, the disclosed memory components of systems or methods herein are intended to comprise, without being limited to comprising, these and any other suitable types of memory.", "Moreover, it will be noted that the disclosed subject matter can be practiced with other computer system configurations, comprising single-processor or multiprocessor computer systems, mini-computing devices, mainframe computers, as well as personal computers, hand-held computing devices (e.g., PDA, phone, smartphone, watch, tablet computers, netbook computers, etc.", "), microprocessor-based or programmable consumer or industrial electronics, and the like.", "The illustrated aspects can also be practiced in distributed computing environments where tasks are performed by remote processing devices that are linked through a communications network; however, some if not all aspects of the subject disclosure can be practiced on stand-alone computers.", "In a distributed computing environment, program modules can be located in both local and remote memory storage devices.", "Some of the embodiments described herein can also employ artificial intelligence (AI) to facilitate automating one or more features described herein.", "For example, artificial intelligence can be used in optional training controller 230 evaluate and select candidate frequencies, modulation schemes, MIMO modes, and/or guided wave modes in order to maximize transfer efficiency.", "The embodiments (e.g., in connection with automatically identifying acquired cell sites that provide a maximum value/benefit after addition to an existing communication network) can employ various AI-based schemes for carrying out various embodiments thereof.", "Moreover, the classifier can be employed to determine a ranking or priority of the each cell site of the acquired network.", "A classifier is a function that maps an input attribute vector, x=(x1, x2, x3, x4, .", ".", ".", ", xn), to a confidence that the input belongs to a class, that is, f(x)=confidence (class).", "Such classification can employ a probabilistic and/or statistical-based analysis (e.g., factoring into the analysis utilities and costs) to prognose or infer an action that a user desires to be automatically performed.", "A support vector machine (SVM) is an example of a classifier that can be employed.", "The SVM operates by finding a hypersurface in the space of possible inputs, which the hypersurface attempts to split the triggering criteria from the non-triggering events.", "Intuitively, this makes the classification correct for testing data that is near, but not identical to training data.", "Other directed and undirected model classification approaches comprise, e.g., naïve Bayes, Bayesian networks, decision trees, neural networks, fuzzy logic models, and probabilistic classification models providing different patterns of independence can be employed.", "Classification as used herein also is inclusive of statistical regression that is utilized to develop models of priority.", "As will be readily appreciated, one or more of the embodiments can employ classifiers that are explicitly trained (e.g., via a generic training data) as well as implicitly trained (e.g., via observing UE behavior, operator preferences, historical information, receiving extrinsic information).", "For example, SVMs can be configured via a learning or training phase within a classifier constructor and feature selection module.", "Thus, the classifier(s) can be used to automatically learn and perform a number of functions, including but not limited to determining according to a predetermined criteria which of the acquired cell sites will benefit a maximum number of subscribers and/or which of the acquired cell sites will add minimum value to the existing communication network coverage, etc.", "As used in some contexts in this application, in some embodiments, the terms “component,” “system” and the like are intended to refer to, or comprise, a computer-related entity or an entity related to an operational apparatus with one or more specific functionalities, wherein the entity can be either hardware, a combination of hardware and software, software, or software in execution.", "As an example, a component may be, but is not limited to being, a process running on a processor, a processor, an object, an executable, a thread of execution, computer-executable instructions, a program, and/or a computer.", "By way of illustration and not limitation, both an application running on a server and the server can be a component.", "One or more components may reside within a process and/or thread of execution and a component may be localized on one computer and/or distributed between two or more computers.", "In addition, these components can execute from various computer readable media having various data structures stored thereon.", "The components may communicate via local and/or remote processes such as in accordance with a signal having one or more data packets (e.g., data from one component interacting with another component in a local system, distributed system, and/or across a network such as the Internet with other systems via the signal).", "As another example, a component can be an apparatus with specific functionality provided by mechanical parts operated by electric or electronic circuitry, which is operated by a software or firmware application executed by a processor, wherein the processor can be internal or external to the apparatus and executes at least a part of the software or firmware application.", "As yet another example, a component can be an apparatus that provides specific functionality through electronic components without mechanical parts, the electronic components can comprise a processor therein to execute software or firmware that confers at least in part the functionality of the electronic components.", "While various components have been illustrated as separate components, it will be appreciated that multiple components can be implemented as a single component, or a single component can be implemented as multiple components, without departing from example embodiments.", "Further, the various embodiments can be implemented as a method, apparatus or article of manufacture using standard programming and/or engineering techniques to produce software, firmware, hardware or any combination thereof to control a computer to implement the disclosed subject matter.", "The term “article of manufacture” as used herein is intended to encompass a computer program accessible from any computer-readable device or computer-readable storage/communications media.", "For example, computer readable storage media can include, but are not limited to, magnetic storage devices (e.g., hard disk, floppy disk, magnetic strips), optical disks (e.g., compact disk (CD), digital versatile disk (DVD)), smart cards, and flash memory devices (e.g., card, stick, key drive).", "Of course, those skilled in the art will recognize many modifications can be made to this configuration without departing from the scope or spirit of the various embodiments.", "In addition, the words “example” and “exemplary” are used herein to mean serving as an instance or illustration.", "Any embodiment or design described herein as “example” or “exemplary” is not necessarily to be construed as preferred or advantageous over other embodiments or designs.", "Rather, use of the word example or exemplary is intended to present concepts in a concrete fashion.", "As used in this application, the term “or” is intended to mean an inclusive “or” rather than an exclusive “or”.", "That is, unless specified otherwise or clear from context, “X employs A or B” is intended to mean any of the natural inclusive permutations.", "That is, if X employs A; X employs B; or X employs both A and B, then “X employs A or B” is satisfied under any of the foregoing instances.", "In addition, the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean “one or more” unless specified otherwise or clear from context to be directed to a singular form.", "Moreover, terms such as “user equipment,” “mobile station,” “mobile,” subscriber station,” “access terminal,” “terminal,” “handset,” “mobile device” (and/or terms representing similar terminology) can refer to a wireless device utilized by a subscriber or user of a wireless communication service to receive or convey data, control, voice, video, sound, gaming or substantially any data-stream or signaling-stream.", "The foregoing terms are utilized interchangeably herein and with reference to the related drawings.", "Furthermore, the terms “user,” “subscriber,” “customer,” “consumer” and the like are employed interchangeably throughout, unless context warrants particular distinctions among the terms.", "It should be appreciated that such terms can refer to human entities or automated components supported through artificial intelligence (e.g., a capacity to make inference based, at least, on complex mathematical formalisms), which can provide simulated vision, sound recognition and so forth.", "As employed herein, the term “processor” can refer to substantially any computing processing unit or device comprising, but not limited to comprising, single-core processors; single-processors with software multithread execution capability; multi-core processors; multi-core processors with software multithread execution capability; multi-core processors with hardware multithread technology; parallel platforms; and parallel platforms with distributed shared memory.", "Additionally, a processor can refer to an integrated circuit, an application specific integrated circuit (ASIC), a digital signal processor (DSP), a field programmable gate array (FPGA), a programmable logic controller (PLC), a complex programmable logic device (CPLD), a discrete gate or transistor logic, discrete hardware components or any combination thereof designed to perform the functions described herein.", "Processors can exploit nano-scale architectures such as, but not limited to, molecular and quantum-dot based transistors, switches and gates, in order to optimize space usage or enhance performance of user equipment.", "A processor can also be implemented as a combination of computing processing units.", "As used herein, terms such as “data storage,” data storage,” “database,” and substantially any other information storage component relevant to operation and functionality of a component, refer to “memory components,” or entities embodied in a “memory” or components comprising the memory.", "It will be appreciated that the memory components or computer-readable storage media, described herein can be either volatile memory or nonvolatile memory or can include both volatile and nonvolatile memory.", "What has been described above includes mere examples of various embodiments.", "It is, of course, not possible to describe every conceivable combination of components or methodologies for purposes of describing these examples, but one of ordinary skill in the art can recognize that many further combinations and permutations of the present embodiments are possible.", "Accordingly, the embodiments disclosed and/or claimed herein are intended to embrace all such alterations, modifications and variations that fall within the spirit and scope of the appended claims.", "Furthermore, to the extent that the term “includes” is used in either the detailed description or the claims, such term is intended to be inclusive in a manner similar to the term “comprising” as “comprising” is interpreted when employed as a transitional word in a claim.", "In addition, a flow diagram may include a “start” and/or “continue” indication.", "The “start” and “continue” indications reflect that the steps presented can optionally be incorporated in or otherwise used in conjunction with other routines.", "In this context, “start” indicates the beginning of the first step presented and may be preceded by other activities not specifically shown.", "Further, the “continue” indication reflects that the steps presented may be performed multiple times and/or may be succeeded by other activities not specifically shown.", "Further, while a flow diagram indicates a particular ordering of steps, other orderings are likewise possible provided that the principles of causality are maintained.", "As may also be used herein, the term(s) “operably coupled to”, “coupled to”, and/or “coupling” includes direct coupling between items and/or indirect coupling between items via one or more intervening items.", "Such items and intervening items include, but are not limited to, junctions, communication paths, components, circuit elements, circuits, functional blocks, and/or devices.", "As an example of indirect coupling, a signal conveyed from a first item to a second item may be modified by one or more intervening items by modifying the form, nature or format of information in a signal, while one or more elements of the information in the signal are nevertheless conveyed in a manner than can be recognized by the second item.", "In a further example of indirect coupling, an action in a first item can cause a reaction on the second item, as a result of actions and/or reactions in one or more intervening items.", "As may be used herein, the term “compares favorably”, indicates that a comparison between two or more items, signals, etc., provides a desired relationship.", "For example, when the desired relationship is that signal 1 has a greater magnitude than signal 2, a favorable comparison may be achieved when the magnitude of signal 1 is greater than that of signal 2 or when the magnitude of signal 2 is less than that of signal 1.In contrast, the term “compares unfavorably”, indicates that a comparison between two or more items, signals, etc., provides an undesired relationship.", "For example, when the desired relationship is that signal 1 has a greater magnitude than signal 2, an unfavorable comparison may be achieved when the magnitude of signal 1 is less than that of signal 2 or when the magnitude of signal 2 is greater than that of signal 1.Other ways to compare signals or ranges thereof (e.g., phase, frequency, jitter, latency, error rates, etc.)", "to distinguish from a favorable versus unfavorable result is contemplated by the subject disclosure.", "Additionally, the terms “compares favorably” and “compares unfavorably” can be applied to a comparison of objects, temporal events, data, or any other subject matter that can be compared to distinguish from a favorable versus unfavorable result.", "Although specific embodiments have been illustrated and described herein, it should be appreciated that any arrangement which achieves the same or similar purpose may be substituted for the embodiments described or shown by the subject disclosure.", "The subject disclosure is intended to cover any and all adaptations or variations of various embodiments.", "Combinations of the above embodiments, and other embodiments not specifically described herein, can be used in the subject disclosure.", "For instance, one or more features from one or more embodiments can be combined with one or more features of one or more other embodiments.", "In one or more embodiments, features that are positively recited can also be negatively recited and excluded from the embodiment with or without replacement by another structural and/or functional feature.", "The steps or functions described with respect to the embodiments of the subject disclosure can be performed in any order.", "The steps or functions described with respect to the embodiments of the subject disclosure can be performed alone or in combination with other steps or functions of the subject disclosure, as well as from other embodiments or from other steps that have not been described in the subject disclosure.", "Further, more than or less than all of the features described with respect to an embodiment can also be utilized." ] ]
Patent_15875680
[ [ "ACTIVITY RECOGNITION SYSTEMS AND METHODS", "An activity recognition system is disclosed.", "A plurality of temporal features is generated from a digital representation of an observed activity using a feature detection algorithm.", "An observed activity graph comprising one or more clusters of temporal features generated from the digital representation is established, wherein each one of the one or more clusters of temporal features defines a node of the observed activity graph.", "At least one contextually relevant scoring technique is selected from similarity scoring techniques for known activity graphs, the at least one contextually relevant scoring technique being associated with activity ingestion metadata that satisfies device context criteria defined based on device contextual attributes of the digital representation, and a similarity activity score is calculated for the observed activity graph as a function of the at least one contextually relevant scoring technique, the similarity activity score being relative to at least one known activity graph." ], [ "1-28.", "(canceled) 29.An activity recognition apparatus comprising: at least one sensor device configured to generate a digital representation of an environment of activity according to one or more sensing modalities; a memory storing known activity data objects, wherein each known activity data object relates to a known activity and includes similarity scoring techniques and clustered features; and an activity recognition device electronically coupled with the sensor and the memory and having a processor, wherein, upon execution of software instructions stored on a non-transitory computer readable medium, the processor is configured to: generate a plurality of features from the digital representation using at least one feature detection algorithm; establish an observed activity data object comprising one or more observed feature clusters generated from the plurality of features; calculate a similarity activity score for the observed activity data object relative to at least one of the known activity data objects as a function of the similarity scoring techniques that are contextually relevant to the environment, the clustered features, and the observed feature clusters; access an activity recognition results set as a function of the similarity activity score; and initiate an action regarding the environment based on the activity recognition results set.", "30.The apparatus of claim 29, wherein the known activity includes at least one of a body movement and an interaction.", "31.The apparatus of claim 29, wherein the known activity data objects comprise at least a part of a template for interactions.", "32.The apparatus of claim 29, wherein the activity recognition device is further configured to: convert aspects of the digital representation to an observed activity graph; and compare the observed activity graph to known activity graphs.", "33.The apparatus of claim 29, wherein the one or more sensing modalities comprise at least one of image data, video data, tactile data, kinesthetic data, temperature data, kinematic data, 3D registration data, and radio signal or wireless data.", "34.The apparatus of claim 33, wherein the image data comprises at least one of ultrasound, infrared, visible spectrum data.", "35.The apparatus of claim 29, wherein the digital representation comprises one or more of video data, still image data, audio data, and accelerometer data.", "36.The apparatus of claim 35, wherein the digital representation comprises a video of a procedure.", "37.The apparatus of claim 36, wherein contextual relevance relates to one or more of when the procedure is performed, information about the procedure, a provider associated with the procedure, and a location of the procedure.", "38.The apparatus of claim 29, wherein the at least one feature detection algorithm includes one of the following: a scale-invariant feature transform (SIFT), Fast Retina Keypoint (FREAK), Histograms of Oriented Gradient (HOG), Speeded Up Robust Features (SURF), DAISY, Binary Robust Invariant Scalable Keypoints (BRISK), FAST, Binary Robust Independent Elementary Features (BRIEF), Harris Corners, Edges, Gradient Location and Orientation Histogram (GLOH), Energy of image Gradient (EOG), and Transform Invariant Low-rank Textures (TILT) feature detection algorithm.", "39.The apparatus of claim 29, wherein the at least one sensor device is further configured to observe the environment over a time period or within a time frame.", "40.The apparatus of claim 39, wherein at least some of the plurality of features describe a temporal or spatial relationship among comparable events in time.", "41.The apparatus of claim 29, wherein the activity recognition device is further configured to determine contextual relevance based on ingestion metadata.", "42.The apparatus of claim 41, wherein the activity recognition device is further configured to select the ingestion metadata used to determine contextual relevance based on one or more domain-specific attributes.", "43.The apparatus of claim 41, wherein the ingestion metadata conforms to a defined attribute namespace.", "44.The apparatus of claim 29, wherein the activity recognition device is further configured to: recognize one or more objects in the digital representation using at least some of the plurality of features; and retrieve object information related to the one or more recognized objects.", "45.The apparatus of claim 44, wherein the activity recognition device is further configured to use the object information to determine contextual relevance.", "46.The apparatus of claim 29, wherein the similarity scoring techniques include at least one of a Euclidean distance, linear kernel, polynomial kernel, Chi-squared kernel, Cauchy kernel, histogram intersection kernel, Hellinger's kernel, Jensen-Shannon kernel, hyperbolic tangent (sigmoid) kernel, rational quadratic kernel, multiquadratic kernel, inverse multiquadratic kernel, circular kernel, spherical kernel, wave kernel, power kernel, log kernel, spline kernel, Bessel kernel, generalized T-Student kernel, Bayesian kernel, wavelet kernel, radial basis function (RBF), exponential kernel, Laplacian kernel, ANOVA kernel and B-spline kernel function.", "47.The apparatus of claim 29, wherein the activity recognition device is further configured to select the similarity scoring techniques according to a data modality.", "48.The apparatus of claim 29, wherein the similarity scoring techniques reflect a relative confidence of data from each of a plurality of sensing modalities.", "49.The apparatus of claim 29, wherein the activity recognition results set comprises at least one of an activity identifier, a search result, a classification, a recommendation, an anomaly, a warning, a segmentation, a command, a ranking, context relevant information, content information, and an action prediction.", "50.The apparatus of claim 49, wherein the action prediction is based on variations of known activities.", "51.The apparatus of claim 29, wherein initiating the action comprises executing a command.", "52.The apparatus of claim 29, wherein initiating the action comprises generating an alert.", "53.The apparatus of claim 29, wherein the environment comprises a volumetric space.", "54.The apparatus of claim 29, wherein the activity recognition device comprises a surveillance system." ], [ "<SOH> BACKGROUND <EOH>The background description includes information that may be useful in understanding the present invention.", "It is not an admission that any of the information provided herein is prior art or relevant to the presently claimed invention, or that any publication specifically or implicitly referenced is prior art.", "Recognizing observed activities, such as an activity represented in video data, is a very complex undertaking.", "Known techniques have failed to gain market penetration, especially with respect to consumer interactions.", "Some effort has been directed toward identifying a user's activities with respect to web interactions, as exemplified by U.S. patent application publication 2014/0149418 to Qin et al.", "titled “Method and System for Measuring Social Influence and Receptivity of Users”, filed Nov. 28, 2012.However, the techniques disclosed by Qin are not applicable to recognizing observed activities.", "Other efforts have focused on using directed graphs for activity recognition.", "Examples of such techniques are described in the following papers:" ], [ "<SOH> SUMMARY <EOH>Consumers currently lack access to applications that allow them to observe an activity such as a sporting event or a dance recital via a device (e.g., a cell phone), automatically recognize the activity, and cause the device to initiate an action based on the recognized activity.", "Further, current approaches fail to account for contextual circumstances that can have an impact on recognizing an activity.", "For example, the Wang 2013 approach lacks the contextual resolving power to discern between a dance and a fight.", "Thus, there remains a need for cost-effective activity recognition that is sensitive to observation context.", "The inventive subject matter provides apparatus, systems and methods in which activities can be recognized via a device through using contextual scoring techniques applied to known activity graphs.", "In an embodiment, a plurality of temporal features is generated from a digital representation of an observed activity using a feature detection algorithm.", "The digital representation may comprise one or more of image data, video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data and radio signal data.", "An observed activity graph comprising one or more clusters of temporal features generated from the digital representation is established, wherein each one of the one or more clusters of temporal features defines a node of the observed activity graph.", "The nodes of the observed activity graph may comprise clusters of feature trajectories.", "At least one contextually relevant scoring technique is selected from similarity scoring techniques for known activity graphs, the at least one contextually relevant scoring technique being associated with activity ingestion metadata that satisfies device context criteria defined based on device contextual attributes of the digital representation, and a similarity activity score is calculated for the observed activity graph as a function of the at least one contextually relevant scoring technique, the similarity activity score being relative to at least one known activity graph.", "The similarity scoring techniques may include at least one of a Euclidean distance, linear kernel, polynomial kernel, Chi-squared kernel, Cauchy kernel, histogram intersection kernel, Hellinger's kernel, Jensen-Shannon kernel, hyperbolic tangent (sigmoid) kernel, rational quadratic kernel, multiquadratic kernel, inverse multiquadratic kernel, circular kernel, spherical kernel, wave kernel, power kernel, log kernel, spline kernel, Bessel kernel, generalized T-Student kernel, Bayesian kernel, wavelet kernel, radial basis function (RBF), exponential kernel, Laplacian kernel, ANOVA kernel and B-spline kernel function.", "An activity recognition result set may be generated based on the similarity activity score.", "In some embodiments, the known activity graphs and the observed activity graph may comprise directed acyclic graphs.", "In some embodiments, the similarity activity score may be calculated as a function of nodes within the known activity graphs and nodes within the observed activity graph.", "In some embodiments, the device contextual attributes and the activity ingestion metadata may adhere to a common namespace.", "In some embodiments, the activity ingestion metadata may comprise domain-specific attributes, object attributes, or at least one of location attributes, time attributes, position attributes and orientation attributes.", "In some embodiments, the feature detection algorithm may include at least one of a scale-invariant feature transform (SIFT), Fast Retina Keypoint (FREAK), Histograms of Oriented Gradient (HOG), Speeded Up Robust Features (SURF), DAISY, Binary Robust Invariant Scalable Keypoints (BRISK), FAST, Binary Robust Independent Elementary Features (BRIEF), Harris Corners, Edges, Gradient Location and Orientation Histogram (GLOH), Energy of image Gradient (EOG) or Transform Invariant Low-rank Textures (TILT) feature detection algorithm.", "In some embodiments, the known activity graphs may comprise activity graph primitives.", "The activity graph primitives may include at least one of an object persistence, object transformation, object-to-object conserved interaction, object segmentation, object creation, object destruction and object NULL interaction.", "In some embodiments, the known activity graphs may include nodes that comprise other known activity graphs.", "In some embodiments, the known activity graphs may comprise key frames.", "In some embodiments, a classification may be assigned to the observed activity graph, wherein the classification comprises the activity recognition result set.", "In some embodiments, the activity recognition result set may comprise an activity prediction with respect to the observed activity graph.", "In some embodiments, the activity recognition result set may comprise at least one of an address, an activity identifier, a search result, a recommendation, an anomaly, a warning, a segmentation, a command, a ranking, context relevant information, content information, a promotion and an advertisement.", "In some embodiments, a mapping of a static image from the digital representation may be established into a graph space of at least one of the known activity graphs by mapping image features to nodes of the at least one of the known activity graphs.", "In some embodiments, an action prediction may be generated based on the mapping and the nodes of the at least one of the known activity graphs.", "In some embodiments, the similarity scoring techniques may comprise at least one set of node context-based weights, and the at least one set of node context-based weights may comprise a matrix of node weights.", "Various objects, features, aspects and advantages of the inventive subject matter will become more apparent from the following detailed description of preferred embodiments, along with the accompanying drawing figures in which like numerals represent like components." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application claims the benefit of U.S.", "Provisional Application No.", "62/013,508, filed Jun.", "17, 2014.The entire content of that application is hereby incorporated herein by reference.", "TECHNICAL FIELD This disclosure relates generally to activity recognition technologies.", "BACKGROUND The background description includes information that may be useful in understanding the present invention.", "It is not an admission that any of the information provided herein is prior art or relevant to the presently claimed invention, or that any publication specifically or implicitly referenced is prior art.", "Recognizing observed activities, such as an activity represented in video data, is a very complex undertaking.", "Known techniques have failed to gain market penetration, especially with respect to consumer interactions.", "Some effort has been directed toward identifying a user's activities with respect to web interactions, as exemplified by U.S. patent application publication 2014/0149418 to Qin et al.", "titled “Method and System for Measuring Social Influence and Receptivity of Users”, filed Nov. 28, 2012.However, the techniques disclosed by Qin are not applicable to recognizing observed activities.", "Other efforts have focused on using directed graphs for activity recognition.", "Examples of such techniques are described in the following papers: “Graph Degree Linkage: Agglomerative Clustering on a Directed Graph”, by Zhang et al., Proceedings of European Conference on Computer Vision (ECCV), 2012 (“Zhang 2012”); “Action Recognition by Dense Trajectories”, by Wang et al., CVPR 2011 - IEEE Conference on Computer Vision & Pattern Recognition (2011) 3169-3176 (“Wang 2011”); and “Directed Acyclic Graph Kernels for Action Recognition”, by Wang et al., 2013 IEEE International Conference on Computer Vision (ICCV) (“Wang 2013”).", "The above approaches do relate to recognizing observed activities through the use of specialized graphs.", "For example, Wang 2013 provides a foundation for recognizing activities through the use of directed acyclic graphs (DAGs).", "However, the computation time necessary for such an approach is prohibitive for use in consumer device applications, such as for use in cameras, cell phones, tablet computers, toys, vehicles and other consumer devices.", "SUMMARY Consumers currently lack access to applications that allow them to observe an activity such as a sporting event or a dance recital via a device (e.g., a cell phone), automatically recognize the activity, and cause the device to initiate an action based on the recognized activity.", "Further, current approaches fail to account for contextual circumstances that can have an impact on recognizing an activity.", "For example, the Wang 2013 approach lacks the contextual resolving power to discern between a dance and a fight.", "Thus, there remains a need for cost-effective activity recognition that is sensitive to observation context.", "The inventive subject matter provides apparatus, systems and methods in which activities can be recognized via a device through using contextual scoring techniques applied to known activity graphs.", "In an embodiment, a plurality of temporal features is generated from a digital representation of an observed activity using a feature detection algorithm.", "The digital representation may comprise one or more of image data, video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data and radio signal data.", "An observed activity graph comprising one or more clusters of temporal features generated from the digital representation is established, wherein each one of the one or more clusters of temporal features defines a node of the observed activity graph.", "The nodes of the observed activity graph may comprise clusters of feature trajectories.", "At least one contextually relevant scoring technique is selected from similarity scoring techniques for known activity graphs, the at least one contextually relevant scoring technique being associated with activity ingestion metadata that satisfies device context criteria defined based on device contextual attributes of the digital representation, and a similarity activity score is calculated for the observed activity graph as a function of the at least one contextually relevant scoring technique, the similarity activity score being relative to at least one known activity graph.", "The similarity scoring techniques may include at least one of a Euclidean distance, linear kernel, polynomial kernel, Chi-squared kernel, Cauchy kernel, histogram intersection kernel, Hellinger's kernel, Jensen-Shannon kernel, hyperbolic tangent (sigmoid) kernel, rational quadratic kernel, multiquadratic kernel, inverse multiquadratic kernel, circular kernel, spherical kernel, wave kernel, power kernel, log kernel, spline kernel, Bessel kernel, generalized T-Student kernel, Bayesian kernel, wavelet kernel, radial basis function (RBF), exponential kernel, Laplacian kernel, ANOVA kernel and B-spline kernel function.", "An activity recognition result set may be generated based on the similarity activity score.", "In some embodiments, the known activity graphs and the observed activity graph may comprise directed acyclic graphs.", "In some embodiments, the similarity activity score may be calculated as a function of nodes within the known activity graphs and nodes within the observed activity graph.", "In some embodiments, the device contextual attributes and the activity ingestion metadata may adhere to a common namespace.", "In some embodiments, the activity ingestion metadata may comprise domain-specific attributes, object attributes, or at least one of location attributes, time attributes, position attributes and orientation attributes.", "In some embodiments, the feature detection algorithm may include at least one of a scale-invariant feature transform (SIFT), Fast Retina Keypoint (FREAK), Histograms of Oriented Gradient (HOG), Speeded Up Robust Features (SURF), DAISY, Binary Robust Invariant Scalable Keypoints (BRISK), FAST, Binary Robust Independent Elementary Features (BRIEF), Harris Corners, Edges, Gradient Location and Orientation Histogram (GLOH), Energy of image Gradient (EOG) or Transform Invariant Low-rank Textures (TILT) feature detection algorithm.", "In some embodiments, the known activity graphs may comprise activity graph primitives.", "The activity graph primitives may include at least one of an object persistence, object transformation, object-to-object conserved interaction, object segmentation, object creation, object destruction and object NULL interaction.", "In some embodiments, the known activity graphs may include nodes that comprise other known activity graphs.", "In some embodiments, the known activity graphs may comprise key frames.", "In some embodiments, a classification may be assigned to the observed activity graph, wherein the classification comprises the activity recognition result set.", "In some embodiments, the activity recognition result set may comprise an activity prediction with respect to the observed activity graph.", "In some embodiments, the activity recognition result set may comprise at least one of an address, an activity identifier, a search result, a recommendation, an anomaly, a warning, a segmentation, a command, a ranking, context relevant information, content information, a promotion and an advertisement.", "In some embodiments, a mapping of a static image from the digital representation may be established into a graph space of at least one of the known activity graphs by mapping image features to nodes of the at least one of the known activity graphs.", "In some embodiments, an action prediction may be generated based on the mapping and the nodes of the at least one of the known activity graphs.", "In some embodiments, the similarity scoring techniques may comprise at least one set of node context-based weights, and the at least one set of node context-based weights may comprise a matrix of node weights.", "Various objects, features, aspects and advantages of the inventive subject matter will become more apparent from the following detailed description of preferred embodiments, along with the accompanying drawing figures in which like numerals represent like components.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1A is a schematic overview of an ingestion process for activity recognition in accordance with an embodiment; FIG.", "1B illustrates a flow diagram of example operations of an ingestion process for activity recognition in accordance with an embodiment; FIG.", "2 is a schematic overview of an activity recognition system in accordance with an embodiment; FIG.", "3 illustrates a flow diagram of example operations of an activity recognition system in accordance with an embodiment; FIG.", "4 illustrates a block diagram of an exemplary client-server relationship that can be used for implementing one or more aspects of the various embodiments; and FIG.", "5 illustrates a block diagram of a distributed computer system that can be used for implementing one or more aspects of the various embodiments.", "While the invention is described with reference to the above drawings, the drawings are intended to be illustrative, and other embodiments are consistent with the spirit, and within the scope, of the invention.", "DETAILED DESCRIPTION The various embodiments now will be described more fully hereinafter with reference to the accompanying drawings, which form a part hereof, and which show, by way of illustration, specific examples of practicing the embodiments.", "This description may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this description will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art.", "Among other things, this description may be embodied as methods or devices.", "Accordingly, any of the various embodiments herein may take the form of an entirely hardware embodiment, an entirely software embodiment or an embodiment combining software and hardware aspects.", "The following description is, therefore, not to be taken in a limiting sense.", "Throughout the description and claims, the following terms take the meanings explicitly associated herein, unless the context clearly dictates otherwise: The phrase “in one embodiment” as used herein does not necessarily refer to the same embodiment, though it may.", "Thus, as described below, various embodiments of the invention may be readily combined, without departing from the scope or spirit of the invention.", "As used herein, the term “or” is an inclusive “or” operator, and is equivalent to the term “and/or,” unless the context clearly dictates otherwise.", "The term “based on” is not exclusive and allows for being based on additional factors not described, unless the context clearly dictates otherwise.", "As used herein, and unless the context dictates otherwise, the term “coupled to” is intended to include both direct coupling (in which two elements that are coupled to each other contact each other) and indirect coupling (in which at least one additional element is located between the two elements).", "Therefore, the terms “coupled to” and “coupled with” are used synonymously.", "Within the context of a networked environment where two or more components or devices are able to exchange data, the terms “coupled to” and “coupled with” are also used to mean “communicatively coupled with”, possibly via one or more intermediary devices.", "In addition, throughout the description, the meaning of “a,” “an,” and “the” includes plural references, and the meaning of “in” includes “in” and “on.” The following discussion provides many example embodiments of the inventive subject matter.", "Although some of the various embodiments presented herein constitute a single combination of inventive elements, it should be appreciated that the inventive subject matter is considered to include all possible combinations of the disclosed elements.", "As such, if one embodiment comprises elements A, B, and C, and another embodiment comprises elements B and D, then the inventive subject matter is also considered to include other remaining combinations of A, B, C, or D, even if not explicitly discussed herein.", "As used in the description herein and throughout the claims that follow, when a system, engine, server, device, module, or other computing element is described as being configured to perform or execute functions on data in a memory, the meaning of “configured to” or “programmed to” is defined as one or more processors or cores of the computing element being programmed by a set of software instructions stored in the memory of the computing element to execute the set of functions on target data or data objects stored in the memory.", "It should be noted that any language directed to a computer should be read to include any suitable combination of computing devices, including servers, interfaces, systems, databases, agents, peers, engines, controllers, modules, or other types of computing device structures operating individually or collectively.", "One skilled in the art should appreciate the computing devices comprise a processor configured to execute software instructions stored on a tangible, non-transitory computer readable storage medium (e.g., hard drive, FPGA, PLA, solid state drive, RAM, flash, ROM, etc.).", "The software instructions configure or program the computing device to provide the roles, responsibilities, or other functionality as discussed below with respect to the disclosed apparatus.", "Further, the disclosed technologies can be embodied as a computer program product that includes a non-transitory computer readable medium storing the software instructions that causes a processor to execute the disclosed steps associated with implementations of computer-based algorithms, processes, methods, or other instructions.", "In some embodiments, the various servers, systems, databases, or interfaces exchange data using standardized protocols or algorithms, possibly based on HTTP, HTTPS, AES, public-private key exchanges, web service APIs, known financial transaction protocols, or other electronic information exchanging methods.", "Data exchanges among devices can be conducted over a packet-switched network, the Internet, LAN, WAN, VPN, or other type of packet switched network; a circuit switched network; cell switched network; or other type of network.", "All publications identified herein are incorporated by reference to the same extent as if each individual publication or patent application were specifically and individually indicated to be incorporated by reference.", "Where a definition or use of a term in an incorporated reference is inconsistent or contrary to the definition of that term provided herein, the definition of that term provided herein applies and the definition of that term in the reference does not apply.", "The following description includes information that may be useful in understanding the present invention.", "It is not an admission that any of the information provided herein is prior art or relevant to the presently claimed invention, or that any publication specifically or implicitly referenced is prior art.", "In some embodiments, the numbers expressing quantities of ingredients, properties such as concentration, reaction conditions, and so forth, used to describe and claim certain embodiments of the invention are to be understood as being modified in some instances by the term “about.” Accordingly, in some embodiments, the numerical parameters set forth in the description and attached claims are approximations that can vary depending upon the desired properties sought to be obtained by a particular embodiment.", "In some embodiments, the numerical parameters should be construed in light of the number of reported significant digits and by applying ordinary rounding techniques.", "Notwithstanding that the numerical ranges and parameters setting forth the broad scope of some embodiments of the invention are approximations, the numerical values set forth in the specific examples are reported as precisely as practicable.", "The numerical values presented in some embodiments of the invention may contain certain errors necessarily resulting from the standard deviation found in their respective testing measurements.", "Unless the context dictates the contrary, all ranges set forth herein should be interpreted as being inclusive of their endpoints and open-ended ranges should be interpreted to include only commercially practical values.", "Similarly, all lists of values should be considered as inclusive of intermediate values unless the context indicates the contrary.", "As used in the description herein and throughout the claims that follow, the meaning of “a,” “an,” and “the” includes plural reference unless the context clearly dictates otherwise.", "Also, as used in the description herein, the meaning of “in” includes “in” and “on” unless the context clearly dictates otherwise.", "The recitation of ranges of values herein is merely intended to serve as a shorthand method of referring individually to each separate value falling within the range.", "Unless otherwise indicated herein, each individual value is incorporated into the description as if it were individually recited herein.", "All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context.", "The use of any and all examples, or exemplary language (e.g.", "“such as”) provided with respect to certain embodiments herein is intended merely to better illuminate the invention and does not pose a limitation on the scope of the invention otherwise claimed.", "No language in the description should be construed as indicating any non-claimed element essential to the practice of the invention.", "Groupings of alternative elements or embodiments of the invention disclosed herein are not to be construed as limitations.", "Each group member can be referred to and claimed individually or in any combination with other members of the group or other elements found herein.", "One or more members of a group can be included in, or deleted from, a group for reasons of convenience and/or patentability.", "When any such inclusion or deletion occurs, the description herein is deemed to contain the group as modified, thus fulfilling the written description of all Markush groups used in the appended claims.", "One should appreciate that the disclosed techniques provide many advantageous technical effects including reducing computational overhead on a device recognizing an activity.", "Thus, a computing device is enabled to process digital imagery data to determine if the observed features in the data appear to represent known activities.", "The computing device is able to process substantial amounts of digital data well beyond the capability of a human being.", "The disclosed approach provides infrastructure for a computing device to recognize one or more activities represented in a digital representation of a scene.", "The activities could include one or more activities across a broad spectrum of action.", "Example activities include plays, sports, shopping, game play, military training, physical therapy, or other types of behaviors.", "It should be appreciated that the disclosed techniques also apply to recognizing non-human activities and object interactions.", "For example, non-human activities and object interactions include vehicular activities, robotic or swarm interactions, activities of geological systems over time, or other types of activities and object interactions.", "Activities can be recognized on a contextual basis through the use of activity graphs.", "An activity graph is a data structure in memory comprising nodes and edges that represent a corresponding activity, preferably through time (e.g., over a time period or within a timeframe).", "An observed activity can be converted to an observed activity graph, which is then compared to known activity graphs.", "The observed activity graph can be assigned scores based on how similar the observed activity graph is to the known activity graphs (i.e., activity graphs of previously recognized activities).", "Further, the manner in which the observed activity graph is scored can depend on the context of the observation.", "FIG.", "1A is a schematic overview of an ingestion process for activity recognition in accordance with an embodiment.", "Process 100 illustrates various operations of activity recognition device 105 during the act of recognizing an observed activity.", "In the example shown, sensor 120 (coupled with, or included within, activity recognition device 105), observes activity 110 by sensing an environment of activity 110.Activity 110 could comprise various digitally observable actions or interactions among multiple objects.", "Although a single sensor 120 is shown (e.g., a video camera sensor), sensor 120 may represent one or more sensors capable of converting observations of an activity into digital representation 130, possibly according to multiple data modalities (i.e., according to multiple modes of existence, experience or expression).", "Example data modalities that could be represented in digital representation 130 include video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data (e.g., motion, velocity, acceleration, etc.", "), radio signal data, or other types of data.", "For the sake of clarity and ease of understanding, digital representation 130 comprises video data in the following discussion.", "However, one skilled in the art will appreciate that digital representation 130 may comprise any combination of video, still image, audio, accelerometer or other data.", "As such, the embodiments herein, while exemplary, are not intended to be exhaustive or limiting with respect to recognizing activities from digital representations including various other types of data.", "For example, digital representation 130 also could include accelerometery data from accelerometers disposed within a glove.", "The accelerometery data could then represent hand gestures (e.g., sign language, commands, etc.", "), which can be observed.", "In the example shown, an ice skater is illustrated as moving around an environment.", "Digital representation 130 comprises a video data stream with a number of frames, wherein the ice skater may be located in a different location from frame-to-frame.", "The ice skater can be considered to be tracing out a volume in an (X, Y, T) space, where T corresponds to time, where X and Y represent the 2D space of the image frames.", "The volumetric space traced by the ice skater over time could include other dimensions as well, such as, e.g., depth relative to a camera, orientation, audio amplitude, or other dimensions.", "Activity 110 is ingested by using one or more feature detection algorithms to generate a plurality of features 133 from digital representation 130.Example feature detection algorithms for processing frames of video data include scale-invariant feature transform (SIFT), Fast Retina Keypoint (FREAK), Histograms of Oriented Gradient (HOG), Speeded Up Robust Features (SURF), DAISY, Binary Robust Invariant Scalable Keypoints (BRISK), FAST, Binary Robust Independent Elementary Features (BRIEF), Harris Corners, Edges, Gradient Location and Orientation Histogram (GLOH), Energy of image Gradient (EOG) or Transform Invariant Low-rank Textures (TILT) feature detection algorithms.", "Temporal features 135 represent features 133 whose location has been tracked through time, possibly varying with time.", "For example, temporal features 135 might move in the volumetric space of a digital representation as time passes, might appear or disappear, fade into or out of existence, shift from one type of value (e.g., descriptor value) to another, or exhibit other types of temporal qualities.", "An example temporal feature might be the position of a feature 133 over several frames, forming a trajectory, i.e., a path in (X, Y, T) space.", "To achieve better insensitivity to noise and variations, another example of temporal features 135 includes clusters of trajectories that share similar motion and spatial proximity.", "The temporal features 135 are converted into one or more activity graphs 140 comprising nodes 141 that represent clusters of temporal features 135.Activity graphs 140 can describe temporal or spatial relationships among comparable events in time (e.g., a motion, a sound, etc.).", "Thus, a node 141 is a signal that exists in time that can be compared to other signals (e.g., other nodes) by a method of comparison.", "For example, activity graph 140 could comprise a directed acyclic graph (DAG) as proposed by Wang et al., “Directed Acyclic Graph Kernels for Action Recognition”, 2013 IEEE International Conference on Computer Vision (ICCV) (hereinafter referred to as “Wang 2013”).", "However, the directed acyclic graphs described in Wang 2013 lack sufficient information for contextual recognition.", "This deficiency is remedied by the various embodiments described herein.", "Activity graph 140 can be represented in memory as an N×N matrix, where N is the number of nodes 141 in the graph.", "The matrix, in the case of a DAG, can be asymmetric where a value in a cell indicates that one node is connected to another node via a directed edge.", "For example, a matrix cell (3, 5) might have a value of 2 indicating that node 3 connects to node 5 via an edge of length 2 (arbitrary units) running from node 3 to node 5.However, a matrix cell (5, 3) might have a value of 0 or NULL indicating that there is no edge in the reverse direction.", "An activity graph can be constructed to indicate causality of temporal nodes 141 (clusters of temporal features 135).", "For example, Wang 2013 describes constructing directed acyclic graphs (DAG) by creating directed links between two temporal nodes that are spatial and temporal neighbors, but do not explicitly overlap in time.", "Thus the DAG encodes only the explicit causal relationship, e.g., “Node_A precedes Node_B” or “Node_B follows Node_A”.", "However, more complex graph relationships than contemplated in Wang 2013 could be encoded to allow for more meaningful comparisons in specific domains or for specific contextual inputs.", "For example, if an object identity is known, nodes relating to a known object in a scene can be connected, even if the nodes do not appear as spatial neighbors in the space-time volume of a video data stream.", "The DAG described in Wang 2013 is selected in order to leverage a proposed random walk graph kernel, whose operation is limited to DAGs.", "Random walk graph kernels interpret the similarity between two graphs by counting the number of common paths through the graphs.", "The generalized random walk graph kernel proposed by Wang 2013 enables a comparison of DAGs where node comparisons along paths are allowed to have continuous similarity values in place of binary (similar or different) decisions.", "Other approximate graph kernels can be used for non-DAGs, but at the cost of increased computational burden and greater sensitivity to graph topology errors.", "Further, edge weights (which are not discussed in Wang 2013) can be used to represent a strength or confidence in node relationships within an activity graph.", "These confidences may, for example, be based on a known likelihood of co-occurrence of certain audio and video signals in a specific environment, context or location.", "Confidences may also represent expected independent action between known or recognized objects.", "In an embodiment, activity graph 140 further includes additional information for activity recognition based on contextual scoring techniques.", "For example, each activity graph 140 may include one or more similarly scoring techniques 143 that could be applied based on a context, as determined from ingestion metadata 145 (e.g., metadata related to observed activity attributes, location, time-of-day, etc.).", "Similarity scoring techniques 143 include digitally encoded or implemented algorithms, modules, kernels, or other procedures executable by a processor by which the similarity between an observed activity graph and a known activity graph can be derived.", "Example similarity scoring techniques include a node-by-node analysis, measuring a Euclidean distance, a radial basis function (RBF), a Chi-Square kernel, or other techniques, possibly based on a node-by-node comparison.", "It should be noted that some embodiments have activity graphs 140 that include only one type of similarity scoring technique, while other embodiments have activity graphs 140 that include many different types of similarity scoring techniques.", "In some embodiments, each of similarity scoring techniques 143 can further include a set of node context-based weights indicating how each node 141 (or corresponding edges) should be weighted when the similarity scoring technique is applied.", "For example, within the context of ice skating, only one similarly scoring technique 143 may be available based on the ingestion metadata 145.However, that one similarity scoring technique might have several different sets of node context-based weights.", "Each set might weight nodes differently to strengthen or weaken respective nodes.", "In a context of ice dancing, for example, nodes related to hand movement or facial expressions might be strengthened.", "While in the context of ice hockey, body or leg movement nodes might be strengthened while nodes relating to facial expressions might be down-weighted, as facial expressions might not be as important in ice hockey relative to ice dancing.", "In situations where multiple sensor modalities are being used, the weights can be utilized to reflect the relative confidence of data coming from each sensing modality.", "For example, in a context where a camera (sensor) is shaking rapidly, nodes comprised of temporal features derived from video data may be less reliable due to motion blur, thus their influence in activity comparisons may be decreased by down-weighting video-based nodes.", "The sets of node context-based weights could take on the form of a vector having N members where N is the number of nodes.", "Each value member in the vector may indicate a node's contribution when applying the corresponding similarity scoring technique 143.Further, the sets of node context-based weights could take on the form of an N×N matrix that has the same dimensionality of a matrix that represents activity graph 140.In such case, each value in the cells of the matrix may represent a contribution of the corresponding edge to the similarity scoring technique 143.Aside from weighting edges and nodes in the graph, similarity scoring techniques may vary according to a type of data at a node 141.For example, in a case where a temporal feature is encoded as a histogram-based descriptor, a Chi-squared or histogram intersection kernel may be more appropriate to compute node similarities.", "In another example, a node 141 may comprise a descriptor vector that includes one of physical units, pixels, audio intensities, or moments of such units.", "In such cases, a radial basis function such as a Gaussian kernel may be more appropriate to compute similarity.", "Further, based on the features used and the amount of sensor noise, kernel parameters, e.g., the sigma of a Gaussian kernel, may be tuned differently.", "For example, features derived from pixels may require different kernel parameters than features derived from depth measurements (e.g., in meters).", "As another example, when comparing audio features in the context of a quiet environment, a slightly smaller sigma parameter in the Gaussian kernel may be used to require closer (i.e., stricter) matches at the cost of more sensitivity to noise.", "In a loud environment that must be more insensitive to noise, the sigma parameter may be increased.", "Ingestion metadata 145 includes information that relates to a purpose associated with the ingestion of activity 110 (e.g., a reason for the ingestion) and indicates which similarity scoring techniques 143 would likely be most relevant.", "Ingestion metadata 145 may comprise automatically generated information or manually generated information.", "With respect to automatically generated information, one or more object recognition techniques could be applied to identify objects within the environment of activity 110 in order to generate ingestion metadata 145, including names, object classifications, identifiers, locations, sizes, shapes, colors, times of year/seasons, temperatures, make, model, or other information.", "Example object recognition techniques that may be suitably adapted for use with the inventive subject matter are disclosed in U.S. Pat.", "No.", "7,016,532 to Boncyk et al.", "titled “Image capture and identification system and process”, filed Nov. 5, 2001; U.S. Pat.", "No.", "7,477,780 to Boncyk et al.", "titled “Image capture and identification system and process”, filed Nov. 5, 2002; U.S. Pat.", "No.", "7,680,324 to Boncyk et al.", "titled “Use of image-derived information as search criteria for internet and other search engines”, filed Aug. 15, 2005; U.S. Pat.", "No.", "7,565,008 to Boncyk et al.", "titled “Data capture and identification system and process”, filed Jan. 26, 2006; and U.S. Pat.", "No.", "7,881,529 to Boncyk et al.", "titled “Data capture and identification system and process”, filed Jul.", "20, 2009.Further, ingestion metadata 145 may be selected to correspond to similarity scoring techniques 143, e.g., by a user via an interface (e.g., a web page, application, API, etc.).", "Thus, ingestion metadata 145 could comprise domain-specific attributes (e.g., attributes related to a medical domain, health care domain, sports domain, gaming domain, shopping domain, etc.", "), object attributes (e.g., type of object, name of object, etc.", "), environment or context attributes (e.g., location attributes, time attributes, position attributes, orientation attributes, etc.)", "or other types of attributes.", "In preferred embodiments, ingestion metadata 145 comprises data that adheres to a defined attribute namespace (i.e., a standardized nomenclature).", "For example, ingestion metadata 145 could comprise attribute-value pairs describing relevant conditions when the corresponding similarity scoring technique would be applicable.", "For example, a “location” attribute may include a GPS coordinate, address, zip code, or other value.", "Another example attribute could involve intensity and frequency of device motion, or average decibel level recorded by the microphone.", "Such attributes could be used, for example, to down-weight the importance of features extracted from video or audio channels, respectively, so that their influence on overall activity graph similarity is decreased in contexts where the data channels may be less reliable.", "The attribute-value pairs could be represented within XML, YAML, or JSON formats for easy transport across network links (e.g., cellular networks, Internet, LAN, WAN, wireless connection, Bluetooth® connections, etc.).", "In various embodiments, a defined attribute namespace allows for comparing ingestion metadata 145 to device context in field observations.", "Given the ingestion metadata 145 relating to activity 110, activity graphs 140 along with similarity scoring techniques can be stored in an activity database.", "The database may comprise a network accessible database (e.g., SaaS, IaaS, cloud, web service, etc.", "), a file system, a data structure in memory of a computing device, or other data store.", "FIG.", "1B illustrates a flow diagram of example operations of an ingestion process for activity recognition in accordance with an embodiment.", "It should be appreciated that method 150 is executed by one or more computing devices configured to or programmed to have the disclosed roles or responsibilities of an activity recognition device, such as activity recognition device 105.For example, activity recognition device 105 may comprise a client device, including a cell phone, kiosk, personal data assistant, tablet computer, toy, vehicle, web camera, or other type of computing device.", "Further, activity recognition device 105 may include a sensor, such as sensor 120, which may comprise an image capture device (e.g., a video camera or a gaming console) equipped to capture image frames of video data.", "At step 152, an activity is observed by sensing an environment of the activity.", "For example, the activity may comprise various digitally observable actions or interactions among multiple objects.", "At step 154, observations of the activity are converted into a digital representation, possibly according to multiple data modalities (i.e., according to multiple modes of existence, experience or expression).", "Example data modalities that could be represented in the digital representation include video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data (e.g., motion, velocity, acceleration, etc.", "), radio signal data, or other types of data.", "At step 156, a plurality of features is generated from the digital representation using one or more feature detection algorithms.", "Example feature detection algorithms for processing frames of video data include scale-invariant feature transform (SIFT), Fast Retina Keypoint (FREAK), Histograms of Oriented Gradient (HOG), Speeded Up Robust Features (SURF), DAISY, Binary Robust Invariant Scalable Keypoints (BRISK), FAST, Binary Robust Independent Elementary Features (BRIEF), Harris Corners, Edges, Gradient Location and Orientation Histogram (GLOH), Energy of image Gradient (EOG) or Transform Invariant Low-rank Textures (TILT) feature detection algorithms.", "At step 158, temporal features are determined by tracking features through time.", "For example, the temporal features might move in the volumetric space of a digital representation as time passes, might appear or disappear, fade into or out of existence, shift from one type of value (e.g., descriptor value) to another, or exhibit other types of temporal qualities.", "At step 160, the temporal features are converted into one or more activity graphs comprising nodes that represent clusters of temporal features, wherein each activity graph includes one or more similarly scoring techniques that may be applied based on a context, as determined from ingestion metadata.", "For example, the similarity scoring techniques may comprise a node-by-node analysis, measuring a Euclidean distance, a radial basis function (RBF), a Chi-Square kernel, or other techniques, possibly based on a node-by-node comparison.", "At step 162, the one or more activity graphs are stored in an activity database along with the similarity scoring techniques, as well as along with associated metadata.", "FIG.", "2 is a schematic overview of an activity recognition system in accordance with an embodiment.", "Activity recognition system 200 leverages known activity graph information to recognize observed activities in the field.", "Activity recognition system 200 comprises activity database 280 and activity recognition device 210.Activity recognition device 210 represents a computing device including one or more modules (e.g., software instructions stored in non-transitory computer readable memory and a processor able to execute the instructions) configured to perform one or more of the various embodiments.", "Example computing devices that could operate as activity recognition device 210 include a tablet computer, personal computer, server, web service server, virtual machine, cloud-based computer system (e.g., one or more servers supporting IaaS, SaaS, PaaS, etc.", "), camera, cell phone, kiosk, appliance, vehicle, aircraft, robot, game device, surveillance system, toy, or other type of computing device.", "Activity database 280 comprises one or more modules having a persistent memory capable of storing known activity graph information, including one or more similarity scoring techniques 283.In some embodiments, activity database 280 comprises a separate computing device accessible over a network connection.", "For example, activity database 280 could comprise a web service system that responds to contextual queries by providing results sets having activity graph information, activity graph data objects and similarity scoring techniques 283, or other result sets relating to known activities.", "In other embodiments, activity database 280 could comprise a data structure stored in a memory component of activity recognition device 210, e.g., where known activity graphs or similarity scoring techniques 283 are stored according a tree structure organized hierarchically based on corresponding ingestion metadata, nodes, or other schema.", "Activity database 280 can be populated with the various activity graph objects based on the techniques discussed with respect to FIG.", "1A.", "Activity recognition device 210 is configured with multiple modules for activity recognition.", "For ease of understanding, the following discussion will present the activity recognition device 210 capabilities from the perspective of a mobile device; e.g., a smart phone or tablet computer.", "Activity recognition device 210 is coupled with sensor 220 which is capable or configured to capture digital representation 223.For example, a user could direct a camera-equipped cell phone to capture a video sequence digital representation of a male ice skater 224.It should be appreciated that sensor 220 could represent multiple sensors, each able to capture a different data modality.", "Thus, digital representation 223 can comprise multiple layers of data modalities including image data (e.g., ultrasound, infrared, visible spectrum, etc.", "), video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data, depth of field data, 3D registration data, radio or wireless data, IMU data, or other data modalities.", "Each modality could give rise to its own activity graph or be included in activity graphs that combine sets of sensing modalities, as shown in FIG.", "1A.", "In preferred embodiments, digital representation 223 includes device contextual attributes 225 that reflect the nature of circumstances under which activity recognition may take place.", "In some scenarios, device contextual attributes 225 reflect the circumstances relating to the actual capturing of digital representation 223.In other scenarios, device contextual attributes 225 might reflect the circumstances in which activity recognition device 210 is operating.", "Still further, device contextual attributes 225 might reflect multiple scenarios.", "For example, in an embodiment where digital representation 223 represents a video of a medical procedure, device contextual attributes 225 might include contextual attributes that closely relate to when the procedure is performed, possibly including information about the procedure, the health care provider, the location of the procedure (e.g., hospital, operating room, GPS coordinates, etc.", "), or other information.", "Additionally, the video might be used for training purposes after the procedure.", "In such a case, device contextual attributes 225 might include information about a trainee, teacher, results of the procedure, or other factors that pertain to activity recognition with respect to training.", "Thus, device contextual attributes 225 could have attributes associated with activity recognition device 210, a capturing device, or other device.", "In the example presented in FIG.", "2, device contextual attributes 225 are representative of the context of activity recognition device 210, which is a cell phone capturing a video of a male ice skater 224.Device contextual attributes 225 can take on a broad spectrum of information relating to device context.", "In preferred embodiments, device contextual attributes 225 adhere to a common namespace (i.e., a standardized nomenclature) with activity ingestion metadata associated with known activity graphs.", "This approach is advantageous because it allows for establishing matching criteria between a device context and known activity graphs tagged with similar ingestion metadata.", "Therefore, device contextual attributes 225 could also comprise sets of attribute-value pairs with respect to ingestion metadata.", "Activity recognition device 210 is configured to obtain digital representation 223 of a scene according to one or more techniques.", "In the example shown, digital representation 223 is obtained as sensor data from sensor 220 (e.g., a camera, microphone, accelerometer, etc.).", "In other embodiments, digital representation 223 could be obtained over a network.", "For example, a sporting event, such as an ice skating event, may be broadcast over a cable network or wireless network, and a consumer may record the ice skating event using a DVR device or a computer, such that the computer or DVR device is activity recognition device 210.In an embodiment, activity recognition device 210 can use device contextual attributes 225 as a query to access one or more sets of similarity scoring techniques 283 or other known activity graph object information.", "The query can be converted into device context criteria indicating the boundaries of a relevant device context in a common attributes namespace.", "Activity database 280 can respond to the query with contextually relevant scoring techniques 285 that satisfy the device context criteria.", "It should be appreciated that activity recognition device 210 can also receive one or more known activity graph objects having attributes that also satisfy the query.", "By submitting the query to activity database 280, activity recognition device 210 may select context relevant scoring techniques 285 from the set of similarity scoring techniques 283.In an embodiment, activity recognition device 210 analyzes digital representation 223 to generate a plurality of temporal features from digital representation 223.For example, the temporal features may be generated according to one or more feature detection algorithms used to generate the known activity graphs, as described with respect to FIG.", "1A.", "Temporal features 230 include features or descriptors that have a temporal aspects, possibly including SIFT, BRISK, SURF, FAST, BRIEF, Harris Corners, Edges, DAISY, GLOH, HOG, EOG, TILT, or other types of features that can have a temporal nature.", "For example, temporal features 230 could comprise clusters of trajectories of features across frames of a video data stream.", "In some embodiments, activity recognition device 210 can further recognize objects in digital representation 223 using the generated temporal features.", "The temporal features, or their descriptors, can be used to retrieve object information (e.g., name, identity, shape, color, content, etc.)", "related to recognized objects 270.For example, the object information can be used to further determine device contextual attributes 225, refine the activity recognition process, or filter a results set.", "Activity recognition device 210 establishes at least one observed activity graph 240 having nodes constructed from clusters of temporal features 230.Observed activity graph 240 is preferably constructed in the same manner in which the known activity graphs were established in FIG.", "1A above.", "As discussed previously, Wang 2013 describes one technique for constructing directed acyclic activity graphs.", "Thus, in an embodiment, both the known activity graphs and observed activity graph 240 may comprise directed acyclic graphs, wherein the nodes of the directed acyclic graphs include clusters of feature trajectories.", "However, one skilled in the art will appreciate that other types of activity graphs are also possible.", "Activity recognition device 210 calculates similarity activity scores 250 for observed activity graph(s) 240 as a function of the set of contextually relevant scoring techniques 285.It should be appreciated that more than one known activity graph might be contextually relevant to the current activity recognition task and that each activity graph might have multiple contextually relevant scoring techniques 285.Further, each activity graph could have different scoring techniques based on the contextual information.", "By reducing or filtering the number of possible known activity graphs to those that are contextually relevant, the computational resources necessary to provide a result are reduced.", "Similarity activity scores 250 can be calculated based on the one or more relevant scoring techniques (e.g., a Euclidean distance, a Radial Basis Function (RBF), a Chi-Square kernel, etc.)", "such as based on a function of the nodes within observed activity graph 240 and within the known activity graphs.", "The resulting scores may indicate a ranking of known activity graphs that are most similar to observed activity graph 240 with respect to a current context.", "It should be further appreciated that such a ranking also reflects context.", "In some embodiments, the similarity activity scores 250 can also be calculated based on node context-based weights (e.g., a matrix of weights, etc.).", "As such, activity recognition device 210 can recognize an observed activity as being similar to one or more known activities.", "Based on similarity activity scores 250, activity recognition device 210 may access activity recognition result set 260.Activity recognition result set 260 represents digital data that enables at least one further action based on recognition of the observed activity.", "The data, e.g., search results, could be ranked according the similarity activity scores 250 or based on the techniques, including Ranking SVM (support vector machine).", "For example, activity recognition results could include an address (e.g., URL, URI, file handle, IP address, etc.", "), an activity identifier, a search result, a recommendation, an anomaly, a warning, a segmentation, a command, a ranking, context relevant information, content information, a promotion, an advertisement, or other types of content or commands that can be bound to recognized activity graphs.", "In some embodiments, known activity graphs can be categorized into different classes.", "In such embodiments, activity recognition device 210 can be further configured to generate a classification of the observed activity based on similar activity scores 250.For example, a known activity graph could be classified into one or more domains, e.g., sports, context nominal behaviors, driving, etc.", "Activity recognition device 210 may then assign a classification to observed activity graph 240 and include the classification as part of activity recognition result set 260.In some cases, classification information may allow for charging third-parties for access to class-based recognition activity events.", "For example, during a televised sporting event, an advertiser might purchase exclusive rights to present advertisements when a specific activity, e.g., a touchdown or a home run, is observed by a consumer's cell phone.", "In some embodiments, known activity graphs may include temporal information that indicates a flow of multiple actions that string together or combine to form an overarching activity.", "Therefore, observed activity graph 240 might not perfectly align with known activity graphs, but might better align with portions of the known graphs where the portions of the known graphs could be considered sub-activities or actions.", "In such cases, activity recognition device 210 could be configured to predict a next action within an observed activity based on the similarity activity scores 250.The scores could indicate a probability of the next action matching a next action within the known activity graphs.", "Thus, activity recognition result set 260 could include a prediction with respect to observed activity graph 240.As a use case, consider a scenario where a computer game Al is instrumented with the disclosed techniques.", "The Al can observe activities of the players.", "When the observed activities appear to match a portion of known activities, the Al could implement an evasive maneuver that attempts to anticipate the players' next action based on what would be expected according to the known activities.", "FIG.", "3 illustrates a flow diagram of example operations of an activity recognition system in accordance with an embodiment.", "It should be appreciated that method 300 is executed by one or more computing devices configured to or programmed to have the disclosed roles or responsibilities of an activity recognition device, such as activity recognition device 210.For example, the activity recognition device may comprise a client device, including a cell phone, kiosk, personal data assistant, tablet computer, toy, vehicle, web camera, or other type of computer device.", "Further, the activity recognition device may include a sensor, e.g., sensor 220, which may comprise an image capture device (e.g., a video camera or a gaming console) equipped to capture image frames of video data.", "At step 302, a plurality of temporal features is generated from a digital representation of an observed activity using a feature detection algorithm.", "The digital representation may comprise one or more of image data, video data, audio data, tactile data, kinesthetic data, temperature data, kinematic data and radio signal data.", "At step 304, an observed activity graph comprising one or more clusters of temporal features generated from the digital representation is established, wherein each one of the one or more clusters of temporal features defines a node of the observed activity graph.", "For example, the nodes of the observed activity graph may comprise clusters of feature trajectories.", "At step 306, at least one contextually relevant scoring technique is selected from similarity scoring techniques for known activity graphs, the at least one contextually relevant scoring technique being associated with activity ingestion metadata that satisfies device context criteria defined based on device contextual attributes of the digital representation.", "The similarity scoring techniques may include at least one of a Euclidean distance, linear kernel, polynomial kernel, Chi-squared kernel, Cauchy kernel, histogram intersection kernel, Hellinger's kernel, Jensen-Shannon kernel, hyperbolic tangent (sigmoid) kernel, rational quadratic kernel, multiquadratic kernel, inverse multiquadratic kernel, circular kernel, spherical kernel, wave kernel, power kernel, log kernel, spline kernel, Bessel kernel, generalized T-Student kernel, Bayesian kernel, wavelet kernel, radial basis function (RBF), exponential kernel, Laplacian kernel, ANOVA kernel and B-spline kernel function.", "At step 308, a similarity activity score is calculated for the observed activity graph as a function of the at least one contextually relevant scoring technique, the similarity activity score being relative to at least one known activity graph.", "In some embodiments, an activity recognition result set may be generated based on the similarity activity score.", "Although aspects of Wang 2013 could be leveraged for use with respect to activity recognition, there are notable differences in the disclosed approach.", "The disclosed approach includes similarity scoring techniques coupled with ingestion metadata to determine which types of scoring should be applied during the recognition process.", "Other differences include encoding special relationships between known nodes via graph edge weights (that may also be context sensitive), using a hierarchy of graphs to reason about semantically complex events, allowing for graph topology defined by deeper relationships than simply temporal causality and spatial proximity (for example nodes related to a certain object instance may be connected despite being at a larger temporal or spatial spacing) and allowing for data from multiple sensor modalities to be combined into a single activity graph.", "In view that activities are analyzed at the graph-level or even at the node-level, the activity recognition device can recognize an activity or deviation from known activities without having access to private information.", "Consider the following security scenario.", "A public space, a mall or airport for example, might have thousands of people conducting various activities per day.", "The security system of the public space could operate as an activity recognition device.", "Based on ingested nominal activities, the security system can attempt to identify activities that do not match with known ingested activities.", "When objects (e.g., people, etc.)", "in the public space begin to deviate from the known activities (e.g., based on accelerometer data), the system can generate an alert.", "Of particular note, the analysis can be conducted without conducting a recognition process on particular individuals, thus ensuring privacy of the observed individuals.", "Yet another possible use case includes using known activity graphs as part of a physical therapy regime.", "In some embodiments, a database can be constructed to store a set or spectrum of desired physical activities that span across a treatment plan.", "As a patient works through the program, their progress can be monitored by capturing video of the session and comparing the video to corresponding activity graphs representing desired progress.", "In a similar vein, the techniques could be used for training purposes (e.g., sports, military, construction, surgery, etc.).", "Such an approach allows for athletes to compare themselves to best-in-class athletes, statistical norms, or even to computer generated activities graphs representing an estimated entitlement for an activity, or customized or choreographed activity.", "Still, further known activities associated with “plays”, (e.g., football plays, etc.)", "can be used to predict what play is likely to be deployed by a team.", "Still another possible application of the various embodiments includes using known activity graphs as a template for robotic interactions.", "Robots can use one or more known activity graphs as instructions on how to navigate through an activity.", "This technique leverages the predicative aspects of the technology.", "For example, the robot could obtain many known activity graphs that represent variations of an acceptable path through a specific activity, such as searching for victims of a natural disaster or taking inventory in a shopping center.", "When the robot enters or encounters a recognized activity or circumstance, the robot can attempt to take action based on predictions generated from the variations.", "The advantage of such an approach is that interactions with the robot could appear more naturalistic, rather than programmatic, due to the variations.", "Observed activities can also be used to unlock content.", "In some scenarios, observations of an activity can trigger presentation of advertisements or promotions to consumers.", "In such cases, brand managers could pay a fee for having some form of exclusivity with respect to providing their brand messaging in response to recognized “purchased” activities.", "Rights to specific activities could be accessed in exchange for a fee based on time, exclusivity, duration, an auction, or other factors.", "Further, a known activity could be used as a key or security token to unlock content.", "When a correct activity is observed or recognized, the content could be unlocked or access could be granted.", "There are a large number of possible variations associated with the disclosed techniques.", "In some embodiments, the activity graphs can be annotated or built from object interaction primitives by constructing nodes based the object primitives.", "The object interaction primitives represent a basic set of object-related actions.", "One primitive could comprise object persistence where the object remains stationary or unchanged over time.", "Another primitive could include an object transformation where a first object is changed to a second object.", "An object-to-object conserved interaction could represent when two objects have an interaction yet both objects remain unchanged.", "Still further, object segmentation could represent when one object gives rise to two objects.", "Yet another set of primitives might include object creation or object destruction.", "An object NULL interaction could be used to represent that no object is present.", "Very complex activity graphs can be constructed from such primitives.", "In some embodiments, an activity graph can take on a hierarchical structure.", "At a lowest level, a node in an activity graph could represent an object interaction primitive based on a cluster of trajectories.", "Such an activity graph could itself represent a larger action (i.e., a complex set of object interactions) and become a node in a larger activity graph.", "This progression can produce increasingly higher level graphs.", "For example, a movie could comprise a single activity graph having sub graphs representing scenes.", "The scene graphs could comprise nodes that are activity graphs representing actors or object.", "These in turn could be comprised of nodes that are represented by object interaction primitives.", "This hierarchical approach provides for multi-scale analysis among different types of activities through similarity of graph structures.", "The similarity scoring techniques can leverage kernel approaches, which also give rise to using known activity graphs to analyze observed activities, perhaps based on observing statistically significant numbers of activities.", "The kernel approach can be used for activity classification, regression (e.g., predicting actions, extrapolating, trending, etc.", "), clustering (e.g., crowd behaviors, consumer demographic analysis, etc.", "), outlier detection (e.g., anomalous behaviors, deviations from norms, etc.", "), ranking (e.g., ranking relative to an ideal performance of an action or event, ranking similar actions during retrieval, ranking similarity of different actions based on specific comparison criteria such as amount of motion, number of actors involved, or interplay between sensing modalities), segmentation (e.g., differentiation between the end of one action and the start of another), or other analysis techniques leveraging kernel methods.", "The similarity scoring techniques can also be weighted or filtered based on selected activities or domains.", "For example, an observed outdoor scene may be filtered based on a domain selected, e.g., by a user or based on a GPS location, such that cars, animals or other objects not relevant to the domain are automatically filtered out in a calculation of similarity activity scores.", "The disclosed techniques can be further refined to target embedded devices that have memory or processor constraints.", "In some embodiments, known activities graphs can have low-value nodes pruned, based on, for example, a principle component analysis (PCA).", "For example, nodes could be removed that lack contribution to differentiating one activity from another.", "Thus, the dimensionality of the graphs can be reduced or noise within a graph can be reduced by eliminating low contribution nodes.", "Still images can also be used to recognize activities.", "In some embodiments, activity graphs may include one or more key frames that are representative of corresponding actions associated with nodes.", "An observed still image can be compared to such key frames to determine if the observed still image aligns with the corresponding activity graph.", "In some embodiments, the activity recognition device can derive features from the still image and use the features to establish a mapping of the image into a graph scale of one or more known graphs through comparing the image features to the nodes of the known activity graph.", "It should be appreciated that the still image could be “spread” over multiple nodes of a known graph.", "For example, portions of the image (e.g., a recognized object) may correspond to a node corresponding to a first time (t0) while other portions (e.g., a second recognized object) may correspond to a different node at a different time (t1).", "Though such a convolution and calculated similarity activity scores, the activity recognition device can estimate how well the still image corresponds to a snapshot of one or more known activities.", "Systems, apparatus, and methods described herein may be implemented using digital circuitry, or using one or more computers using well-known computer processors, memory units, storage devices, computer software, and other components.", "Typically, a computer includes a processor for executing instructions and one or more memories for storing instructions and data.", "A computer may also include, or be coupled to, one or more mass storage devices, such as one or more magnetic disks, internal hard disks and removable disks, magneto-optical disks, optical disks, etc.", "Systems, apparatus, and methods described herein may be implemented using computers operating in a client-server relationship.", "Typically, in such a system, the client computers are located remotely from the server computers and interact via a network.", "The client-server relationship may be defined and controlled by computer programs running on the respective client and server computers.", "A high-level block diagram of an exemplary client-server relationship that may be used to implement systems, apparatus and methods described herein is illustrated in FIG.", "4.Client-server relationship 400 comprises client 410 in communication with server 420 via network 430, and illustrates one possible division of activity recognition tasks between client 410 and server 420.For example, client 410, in accordance with the various embodiments described above, may be configured to communicate with server 420, and facilitate an activity recognition search by generating a digital representation of an observed activity and/or by sending a digital representation of an observed activity data to server 420.Server 420 may be configured to generate a plurality of temporal features from the digital representation of the observed activity using a feature detection algorithm, establish an observed activity graph comprising one or more clusters of temporal features generated from the digital representation, select at least one contextually relevant scoring technique from similarity scoring techniques for known activity graphs, and calculate a similarity activity score for the observed activity graph as a function of the at least one contextually relevant scoring technique, the similarity activity score being relative to at least one known activity graph.", "In some embodiments, server 420 may be further configured to receive digital representation data from client 410, conduct an activity recognition search based on the digital representation data, generate an activity recognition result set and send the activity recognition result set to client 410.One skilled in the art will appreciate that the exemplary client-server relationship illustrated in FIG.", "4 is only one of many client-server relationships that are possible for implementing the systems, apparatus, and methods described herein.", "As such, the client-server relationship illustrated in FIG.", "4 should not, in any way, be construed as limiting.", "Examples of client devices 410 can include cell phones, kiosks, personal data assistants, tablet computers, toys, vehicles, web cameras, or other types of computing devices.", "Systems, apparatus, and methods described herein may be implemented using a computer program product tangibly embodied in an information carrier, e.g., in a non-transitory machine-readable storage device, for execution by a programmable processor; and the method steps described herein, including one or more of the steps of FIGS.", "1B and 3, may be implemented using one or more computer programs that are executable by such a processor.", "A computer program is a set of computer program instructions that can be used, directly or indirectly, in a computer to perform a certain activity or bring about a certain result.", "A computer program can be written in any form of programming language, including compiled or interpreted languages, and it can be deployed in any form, including as a stand-alone program or as a module, component, subroutine, or other unit suitable for use in a computing environment.", "A high-level block diagram of an exemplary apparatus that may be used to implement systems, apparatus and methods described herein is illustrated in FIG.", "5.Apparatus 500 comprises a processor 510 operatively coupled to a persistent storage device 520 and a main memory device 530.Processor 510 controls the overall operation of apparatus 500 by executing computer program instructions that define such operations.", "The computer program instructions may be stored in persistent storage device 520, or other computer-readable medium, and loaded into main memory device 530 when execution of the computer program instructions is desired.", "For example, activity recognition device 210 and sensor 220 may comprise one or more components of apparatus 500.Thus, the method steps of FIGS.", "1B and 3 can be defined by the computer program instructions stored in main memory device 530 and/or persistent storage device 520 and controlled by processor 510 executing the computer program instructions.", "For example, the computer program instructions can be implemented as computer executable code programmed by one skilled in the art to perform an algorithm defined by the method steps of FIGS.", "1B and 3.Accordingly, by executing the computer program instructions, the processor 510 executes an algorithm defined by the method steps of FIGS.", "1B and 3.Apparatus 500 also includes one or more network interfaces 580 for communicating with other devices via a network.", "Apparatus 500 may also include one or more input/output devices 590 that enable user interaction with apparatus 500 (e.g., display, keyboard, mouse, speakers, buttons, etc.).", "Processor 510 may include both general and special purpose microprocessors, and may be the sole processor or one of multiple processors of apparatus 500.Processor 510 may comprise one or more central processing units (CPUs), for example.", "Processor 510, persistent storage device 520, and/or main memory device 530 may include, be supplemented by, or incorporated in, one or more application-specific integrated circuits (ASICs) and/or one or more field programmable gate arrays (FPGAs).", "Persistent storage device 520 and main memory device 530 each comprise a tangible non-transitory computer readable storage medium.", "Persistent storage device 520, and main memory device 530, may each include high-speed random access memory, such as dynamic random access memory (DRAM), static random access memory (SRAM), double data rate synchronous dynamic random access memory (DDR RAM), or other random access solid state memory devices, and may include non-volatile memory, such as one or more magnetic disk storage devices such as internal hard disks and removable disks, magneto-optical disk storage devices, optical disk storage devices, flash memory devices, semiconductor memory devices, such as erasable programmable read-only memory (EPROM), electrically erasable programmable read-only memory (EEPROM), compact disc read-only memory (CD-ROM), digital versatile disc read-only memory (DVD-ROM) disks, or other non-volatile solid state storage devices.", "Input/output devices 590 may include peripherals, such as a printer, scanner, display screen, etc.", "For example, input/output devices 590 may include a display device such as a cathode ray tube (CRT), plasma or liquid crystal display (LCD) monitor for displaying information (e.g., a plurality of image transformations for selection) to a user, a keyboard, and a pointing device such as a mouse or a trackball by which the user can provide input to apparatus 500.Any or all of the systems and apparatus discussed herein, including activity recognition device 210 and sensor 220 may be performed by, and/or incorporated in, an apparatus such as apparatus 500.One skilled in the art will recognize that an implementation of an actual computer or computer system may have other structures and may contain other components as well, and that FIG.", "5 is a high level representation of some of the components of such a computer for illustrative purposes.", "The foregoing specification is to be understood as being in every respect illustrative and exemplary, but not restrictive, and the scope of the invention disclosed herein is not to be determined from the specification, but rather from the claims as interpreted according to the full breadth permitted by the patent laws.", "It is to be understood that the embodiments shown and described herein are only illustrative of the principles of the present invention and that various modifications may be implemented by those skilled in the art without departing from the scope and spirit of the invention.", "Those skilled in the art could implement various other feature combinations without departing from the scope and spirit of the invention." ] ]
Patent_15875681
[ [ "Geofence Information Delivery Systems and Methods", "The present invention is directed to methods and systems for querying a database of geofences.", "A method for querying a database of geofences in the present invention includes generating at a device a first request comprising an Internet Protocol address, converting the IP address to a geographic location, identifying one or more geofences associated with the geographic location, and creating a first response to the first request wherein the first response comprises information describing the one or more geofences." ], [ "1.A method for delivering geofence information by one or more processors, comprising: generating at a device a first request, wherein the first request is a Domain Name System (DNS) query comprising an Internet Protocol (IP) address; converting the IP address to a geographic location point; matching the geographic location point to at least one anchor point, wherein a single anchor point of the at least one anchor point defines a geofence, is located on or within a geofence boundary, and is a geofence address; creating a first response to the first request, wherein the first response is a DNS response comprising the at least one anchor point; generating at the device at least one second request, wherein the at least one second request is a second DNS query comprising one of the at least one anchor point; identifying a geofence associated the one of the at least one anchor point; identifying fence points of the identified geofence; and creating at least one second response to the at least one second request wherein the at least one second response comprises information describing the identified geofence, including the fence points of the identified geofence; wherein the information describing the identified geofence comprises an indication whether the identified geofence is verified or unverified and at least one class of the identified geofence; and wherein the IP address is an Internet Protocol version 6 (IPv6) address.", "2.The method of claim 1 wherein the first response to the first request corresponds to a Region of Interest (ROI).", "3.The method of claim 1, wherein the information describing the identified geofence further comprises an entitlement of the identified geofence, a time-to-live value, or a context summary of the identified geofence.", "4.The method of claim 1, wherein converting the IP address to the geographic location point comprises using the IP address as a database key and querying a geofence database with data stored thereon for IP addresses, anchor points for geofences, and coordinate points for geofences.", "5.The method of claim 1, wherein the first request, the at least one second request, converting the IP address to the geographic location point, the IP address, the at least one anchor point, or the information describing the identified geofence is displayed via a Graphical User Interface (GUI), wherein the GUI comprises an interactive map showing boundaries and the fence points of the one or more geofences.", "6.The method of claim 1, wherein the IP address is associated with an Internet connection, wherein the device is located within a connection range of the Internet connection when the step of creating a first response to the first request is performed.", "7.The method of claim 1, wherein the IP address is associated with a WiFi connection, wherein the device is located within signal range of at least one WiFi access point associated with the WiFi connection when the step of creating the first response to the first request is performed.", "8.The method of claim 1, further comprising filtering the at least one anchor point by the at least one class of the identified geofence.", "9.The method of claim 1, wherein the DNS query and the second DNS query are validated by DNS Security (DNSSEC).", "10.The method of claim 1, wherein the at least one class of the identified geofence is encoded in a CNAME, TXT, or other Resource Record.", "11.The method of claim 1, further comprising analyzing geometry of the identified geofence at the device and computing actions based on the geometry of the identified geofence and the information describing the geofence.", "12.A system for a geofence delivery network, comprising: at least one geofence database with at least one geofence; and at least one server comprising a conversion engine and a search engine; wherein a geofence is defined by one anchor point, wherein the one anchor point is located on or within a geofence boundary and is at least one geofence address; wherein the conversion engine is configured to convert between an Internet Protocol (IP) address and a coordinate point of a geographic location; wherein the search engine is configured to match the coordinate point of the geographic location to at least one anchor point; wherein the search engine is configured to receive a Domain Name System (DNS) query via a network and query the at least one geofence database to identify one or more anchor points; wherein the search engine is further configured to query the at least one geofence database to identify one or more geofences with corresponding fence points; wherein the search engine is operable to send a DNS response comprising the one or more anchor points, information describing the one or more identified geofences, and/or the corresponding fence points; wherein the information describing the one or more identified geofences comprises at least one of an indication whether each of the one or more identified geofences is verified or unverified and at least one class of the one or more identified geofences; and wherein the IP address is an Internet Protocol version 6 (IPv6) address.", "13.The system of claim 12, further comprising a Graphical User Interface (GUI), wherein the GUI is configured to receive a request and display a response.", "14.The system of claim 13, wherein the GUI comprises an interactive map showing boundaries, and the corresponding fence points of the one or more identified geofences.", "15.The system of claim 12, wherein the DNS response further comprises an entitlement of the one or more identified geofences, a time-to-live value, and a context summary of the one or more identified geofences.", "16.The system of claim 12, wherein search engine is operable to validate the DNS query with DNS Security (DNSSEC).", "17.The system of claim 12, further comprising an application program communicating with the at least one server and the at least one geofence database via the network.", "18.The system of claim 12, wherein the information describing the one or more identified geofences is stored in the geofence database.", "19.The system of claim 12, wherein the at least one geofence is non-centriodal.", "20.A method for delivering geofence information by one or more processors, comprising: establishing a connection to an application program installed on at least one computing device; receiving and processing a first request from the at least one computing device over a network, the first request comprising an Internet Protocol (IP) address; converting the IP address to a geographic location point, and matching at least one anchor point corresponding to the geographic location point through a database using the IP address as a database key, wherein a single anchor point of the at least one anchor point defines a geofence, is located on or within a geofence boundary, and is an address of the geofence; identifying one or more geofences corresponding to the at least one anchor point; identifying a range of geographic location points associated with fence points of one or more geofences; creating a response to the first request wherein the response comprises information describing the one or more geofences, including the range of geographic location points within the one or more geofences; wherein the range of geographic location points within the one or more geofences correspond to fence points for the one or more geofences; wherein the first request is a Domain Name System (DNS) query and wherein the response is a DNS response; wherein the response to the first request corresponds to a Region of Interest (ROI); wherein the information describing the one or more geofences includes an entitlement of the one or more geofences; wherein the one or more geofences is defined by at least one geographic designator, wherein the at least one geographic designator is the geographic location point or a set of geographic location points; and wherein the IP address is an Internet Protocol version 6 (IPv6) address." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The present invention is directed to methods and systems for querying a database of geofences, with each geofence in the database being associated with a plurality of geographic designators, wherein each of the plurality of geographic designators is associated with an IP address.", "The database also includes other relevant information associated with the geofence, such as the owner of the geofence, any licensees of the geofence, and a class of the geofence.", "One embodiment of the present invention is directed to a method for querying previously registered at least one geofence in a database of geofences, after defining each geofence using at least one geographic designator, assigning an internet protocol (IP) address to each of the at least one geographic designators defining the geofence, and storing the at least one geographic designator and the assigned IP address of the at least one geographic designator in the database of geofences, wherein the IP address assigned to each of the at least one geographic designators is a unique identifier of the geographic designator.", "In a preferred embodiment, the IP address is an IPv6 address.", "This embodiment is preferred because of the enhanced functionality that is included with IPv6, including the ability to more closely define the boundaries of the geofences.", "Another embodiment of the present invention is directed to a method for finding a geofence in a geofence database, including determining a geographic location, searching for the geographic location in the geofence database, and identifying at least one geofence associated with the geographic location, wherein the at least one geofence is defined by a plurality of geographic designators, wherein each geographic designator is associated with an IP address.", "A further embodiment of the present invention is directed to querying a geofence database system including a geofence database including at least one geofence and a server including a processor, wherein the at least one geofence is defined using at least one geographic designator associated with an Internet Protocol (IP) address, wherein the server is operable to register the at least one geofence, the at least one geographic designator, and the associated IP address in the geofence database, and wherein the associated IP address is a unique identifier of the at least one geographic designator.", "One embodiment of the present invention is directed to a method for delivering geofence information by one or more processors.", "The method includes receiving a first request comprising a coordinate point; converting a coordinate point of a geographic location to an IP address and generating an anchor point corresponding to the IP address; identifying one or more geofences that overlap or are associated with the coordinate point; creating a response to the request with the information describing the one or more identified geofences.", "Another embodiment of the present invention provides for generating at the device a second request and creating a second response to the second request wherein the second response comprises information describing the one or more identified geofences.", "Another embodiment of the present invention is directed to a system of geofence delivery network.", "The geofence delivery network includes at least one server and at least one geofence database.", "The at least one server includes a conversion engine and a search engine.", "The conversion engine is configured to convert between a coordinate point of a geographic location to an IP address and to generate an anchor point associated with a geofence.", "Preferably the IP address is an IPv6 address.", "The search engine is configured to query the at least one geofence database and identify one or more geofences having respective geographic areas that overlap with the coordinate point.", "Preferably, there is also a Graphical User Interface (GUI) for receiving a request and displaying a response.", "Such a GUI interface has an interactive 2D map showing the boundaries of the identified one or more geofences.", "These and other aspects of the present invention will become apparent to those skilled in the art after a reading of the following description of the preferred embodiment when considered with the drawings, as they support the claimed invention." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS The present invention claims the benefit and priority of one or more co-pending prior filed applications: it is a continuation of U.S. patent application Ser.", "No.", "14/953,485, filed Nov. 30, 2015, which is a continuation-in-part of U.S. patent application Ser.", "No.", "14/745,951, filed Jun.", "22, 2015, which is a continuation-in-part of U.S. patent application Ser.", "No.", "14/728,259, filed Jun.", "2, 2015, now U.S. Pat.", "No.", "9,363,638, each of which is incorporated herein by reference in its entirety.", "BACKGROUND OF THE INVENTION 1.Field of the Invention The present invention relates generally to querying a database of geofences, with each geofence in the database being associated with a plurality of geographic designators, wherein each of the plurality of the geographic designators is associated with an IP address.", "2.Description of the Prior Art Systems, methods, and devices for creating databases of land are well-known in the prior art.", "It is also known to have an IP address associated with a general location, such as a city or zip code.", "Furthermore, location-based beacon technologies have entered the mass markets providing geo-location and enabling of portable wireless devices for venue and in-store customer marketing, sales and CRM services.", "Real estate ownership and the management of business services within the constraints of the business space, like a mall or convention center, has become open game for outside competitive customer poaching and other kinds of interference.", "Furthermore, geo-fencing could address other contentious applications and their use, such as texting while driving.", "Ubiquitous smartphone usage and location based mobile marketing and communication have become prevalent in today's society.", "With 1.75 billion smartphone users in 2014 and 85% of the top 100 retailers estimated to be using beacon technology by 2016, opportunities for determining the interactions of the smartphones, beacons, and the Internet generally within defined spaces are numerous.", "Exemplary US Patent documents in the prior art include: US Pub.", "No.", "2015/0031398 for “Zone-Based Information Linking Systems and Methods” by Rahnama, filed Jul.", "29, 2015 and published Jan. 29, 2015, describes a method of linking to a geo-fenced zone, the method comprising: configuring a device to operate as a document processing engine according to zone address identification rules; obtaining, by the document processing engine, a digital document; identifying, by the document processing engine, at least one zone address token in the digital document according to the zone address identification rules; resolving the at least one zone address token to a network address related to a target zone; and enabling the device to link communicatively to the target zone according to the network address.", "US Pub.", "No.", "2002/0035432 for “Method and system for spatially indexing land” by Kubica, filed Jun.", "8, 2001 and published May 31, 2007, describes a method of spatially indexing land by selecting a parcel (100) of land and extending its boundaries (110) to include a portion of adjacent streets (125) and alleys (122) to define a cell (150).", "A unique identifier is assigned to the cell as well as a reference point (170) within the cell (150).", "The reference point has a known location in a global referencing system.", "An internet address is assigned to the cell which identifies its location, such as the location of the reference point within the cell.", "This information and other data associated with the cell is then stored in an OX Spatial Index database and includes the street address for the cell and other relevant information such as owner, what type building if any is on the property, location of utility lines, etc.", "A Spatial Internet Address which includes the geographic location of the cell is assigned for each cell and this information is also stored in the index.", "The index thereby created can be used for various applications such as determining a user's location and locating geographically relevant information by searching the index and connecting to websites associated with the user's vicinity.", "U.S. Pat.", "No.", "6,920,129 for “Geo-spatial internet protocol addressing” by Preston, filed Nov. 30, 2000 and issued Jul.", "19, 2005, describes conversion of latitude and longitude to an addressing scheme that supports current TCP/IP (Ipv4) and future addressing (Ipv6/Ipng) requirements.", "More specifically, it allows a decentralization of the unicast point to a device on the hosted network.", "Geographical Internet Protocol (geolP) addressing will facilitate anycast routing schemes in which the nearest node has a statically assigned geolP.", "Geo-routing and network management become a function of the geoIP address.", "U.S. Pat.", "No.", "8,812,027 for “Geo-fence entry and exit notification system” by Obermeyer, filed Aug. 15, 2012 and issued Aug. 19, 2014, describes a method for determining when a mobile communications device has crossed a geo-fence.", "The method comprises (a) providing a mobile communications device (209) equipped with an operating system and having a location detection application resident thereon, wherein the mobile communications device is in communication with a server (211) over a network (203), and wherein the server maintains a geo-fence database (213); (b) receiving, from the operating system, a notification that (i) the location of the mobile communications device has changed by an amount that exceeds a predetermined threshold, or (ii) that a period of time has passed; (c) querying the operating system for a data set comprising the general location of the mobile communications device and the corresponding location accuracy; (d) transmitting the data set to the server; and (e) receiving from the server, in response, a set of geo-fences (205) proximal to the general location.", "U.S. Pat.", "No.", "8,837,363 for “Server for updating location beacon database” by Jones, filed Sep. 6, 2011 and issued Sep. 16, 2014, describes a location beacon database and server, method of building location beacon database, and location based service using same.", "Wi-Fi access points are located in a target geographical area to build a reference database of locations of Wi-Fi access points.", "At least one vehicle is deployed including at least one scanning device having a GPS device and a Wi-Fi radio device and including a Wi-Fi antenna system.", "The target area is traversed in a programmatic route to reduce arterial bias.", "The programmatic route includes substantially all drivable streets in the target geographical area and solves an Eulerian cycle problem of a graph represented by said drivable streets.", "While traversing the target area, Wi-Fi identity information and GPS location information is detected.", "The location information is used to reverse triangulate the position of the detected Wi-Fi access point; and the position of the detected access point is recorded in a reference database.", "U.S. Pat.", "No.", "8,892,460 for “Cell-allocation in location-selective information provision systems” by Golden, et al., filed Aug. 29, 2014 and issued Nov. 18, 2014, describes system and methods for allocating cells within a virtual grid to content providers according to various priority and selection schemes are used to target content delivery to information playback devices in a geographically and/or application selective manner.", "The priority schemes, geographical selectivity, and application selectivity of the system and methods of the invention allow a content provider to specifically target a desired demographic with high cost efficiency and flexibility.", "US Pub.", "No.", "2014/0171013 for “Monitoring a mobile device en route to destination” by Varoglu, filed Dec. 17, 2012 and published Jun.", "19, 2014, describes a system, method and apparatus are disclosed for monitoring a mobile device en route to a destination.", "A user of a monitored device specifies geo-fence regions along a route to the destination.", "Entry and exit of regions triggers the sending of event notifications to a monitoring device.", "Event notifications may be sent if an estimated time of arrival changes due to delay.", "Event notifications may be sent if the monitored device deviates from a planned route by a threshold distance.", "Event notifications may be sent through a direct communication link between the monitored device and monitoring device or through a location-based service.", "U.S. Pat.", "No.", "8,634,804 for “Devices, systems, and methods for location based billing” by McNamara, filed Dec. 7, 2009, and issued Jan. 21, 2014, describes devices, systems and methods are disclosed which relate to billing users of a telecommunication network.", "A billing server is in communication with a geo-fence database.", "The geo-fence database contains a plurality of geo-fences.", "Some geo-fences are associated with a single mobile communication devices, such as a home geo-fence, work geo-fence, etc., while other geo-fences are global, such as a stadium geo-fence, toll geo-fence, etc.", "When a mobile communication device enters the perimeter of a geo-fence, a billing server changes the billing rate at which connections are billed to the user account or bills another user account.", "The mobile communication device may send a ticket code to the billing server for a reduced billing rate while within a geo-fence.", "If a mobile communication device enters a toll geo-fence, then the billing server charges the user account for the toll.", "SUMMARY OF THE INVENTION The present invention is directed to methods and systems for querying a database of geofences, with each geofence in the database being associated with a plurality of geographic designators, wherein each of the plurality of geographic designators is associated with an IP address.", "The database also includes other relevant information associated with the geofence, such as the owner of the geofence, any licensees of the geofence, and a class of the geofence.", "One embodiment of the present invention is directed to a method for querying previously registered at least one geofence in a database of geofences, after defining each geofence using at least one geographic designator, assigning an internet protocol (IP) address to each of the at least one geographic designators defining the geofence, and storing the at least one geographic designator and the assigned IP address of the at least one geographic designator in the database of geofences, wherein the IP address assigned to each of the at least one geographic designators is a unique identifier of the geographic designator.", "In a preferred embodiment, the IP address is an IPv6 address.", "This embodiment is preferred because of the enhanced functionality that is included with IPv6, including the ability to more closely define the boundaries of the geofences.", "Another embodiment of the present invention is directed to a method for finding a geofence in a geofence database, including determining a geographic location, searching for the geographic location in the geofence database, and identifying at least one geofence associated with the geographic location, wherein the at least one geofence is defined by a plurality of geographic designators, wherein each geographic designator is associated with an IP address.", "A further embodiment of the present invention is directed to querying a geofence database system including a geofence database including at least one geofence and a server including a processor, wherein the at least one geofence is defined using at least one geographic designator associated with an Internet Protocol (IP) address, wherein the server is operable to register the at least one geofence, the at least one geographic designator, and the associated IP address in the geofence database, and wherein the associated IP address is a unique identifier of the at least one geographic designator.", "One embodiment of the present invention is directed to a method for delivering geofence information by one or more processors.", "The method includes receiving a first request comprising a coordinate point; converting a coordinate point of a geographic location to an IP address and generating an anchor point corresponding to the IP address; identifying one or more geofences that overlap or are associated with the coordinate point; creating a response to the request with the information describing the one or more identified geofences.", "Another embodiment of the present invention provides for generating at the device a second request and creating a second response to the second request wherein the second response comprises information describing the one or more identified geofences.", "Another embodiment of the present invention is directed to a system of geofence delivery network.", "The geofence delivery network includes at least one server and at least one geofence database.", "The at least one server includes a conversion engine and a search engine.", "The conversion engine is configured to convert between a coordinate point of a geographic location to an IP address and to generate an anchor point associated with a geofence.", "Preferably the IP address is an IPv6 address.", "The search engine is configured to query the at least one geofence database and identify one or more geofences having respective geographic areas that overlap with the coordinate point.", "Preferably, there is also a Graphical User Interface (GUI) for receiving a request and displaying a response.", "Such a GUI interface has an interactive 2D map showing the boundaries of the identified one or more geofences.", "These and other aspects of the present invention will become apparent to those skilled in the art after a reading of the following description of the preferred embodiment when considered with the drawings, as they support the claimed invention.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic diagram illustrating a virtualized computing network used in one embodiment of the present invention.", "FIG.", "2 is a flowchart for delivering geofence information based on a request.", "FIG.", "3 is one embodiment of a graphical user interface (GUI) for a latitude/longitude geofence search, showing a list of geofences and corresponding information.", "FIG.", "4 illustrates one embodiment of a GUI for fence delivery network, including several options of defining a geofence, including defining the geofence by real property boundaries, defining the geofence by the radius around a beacon, defining the geofence by the perimeter of a building.", "FIGS.", "5A & 5B show a flowchart illustrating steps for querying a geofence database.", "FIG.", "6 is a diagram illustrating zoom level to class binding when using a pyramid projection.", "FIG.", "7 illustrates a 3-D model overview.", "FIG.", "8 is a 2-D model overview.", "FIG.", "9 is a PRIOR ART schematic diagram for geofencing solutions.", "FIG.", "10 is a schematic diagram for geofencing solutions according to the present invention.", "FIG.", "11 is a schematic diagram illustrating the encoding of a class and entitlement on an IPv6 address.", "DETAILED DESCRIPTION The present invention provides methods and systems for querying at least one geofence registered in a database of geofences, with each geofence in the database being associated with a plurality of geographic designators, wherein each of the plurality of geographic designators is associated with an Internet Protocol (IP) address.", "The database also includes other relevant information associated with the geofence, such as the owner of the geofence, any licensees of the geofence, a class of the geofence, and more.", "Advantageously, geofences associated with a plurality of geographic designators, wherein each of the plurality of geographic designators is associated with an IP address provide for improved functionality, characteristics, and qualities of the geofence.", "Particularly, associating geofences with IPv6 addresses provides for at least improved safety, security, privacy, fair competition, competition management, resolution, definition, lookup, and control.", "By contrast to the present invention, none of the prior art addresses the longstanding need for querying a database of geofences, with the geofences being associated with a plurality of geographic designators, wherein each of the plurality of geographic designators is associated with an IP address.", "The prior art geofences are almost all directed to centric or centroid technology, such as beacons.", "In the prior art, the functions within the geofence are typically limited to one function, such as advertising.", "Also, the intent around defining geofences is not as defined as in the present invention.", "In other words, the intent of an owner of a geofence does not correlate to the actual definition of the geofence in the prior art.", "A centroid geofence does not necessarily cover the entire intended area, nor does the centroid geofence only cover areas that are meant to be covered in the prior art.", "Instead, devices that the owner of the geofence wishes to receive content might not receive content due to the definition of the geofence surrounding the beacon.", "Similarly, devices that the owner of the geofence does not wish to receive content might receive content due to the definition of the geofence surrounding the beacon.", "Thus, there remains a need for methods and systems which provide for creating a database of geofences, wherein the geofences are defined by the intent and context of the content to be made accessible, inaccessible, or required for devices located within the geofence.", "Prior art provides for positioning with mobile communication devices via operating systems (such as Google Android and Apple iOS) using latitude and longitude (Lat/Long) single points, which are always wrong or inaccurate, having both accuracy and range at the level of meters.", "Mobile operating system vendors use wi-fi, iBeacon, global positioning system (GPS), magnetometer, and inertial navigation to determine location for mobile communication devices.", "Note that the present invention systems and methods are not used to provide for determining position or improve accuracy of the prior art.", "However, the present invention systems and methods advantageously provide for fast and accurate geofence identification, registration, and lookup via mobile devices.", "In one embodiment of the present invention, a method is provided for finding a geofence in a geofence database, including determining a geographic location, searching for the geographic location in the geofence database, and identifying at least one geofence associated with the geographic location, wherein the at least one geofence is defined by a plurality of geographic designators, wherein each geographic designator is associated with an IP address.", "In methods and systems for delivering geofence information by one or more processors according to the present invention, the following steps are included: generating at the device a first request comprising a coordinate point of a geographic location associated with the device; converting the coordinate point (dB key for a region) to an Internet Protocol (IP) address and generating an anchor point corresponding to the IP address; identifying one or more geofences that are associated with the coordinate point; and creating a response to the request wherein the response comprises information describing the one or more identified geofences.", "In a deployment diagram, the first step does not require being performed over the network, because it is provided for determining a position using the DNS resolver; then querying with a single IP address; receiving an anchor point with IP address within the DNS resolver block functions; noting that multiple anchor points exist for the multiple geofences within the ROI; all requesting steps from the fencing agent are made between the DNS revolver and the public infrastructure; within the portal and in continuous production of geometry where the system and methods of the present invention automatically generate the anchor points, and then automatically identify or locate them within the public infrastructure, then if the fencing agent is left of the red dots in FIG.", "11, the fencing agent reasons about the relationship between the fence geometry and a correct position within the geofence (right of red in FIG.", "11).", "Another embodiment of the present invention provides for querying a geofence database system including a geofence database including at least one geofence defined by a plurality of geographic designators, wherein each geographic designator is associated with an Internet Protocol (IP) address and a server including a processor, wherein the server is operable to register the at least one geofence and the associated IP address in the geofence database and wherein the associated IP address is a unique identifier of the at least one geofence.", "Preferably, the first request is a Domain Name System (DNS) query and the response is a DNS response.", "In one embodiment, the step of converting the coordinate point to the IP address comprises querying a geofence database with data stored thereon for IP addresses, anchor points for geofences, and coordinate points for geographic locations.", "In another embodiment, the step of identifying one or more geofences comprises querying a geofence database, wherein the geofence database stores information describing each geofence.", "Preferably, the information describing each geofence includes at least one of an indication whether the geofence is verified or unverified, a class of the geofence, an entitlement of the geofence, a time-to-live value, and a context summary of the geofence.", "Preferably, meta data is distributed in response to forward DNS queries and reverse DNS queries.", "In one embodiment, a names facet of DNS is used to achieve results with the same or similar accuracy as the reverse DNS approach described herein.", "This is in part because of enormous allocations of IPv6 addresses in the present invention.", "The names facet DNS approach is operable to be cloned for countries that don't use the Internet services approach of the United States and still operate in a similar fashion.", "A numbers DNS approach also preferably avoids interference from network operators, such as hospitality and airline network operators, which causes issues with previous forms of filter forward DNS.", "Internet service providers and communication service providers have also often utilized an approach to filter forward DNS which results in poor results and annoyance for users.", "The forms of forward DNS and reverse DNS described herein include a names facet approach to DNS and a numbers approach to DNS, and preferably overcome the problems of the prior art including implementing services in countries that do not want to depend on the US for internet services, network operators that mishandle or inefficiently use DNS, and internet service providers which filter forward DNS in ways that result in inaccurate results or inefficient use of DNS.", "A preferred embodiment of the reverse DNS model is outlined below.", "Acronyms include FA (Fencing Agent), DNS (Domain Name Service), DNSSEC (DNS Security), RR (Resource Record), IP (Internet Protocol), TXT (Resource Record of type “text”).", "In both the forward and reverse DNS model, DNSSEC compliant DNS resolvers will assure the FA of RR authenticity.", "In this preferred reverse DNS model, an IP address is used to encode location, class, and entitlement data.", "From FA perspective, steps include (1) determine location, (2) encode location in IP address (preferably IPv6 address), (3) use this address to compute a cell for my ROI (region of interest), (4) DNS query for IPV6 address for the cell, (5) read the list of anchor points from the TXT resource record on the DNS response (anchor points are IPV6 addresses as well), (6) filter anchor points by class (class data is encoded on the IP addresses), (7) DNS query for IPV6 address for the resulting anchor points, (8) read the list of fence points from the TXT RR, (9) compute metadata from the anchor and fence points, (10) build in memory geometry from points, (11) analyze resulting geometry and compute actions based on FA location and metadata.", "An example includes the following sequence: 2001:4700:f33d:0003:07aa:b18d:03fe:aab3, with 2001:4700:f33d: identifying the network, 0003:07aa:b18d:03fe: identifying the location, and aab3 identifying the metadata.", "A preferred embodiment of the forward DNS model is outlined below.", "Acronyms include FA (Fencing Agent), DNS (Domain Name Service), DNSSEC (DNS Security), RR (Resource Record), IP (Internet Protocol), TXT (Resource Record of type “text”).", "In both the forward and reverse DNS model, DNSSEC compliant DNS resolvers will assure the FA of RR authenticity.", "In this preferred forward DNS model, location or metadata could be stored in any DNS resource record (RR) rather than being encoded on the IP address exclusively.", "A list of Resource Records is available at http1://n.wikipedia.org/wiki/List_of_record_types, and is well-known in the art.", "From a FA perspective, steps include (1) determine location, (2) use this location to compute a text cell name for my ROI (region of interest), (3) DNS query for the name of the cell, (4) read the list of anchor point names from the TXT resource record on the DNS response, (5) filter anchor points by class (class data may be encoded in a CNAME or another RR like TXT, (6) DNS query for the names of the resulting anchor points, (7) read the list of fence points from the TXT RR, (8) compute metadata from the anchor and fence points, (9) build in memory geometry from points, and (10) analyze resulting geometry and compute actions based on FA location and metadata.", "An example includes the following sequence: 000307aab18d03fe.deadbeef.geofrenzy.com, with 000307aab18d03fe.identifying the location, deadbeef identifying the metadata, and geofrenzy.com identfiying the domain.", "The present invention also provides for a method for querying for a geofence registered in a database of geofences, the method including defining a geofence using at least one geographic designator, assigning an internet protocol (IP) address to each of the at least one geographic designators defining the geofence, and storing the at least one geographic designator and the assigned IP address of the at least one geographic designator in the database of geofence, wherein the IP address assigned to each of the at least one geographic designators is a unique identifier of the geofence.", "In preferred embodiments, the IP address is an IPv6 address, which has enhanced functionality that is associated with IPv6, including providing for improved geofence registration, faster geofence identification/lookup, and the ability to more accurately define the geofences, including the intent of the geofence owner for classes and/or entitlements that provide for permissions for activities, access, and/or messages within the associated geofence.", "In preferred embodiments of the present invention, the geofence is a non-centroid or non-centric geofence.", "Encoding anchor point(s) with IPv6 addresses may be illustrated by FIG.", "11 showing pyramid projections having multiple levels.", "In a flat projection, zoom level 1 provides for coverage of the entire planet; these expand to zoom level 32 at 64 bit density, which provide for class and/or use or entitlement identification within the metadata for lookup.", "By way of example and not limitation, a tile mapping system may be used; tile naming provides for a directory structure that indexes for search and lookup within the systems and methods of the present invention.", "In systems and methods of the present invention, geofence anchor points are provided and defined as a member point on a boundary of a geofence or within a geofence boundary and are used as the geofence address, i.e., the geofence address that is registered with the geofence registry.", "Notably, multiple overlapping geofences can occupy the same physical space or geographic space.", "Significantly, in the present invention, the geofences are defined not by lat/long but by a member point or anchor point, which can be on the boundary of a geofence or within the boundary of that geofence.", "The intent or purpose of the geofence, which is defined by the entitlements and/or classes established by the geofence owner, is established with the anchor point used as the geofence address (IPv6 preferably).", "By way of example for illustration purposes, in software or mobile applications (Apps) that monitor specific types of geofences (or fences) then a region of interest (ROI) is provided for the geofence covered within the App, for each geofence of interest; a query is sent for the geofence(s), not for the region of interest.", "The geofences are registered with categories or classes, by way of example and not limitation, for a city, school, park, etc.", "(see also case study illustrated by GUI shown in FIG.", "4).", "A multiplicity of Apps access the geofences registration information based upon the ROI determined by the location services of the mobile device and the query for geofence(s) within the App.", "For another example, consider an App that only works for a school geofence class; based upon the mobile device running the App within the ROI encompassing the school, the App will actively block rumor sites or social media sites while the mobile device location (based upon the operating system location services for that device) is within the school geofence physical area.", "For yet another example, a non-regulated car service such as Uber, having an App operable on a mobile device (“Uber App”) if the App developer has agreed with this geofence policy and developed the App accordingly, then when the mobile device is physically or proximally in predetermined location within a geofence, such as an airport, the airport geofence may have restrictions that disable or block the Uber App from functioning when the mobile device location services indicate that it is within the airport geofence.", "The systems and methods of the present invention further provide for automatic notification of geofence identification via Apps operating on mobile communication devices including the standard notification of approach, enter, exit, and dwell, and augmenting or supplementing them with important information provided only with the present invention, including geofence ownership, geofence entitlements, geofence use date, and/or messaging with at least one reason code and/or at least one violation code.", "The App functionality may further enable or disable functionality of the mobile device based upon the entitlements and/or other supplemental information.", "By way of example, consider another use case for a mobile payment App, such as Square App.", "Food trucks may only operate within a licensed district.", "A signed certificate or official permit or license evidences and represents that the food truck has been granted a legal permission that is a basis for an entitlement to operate the food truck within a predetermined or specified time period (duration), geography, and operational hours during days within the predetermined time or specified time period of the license, permit, or certificate.", "A mobile payment App (Square App) or other mobile commerce App developed to comply with the rule, law, certification, permit, or license, will lock or unlock the payment or commerce function of the point of sale (POS) App, based upon the geofence and corresponding entitlements detected automatically by the App considered with the location services of the mobile device and/or the POS App used by the Food Truck and its location services detected thereby.", "A notification message is provided on the device hosting the App (POS device and/or mobile device) indicating payment inactivation or other notice to indicate that that payment function is not authorized and/or provide a reason code or violation code.", "According to the present invention systems and methods, upon receiving an initial query about a region of interest (ROI) from an App operable on a mobile communication device via a network, at least one anchor point within the ROI with corresponding classes of geofences is identified by the at least one server.", "Upon receiving a second query (or second part of information requested in the initial query) to a specific class if any interest to downselect from the ROI geofences is provided; the specific class is selected from at least a type of class and a class hierarchy that include groups of types of geofence owners and/or groups of types of use cases.", "By way of example and not limitation, groups are selected from federal government, state government, city or local government, education or schools, community, residential, fire district, home owner associations, parks, commercial, private, and combinations thereof.", "Also, types of commercial groups may be further defined or detailed.", "Significantly, the systems and methods of the present invention provide for high efficiency for delivering query responses using caching of geofence information within the ROI from prior queries on unrelated mobile devices.", "By managing the balance of zoom level detail with metadata included with each geofence, the geofence information delivery efficiency is optimized.", "More detailed or deeper hierarchy structure for geofence classes (or zoom level) requires more metadata, by way of example and not limitation, for use with Internet of Things (IoT) applications of the present invention.", "Also, examples of geofence classes include official signed or certified classes, verified, etc.", "The classes provide an organized framework for geofence owners and operators or managers of geofences and entitlements to communicate with third parties about the existence and intent or conditions of the geofence through the automated systems and methods of the present invention for registering and providing for mobile device lookup or querying to identify the geofences within the ROI based upon the mobile device location service position.", "Notification output in real time or near real time to Apps (or to developers of Apps who determine how to manage and respond to the geofence information that is registered).", "Prior art provides for proximity-based detection of geofences and notices for a mobile device that provides for geofence identification and enter/exit/dwell status of device with respect to the proximity or position of the device to the geofence; notably, almost all are centroid-based geofences wherein a signal emitter device or beacon functions as a center point for the geofence.", "By contrast, the present invention systems and methods provide for non-centriod geofences that are more accurate with respect to the geofence boundary than with prior art (present invention is accurate for range to less than 1 cm, and even to less than one micron).", "The present invention also does not require the presence of a signal emitter device or beacon; the mobile device itself and at least one App operable thereon for querying for the existence of geofence(s) in the ROI proximate the mobile device provide for the identification using wireless communication with the geofence registration server(s).", "Also, advantageously, the present invention provides for automated notification messages or notices that provide for alert(s) to the mobile device user and/or changes in the graphic user interface (GUI) of the device for indication of device status with respect to the geofence (approach enter, exit, dwell) and geofence class and/or entitlements.", "Features and/or functions of the device may appear or active and disappear or deactivate, provided that controls or settings on the device are enabled.", "By way of example for a residential case or use of the present invention systems and methods, a pet tracker App is provided on a mobile communication device or smartphone.", "Location services are activated on the device and/or in the App.", "With respect to the residential property, a geofence may be registered for the physical property boundary that is certified or verified by public records, including location of a house structure positioned on the property and the real property surrounding it.", "A backyard only area may be registered as a non-verified geofence that is acknowledged or identified by the App for use with the pet tracker.", "The App provides for programming of automated triggers that may indicate messaging or notification that the pet having a geolocation device associated with it has changed status with respect to the geofence(s) (approach, enter, exit, dwell) and the class of each of the geofences (verified and non-verified).", "So in each case the mobile device must identify where the device is within the geofence or proximal to it.", "Pairing for 2D and 3D geofences is also provided with improved accuracy by the present invention.", "By way of example, consider the use case where a mobile device such as a smartphone having an App operable thereon can pair or coordinate with other activated devices within a geofence, such as a remote controller App for use in activating lights, HVAC, and/or audio/video devices within a hotel room after the user has checked into the hotel.", "The smartphone position with respect to the geofence(s) of the hotel overall, but more specifically to only one room within the hotel, requires more accurate positioning with respect to the geofence and for pairing with devices located within that geofence of the hotel room only, so that the remote controller App on one device does not affect controls or settings outside the hotel room geofence (i.e., in another room where the user is not a registered guest).", "Entitlements are also provided in this use case, for example by the HVAC device manufacturer, who provides a certificate of entitlement for remote control of the device wirelessly to the hotel; the hotel then has verified authority to extend the ongoing entitlement (duration of years) to the user who has checked into the hotel (duration of days) during their registered stay only.", "This illustrates how balancing for optimization of zoom level or class detail and class pairing is provided to provide for higher zoom level (Internet of Things (HVAC controls, TV controls, lighting controls, etc.))", "compared with a lower zoom level or detail in the metadata for real estate more generally.", "In yet another example of the systems and methods of the present invention, a first step provides that any device that has an App or is programmed to request geofence information for a ROI.", "The device is not limited to smartphones or mobile phones, but includes any mobile device having a processor coupled with memory that is programmed to query for geofences and respond according to class and entitlements that it will receive notices and/or respond to.", "Where a ROI is provided at 1 km (e.g., at zoom level 15) all classes above that ROI proximity are filtered out.", "If any entitlement exists for any geofences returned, a reason code or violation code is provided by the fencing agent, which responds accordingly, based upon how it has been programmed to respond.", "Compliance with entitlements is computed locally by the fencing agent based on factors such as time of day and proximity to a fence.", "In one example use case, a drone flying mobile device having programming or a “drone App” operable thereon automatically queries based upon its proximity to geofence(s) for its class and zoom range pairing.", "Geofence Efficient Lookup or Query by a Fencing Agent As referenced in FIGS.", "5A and 5B hereinbelow, stakeholders in the geofence registry systems and methods of the present invention use a web-based portal to configure their account's geofence, including identification of classes and/or entitlements; this configuration is stored on the at least one server or account server(s).", "On start-up, the fencing agent (FA) operable within the App pulls the configuration and validates its own signature with its own developer certificate.", "When the FA is initiated by its containing App, the Fence Delivery Network (FDN) lifecycle returns a set of fence points that my be translated by the FA into standard fence geometry such as geoJSON (polygon or centroid); upon receipt of a set of geofences, the FA automatically begins monitoring the geofences.", "Indications of classes and/or entitlements are also received by the FA within the App.", "The entitlements may indicate that proximity to a particular corresponding geofence provide for the geofence owner, operator or manager to request particular GPS power levels, FDN caching preferences, resolution, time of day restrictions, or to be promoted to a system level fence on the device's motion co-processor, which offloads monitoring for sleep state awakening.", "Upon approaching, entering, exiting, dwelling, or ranging to a geofence edge, the FA wakes or notifies the containing App with metadata including information for the geofence owner, class, signature, certification, and/or verification indications, validity date range or duration, and entitlements.", "In the FDN query lifecycle, the mobile device automatically determines its own geolocation or position by operating system and GPS (i.e., its own lat/long); the FA converts the lat/long to an IPv6 geofence coordinate point (or point that is not a lat/long point); the FA determines the nearest anchor point for the region of interest (ROI); the FA sends reverse DNS query for the anchor point to at least one remote server via a network; the FA receives a DNS record including the anchor points of geofence(s) within the ROI, wherein the anchor points include metadata indicating ownership and use or intended use for the geofence(s) associated with the anchor point(s); the FA filters anchor points based upon subsequent queries and/or based upon grants of use extended to the FA through its developer certificate; the FA sends reverse DNS query for each of the filtered sets of geofence anchor points; the FA receives the DNS record(s) corresponding to and containing the constituent points of each geofence (polygon or centroid); and the FA converts the points to lat/long or other coordinate system in a geometry format for use by the mobile device operating system and Apps such as geoJSON.", "For encoding of anchor points as IPv6 addresses, the range of available bits for metadata (64+ bits) compared with location data (0-63 bits) depends upon the size of IPv6 allocation, and the optimization of the metadata for zoom, as described hereinabove, such that changing the 64 bit boundary for location affects the zoom level or amount of metadata used for class, entitlements, and other geofence owner and intent for use information.", "The utility of IPv6 addresses for routing and Internet access will be achieved through the alignment of this zoom level/metadata boundary with IPv6 CIDR (classless inter-domain routing) and nibble boundries etc.", "For Internet of Things (IoT) applications, owners of geofences large enough to comprise enough IPv6 addresses to make an acceptable size router announcement, may announce and utilize their block of public address space, which assists IoT devices in discovery and self-provisioning, for example as described in use cases hereinabove.", "Defining the Boundaries of the Geofences There are many ways to define what constitutes a geofence under the present invention.", "Preferably, the geofence is defined using at least one geographic designator.", "Preferably, the geographic designator is a coordinate point or set of coordinate points.", "However, the geographic designator can be any identifying information for a geographic point, location, or area.", "In one embodiment, the geofence is defined by a series of coordinate points with lines connecting the series of points.", "The geofence is preferably a polygon in shape.", "In another embodiment, the geofence is an irregular shape.", "In a further embodiment, the geofence is a regular shape, such as a square, rectangle, triangle, circle, etc.", "In one embodiment of the present invention, the geofences are defined by real property boundaries.", "Preferably, the real property boundaries are the boundaries defined by public records for the property.", "In another embodiment, the real property boundaries are user-defined.", "In one embodiment, the real property boundaries include public right of ways such as roads and sidewalks.", "In another embodiment, the real property boundaries do not include public right of ways.", "In one embodiment, the boundaries of the real property and/or the boundaries of the geofences are defined within between about 0.5 microns and about 3 meters.", "In another embodiment, the boundaries of the real property and/or the boundaries of the geofences are defined within between about 0.5 microns and 1 meter.", "In another embodiment, the boundaries of the real property and/or the boundaries of the geofences are defined within between about 0.5 microns and 30 centimeters.", "Preferably, the boundaries of the real property and/or the boundaries of the geofences are defined within between about 0.5 microns and 5 microns.", "Even more preferably, the boundaries of the real property and/or the boundaries of the geofences are defined within between about 0.5 microns and 1 micron.", "The precision, accuracy, and/or resolution of the boundaries is dependent upon the nature of the IP address used.", "Preferably, optimal precision, accuracy, and/or resolution is achieved using an IPv6 address.", "In another embodiment, the geofences are defined by the perimeter of a structure, such as a house, an office building, an apartment, an apartment complex, a duplex, half of a duplex, a business, a hotel room, a rented space, or a recreational facility.", "In yet another embodiment, the geofences are defined by city or town limits.", "While most preferred embodiments of the present invention are noncentric or noncentroid geofences, or polygon geofences, alternative embodiments include centric or centroid geofences.", "Centric geofences are defined as the area within a certain radius of a beacon or other central point of reference.", "In one embodiment of the present invention, the boundaries of the geofences are permanently defined.", "In yet another embodiment of the present invention, the boundaries of the geofences are temporary.", "Whether the boundaries of the geofences are permanent or temporary depends upon the nature of the property being geofenced.", "For example, geofences surrounding booths at trade shows, are preferably temporary because the booths themselves are temporary.", "Other examples of exemplary temporary geofences include hotel rooms, groups of hotel rooms, camp sites, and construction sites.", "Another embodiment of the present invention includes systems and methods for updating the boundaries of a geofence.", "Preferably, the boundaries of a geofence are updated in a geofence database when the boundaries of the geofence are modified.", "In one embodiment, the boundaries of the geofence are automatically updated.", "In another embodiment, the boundaries of the geofence are updated by the owner of the geofence.", "In yet another embodiment, the boundaries of the geofence are updated by a licensee of the geofence.", "Registering and Verifying a Geofence The present invention includes a method and system for identifying based upon a request by at least one device a geofence that is registered in the geofence database.", "In one embodiment, a method for registering the geofence includes inputting geographic designators defining a geofence, wherein each of the geographic designators are associated with an Internet Protocol (IP) address, inputting an owner name for the geofence, and submitting the geographic designators and owner name to a geofence database.", "Another aspect of the present invention involves methods and systems for verifying the information in the database, including the boundaries of the geofences, the IP address associated with the geographic designators defining the geofences, contact information associated with the geofences, an owner associated with the geofences, licensees of the geofences, and combinations thereof In one embodiment, an owner of the geofence verifies the information associated with that geofence.", "In another embodiment, a third party verifies the information associated with geofences.", "Database of Geofences In one embodiment of the present invention a method is provided with steps for creating a database of geofences, wherein the geofences in the database are associated with an IP address.", "Preferably, each geofence in the database is associated with at least one IP address.", "One embodiment involves defining the geofence by the boundaries of the geofence.", "In another embodiment, a set of individual coordinate points are listed along with a unique IP address associated with each set of individual coordinate points.", "Preferably, each set of coordinate points is associated with only one IP address.", "In one embodiment, the IP address is an IPv6 address.", "In another embodiment, the IP address is an IPv4 address.", "In yet another embodiment, the IP address is a future standard for determining IP addresses, such as IPv8 or IPvX.", "The database of the present invention also preferably includes a plurality of other information associated with each geofence.", "Along with having the geofence, the geographic designators defining the geofence, and associated IP addresses, the geofence database of the present invention is operable to provide other useful information involved in the use of the geofences and corresponding IP addresses.", "For example, one embodiment of the present invention includes a geofence database with an owner name associated with the geofence.", "In another embodiment of the present invention, the owner contact information is associated with the owner name.", "The contact information preferably includes at least one of a phone number, an email address, and a mailing address.", "In another embodiment of the present invention, the database includes messaging functionality within the database itself, so that users can message other users and owners of geofences within the database platform.", "A country, city, town, postal code, street address, community, subdivision, township, other location defining information, and combinations thereof are preferably associated with the geofence in the database.", "The length of time that the geofence has been listed in the database is included in one embodiment of the present invention.", "Another embodiment of the present invention includes a length of time that the geofence has been verified in the database.", "In one embodiment, a class associated with the geofence is listed in the database.", "Exemplary classes include residential, office, shopping malls, airports, arenas, train stations, and government buildings.", "Preferably, each class contains a plurality of subclasses that are optimized for zoom level, class, etc.", "Exemplary subclasses for arena use cases include concert arenas and sports arenas.", "Licensees of the geofence (if any) are also preferably listed in association with each geofence within the geofence registry database.", "In the present invention, the term licensee refers to a person or entity who is granted a license (or entitlements) by the owner of the geofence to implement or have the opportunity to implement a license or entitlement to the geofence, to operate or manage the geofence, etc.", "Preferably, the licensee pays the owner of the geofence for the implementation and/or the opportunity for implementation.", "In one embodiment, users of devices within the geofence are bound by the entitlement or license automatically after entering the geofence.", "In another embodiment, users of devices within the geofence are bound by the entitlement or license after paying consideration.", "Preferably, the licensee obtains a license or an entitlement to the geofence in advance, or automatically via interactive licensing through Apps on the mobile device after discovery of the geofence(s), based upon the available entitlements and intended use of the geofence(s).", "In one embodiment use case, the entitlement includes a rule restricting content for devices located within the geofence.", "In another embodiment, the entitlement includes a rule enabling content for devices located within the geofence.", "In yet another embodiment, the entitlement includes a rule requiring content for devices located within the geofence.", "In one embodiment, the rule requiring content for devices located within the geofence depends upon a user of a device accepting an agreement for content to be displayed or installed on the device.", "In a further embodiment, the content is an advertisement.", "Preferably, the user is allowed to access additional content upon agreeing for the content to be displayed or installed on the device.", "Preferably, the entitlements are managed by a geofence entitlement manager, which is operable to activate the entitlement.", "In one embodiment, App developers or app owners opt in for entitlements to be used in conjunction with their Apps.", "There are many reasons licensees may wish to license a geofence and its corresponding IP addresses.", "By way of example, Gatorade is a corporate sponsor of the Atlantic Coast Conference (ACC).", "Therefore, Gatorade would probably prefer to block competitors' (such as Powerade) advertisements and offers at ACC events.", "Gatorade could therefore purchase a license to the geofence at the Dean Smith Center in Chapel Hill for beverage advertising.", "However, Geico, another corporate sponsor of the ACC, might also wish to advertise at the Dean Smith Center and block competitors' advertisements and offers.", "Since Gatorade and Geico are not direct competitors, each of them could purchase a license to advertise and block competitors' advertisements.", "In the geofence database, Gatorade would be listed as a licensee under a Beverages category whereas Geico would be listed as a licensee under an Insurance Provider category.", "Licensees are preferably grouped according to industry.", "If there is an opportunity to purchase a license for a particular geofence, this information is preferably listed in association with that geofence.", "Further information about the opportunity to purchase the license is preferably included as well, such as an asking price for the license, a duration for the license, a history of past licensees, and combinations thereof.", "In one embodiment, the licenses are exclusive license.", "Preferably the license is exclusive for one industry.", "In another embodiment, only one licensee is associated with the geofence.", "In another embodiment, the license is a nonexclusive license.", "Notwithstanding present day rules by FCC regarding net neutrality, the present invention also provides for advertising services which redirect devices from requested content to content defined by an owner or a licensee of the geofence.", "In one embodiment, a licensee or owner creates a rule that dictates what content is displayed in response to a user's Internet search on a device inside the geofence.", "Preferably, the rule is embodied as an entitlement in the present invention.", "The dictation of the content may determine what content is restricted from being accessed via the Internet within the geofence, as well as what content appears first in response to an Internet search.", "For example, if Coca Cola is the licensee of a geofence, Coca Cola would create a rule which states that any Internet searches for Pepsi do not display results associated with Pepsi, but only results associated with Coca Cola products.", "Additionally, in another embodiment, a rule is established that any attempts to reach a certain company's website are redirected to the website of the owner licensee or owner.", "For example, if Coca Cola is the licensee of a geofence, any attempts to access Pepsi's website are redirected to Coca Cola's website.", "In one embodiment, the licensee pays the owner each time Internet search results are redirected and/or each time attempts to reach a company's website are redirected to the licensee's website.", "In another embodiment, the user of a device can opt out of receiving certain advertisements or having searches redirected by paying a fee.", "Yet another embodiment of the present invention restricts the usage of certain applications or apps within the geofence.", "Another embodiment requires the usage of certain applications, apps, or content within the geofence as a condition to the device performing an action within the geofence.", "One embodiment of the present invention provides a method for renting or buying various rights associated with the geofences and/or the IP addresses associated with the geofences.", "In another embodiment of the present invention, the geofence is listed for sale or rent in the database.", "For example, the NY Yankees may wish to sale outright their geofence rights associated with Yankee Stadium.", "The new owner would control the Internet rights, including Internet advertising rights, within Yankee Stadium.", "In another embodiment, the NY Yankees may only wish to rent the geofence rights associated with Yankee Stadium.", "The rights could be rented for any period of time.", "In one embodiment, the rights are rented for a term of years, a year, a month, a day, or even an hour.", "In another embodiment, the rights are rented for a season, a game, or even an inning.", "In one embodiment, the price of rental rights fluctuates based on the rental time period.", "In a further embodiment, the rights to a geofence are operable to be bought on a per transaction basis by a pay-per-transaction licensee.", "In this embodiment, the pay-per-transaction licensee agrees to pay the owner or a licensee of the geofence for each transaction, i.e.", "each advertisement that is sent on their behalf and/or each advertisement or content that is blocked on their behalf.", "Preferably, the pay-per-transaction licensee submits desired rules to the owner of the geofence.", "In one embodiment, the pay-per-transaction licensee submits these rules to the owner of the geofence through the database.", "The owner of the geofence then accepts these rules and provides a rate on a per rule basis.", "In one embodiment, the pay-per-transaction licensee pays a retainer amount before the rules are applied.", "Each transaction that requires an amount to be paid is deducted from the retainer amount.", "In another embodiment, the pay-per-transaction licensee's rules are enforced via a credit arrangement, with the owner of the geofence sending the pay-per-transaction licensee a bill at predetermined or agreed time periods.", "In another embodiment of the present invention, air rights are associated with the geofences.", "Air rights preferably include restrictions on drones and other flying machines in the airspace associated with the geofence.", "Preferably, operational rules for the geofence are associated with each geofence in the database.", "The operational rules define the permissions and restrictions (or entitlements) on wireless activities within the geofences.", "In one embodiment, the permissions and restrictions (or entitlements) are determined by one or more licensees.", "In another embodiment, the permissions and restrictions are determined by the owner.", "In one embodiment, the permissions and restrictions are listed in the database so that they are visible to users of the database.", "In another embodiment, only certain user-selected permissions and restrictions (or entitlements) are listed in the database so that they are visible to users of the database.", "In one embodiment of the present invention, the geofence database is updated at predetermined time intervals.", "Preferably, the geofence database is automatically updated.", "Another embodiment of the present invention provides for manually or automatically updating ownership and/or licensee information upon a new ownership and/or license agreement.", "Preferably, the database is sortable by location, class, verified or nonverified status, entitlements, time-to-live values, context summaries, owner, licensee, email address, and combinations thereof In another embodiment, the database is searchable.", "Preferably, all fields displayed to users of the database are searchable, including fields relating to location, class, verified or nonverified status, owner, licensee, email address, and combinations thereof.", "Additionally, preferably a search option exists for a user of the database to search for all geofences within a certain distance of a location.", "Preferably, a feature of the database includes an option to display all geofences within a certain distance of the user's current location.", "In a further embodiment, the invention provides a WiFi finder app to be used on a device in conjunction with the geofence database.", "Preferably, the WiFi finder app searches the geofence database and finds WiFi access points located near a location.", "In one embodiment, the location is the current location of the device.", "Preferably, the device is a mobile device.", "In a further embodiment, the device automatically searches for WiFi access points located near the location of the device.", "In one embodiment, the database is accessible through a computer.", "In another embodiment, the database is accessible through a mobile phone.", "Preferably, the database is accessible through an app on the mobile phone.", "Electronic Device Preferences One embodiment of the present invention involves creating a list of device preferences within the geofence database.", "Preferably, the device preferences include information relating to the device and the user of the device, including demographic characteristics such as age, sex, and family status as well as preferences for certain products or services.", "Preferably, the preferences for certain products or services are preferences that stretch across industries.", "In one embodiment, the licensee pays a fee to obtain access to preferences that are not within the licensee's business or industry.", "By way of example, if Coca Cola is the owner or licensee of the Yankee Stadium geofence, it desires to maximize the sale of all its brands.", "Thus, instead of sending generic Coca Cola advertisements to all users in the geofence, device and user preferences would dictate which brand advertisements are sent to which user(s).", "A young mother or a user who has indicated a preference for Minute Maid juice would be more likely to receive an advertisement for Minute Maid instead of Coca Cola.", "Someone who has expressed a preference for health products would be more likely to receive advertisements for vitamin enhanced water, or another “healthier” brand of Coca Cola.", "In another embodiment of the present invention, a list of devices permitted to access the Internet within a geofence is associated with the geofence in the geofence database.", "An administrator, owner, or licensee of the geofence grants access in one embodiment.", "In another embodiment, a user of a device requests access and access is automatically granted based on the user's acceptance of an agreement or terms relating to the access.", "In another embodiment, a list of devices prohibited from accessing the Internet within a geofence is associated with the geofence.", "In a further embodiment, the database of the present invention is updated in real-time or near real-time and includes a list of the number and identity of devices currently using the Internet within the geofence.", "In another embodiment, the database includes a list of the number and identity of devices that have used the Internet within a predetermined time period, such as the last hour, last day, last week, or last year.", "Analytics One embodiment of the present invention relates to providing analytics for geofences and the corresponding IP addresses.", "Preferably, the analytics are accessible through the database.", "In one embodiment, analytics include determining a number of devices which have accessed and/or attempted to access the Internet within a geofence for a particular time period or overall.", "Another embodiment includes determining the number of advertisements that have been sent to devices within the geofence.", "Preferably, the number of advertisements is further sorted into the number of advertisements sent on behalf of the owner and licensees.", "The number of advertisements preferably includes a breakdown of the number of each type of advertisement sent and the number of a particular advertisement sent.", "Additionally, the present invention provides for analytics relating to the number of advertisements blocked within the geofence and/or the number of redirected searches within the geofence.", "In another embodiment, the present invention includes statistics relating to the volume of sales of a product or service within the geofence.", "Preferably, the product or service is a product or service sold by the owner or licensee of the geofence.", "In another embodiment, the product or service is a product or service sold by the competitor of the owner or licensee of the geofence.", "In another embodiment of the present invention, the analytics include analytics relating to the number of offers distributed by a licensee or owner of the geofence and the number of those offers accepted by users of devices within the geofence.", "In yet another embodiment of the present invention, the analytics include analytics relating to how many times individual devices have entered the geofence, an average duration for the time spent by the device in the geofence, and combinations thereof Geofence Classes Geofences are created to serve different intents with different functionalities.", "Geofences can be created for residential properties with control and management and surveillance functionality.", "In one embodiment, such a geofence will block drones flying across into the territory of this residential property.", "In another embodiment, vehicles equipped with communication systems, when entering or leaving the residential property geofence, will trigger a notification to the owner.", "In yet another embodiment, people with mobile devices can trigger notifications to the owner as well so that the owner knows who they are, friends, relatives, neighbors, or intruders.", "Geofences can also be created at business locales for fair competition and management.", "In one embodiment, customers in a first pizza shop cannot receive advertisements or coupons from a second pizza shop across the street due the control of the geofence for the first pizza shop.", "Geofences can also be created for public or private schools for the purpose of protection.", "In one embodiment, unwanted information and advertisements are block out of the school territory for the safety reason.", "In another embodiment, a school geofence can recognize unauthorized persons entering the geofence if there is a mobile device with them so as to take further actions.", "Although geofences have traditionally been stationary, a strong need exists for creating mobile geofences.", "These geofences are defined as a polygon or a shape around a mobile object, such as a vehicle, plane, or boat.", "Additionally, the mobile geofences are defined centrally with respect to the object, by a beacon or other indicator located in the object in one embodiment.", "Rules for mobile geofences preferably relate to advertising within the mobile geofences.", "In one embodiment, rules for the mobile geofences relate to functionalities of devices within the mobile geofences.", "In one embodiment, a rule prohibits text messaging within a mobile geofence around a vehicle.", "Another example of an embodiment prohibits advertising by a competitor.", "An example would be prohibiting advertisements relating to a competitor's cruise ship within the mobile geofence of a particular cruise ship.", "A further embodiment of the present invention includes permanently prohibiting advertising in a mobile geofence based on a brand of the mobile object.", "For example, a Toyota would include a mobile geofence which prohibits advertising by Ford, Chevrolet, BMW, etc.", "within the Toyota.", "Geofences can also be created on certain highway sections, busy intersections, and high accident locations for safety management.", "In one embodiment, when moving vehicles are within such geofences, all the mobile device are disabled for texting, website browsing, calling except emergency calls.", "Preferably, vehicle makers and application developers opt in geofence functionalities as a standard to provide customers safer and more flexible experiences.", "Fence Delivery Network Fundamentally, the present invention relies on a coordinate system based on IP addresses, where an IP address, preferably an IPv6 address, is used to describe an exact point on earth on micron level.", "Traditionally, a coordinate point with a longitude value and a latitude value is used to describe a geographic point on earth.", "However, with the present invention, an IP address is also associated with a geographic point.", "The IP address and coordinate point can be converted to each other for related lookups.", "Preferably, the IPv6 address is only used to determine the anchor point associated with the geofence(s).", "A single anchor point is computed independently when the lat/long is automatically converted to an IPv6 address for the ROI anchor point; this is the only time the IPv6 address is used within the systems and methods of the present invention.", "The constituent points of fence geometry are also expressed in IP addresses.", "The ROI anchor and fence anchor are the only uses of DNS queries.", "A method for querying a database of registered geofences is disclosed.", "A request associated with an IP address is received by a server/processor.", "The IP address is converted to an anchor point of geographic location within the ROI in the processor.", "One or more geofences having respective geographic areas that overlap with the anchor point identified.", "The request is a DNS query and accordingly, the response a DNS response.", "Information describing the identified geofences is encoded in the IP addresses and the list of fence IP address points are returned in the DNS response.", "The information includes an indication whether a particular geofence is verified or unverified, a class of the identified geofence, an entitlement of the geofence, a time-to-live value related to the geofence, and metes and bounds of the geofence, which together provide the information about the registered geofence and its intended use(s) as registered by the owner of the geofence (i.e., the entitlements provide the intended use information).", "In one embodiment, a user device is location-aware, which means that a user device is able to determine its own location by use of relevant technology, such as GPS, iBeacon, WiFi and etc.", "The user device independently converts a coordinate point describing its location (lat/long automatically determined by the device location services) to an IP address, preferably IPv6 address.", "A fence delivery network comprises a server and one or more geofence databases communicating over network with a fencing agent (FA) contained within or operable within an App on a device (or otherwise programmed for operation on the mobile device).", "A geocoder module or an IP-Coordinate converter in the server performs the conversion between an IP address to a coordinate point of a geographic location.", "A search/query module in the server queries one or more geofence databases.", "The one or more databases can be centralized or decentralized.", "The server can be centralized or decentralized.", "In one embodiment, the fence delivery network includes a Graphical User Interface (GUI).", "Preferably, the GUI is configured to receive a request and display a response.", "In one embodiment, the request comprises an IP address.", "In a further embodiment, the request is a Domain Name System (DNS) query.", "Preferably, the response includes information describing one or more identified geofences.", "In one embodiment, the information describing the one or more identified geofences comprises at least one of an indication whether the geofence is verified or unverified, a class of the one or more identified geofences, an entitlement of the one or more identified geofences, a time-to-live value, and a context summary of the one or more identified geofences.", "In another embodiment, the GUI comprises an interactive map showing the boundaries of the one or more identified geofences.", "Preferably, the interactive map is a 2-dimensional (2D) map.", "In yet another embodiment, the fence delivery network is a system.", "Preferably, the system includes an application program communicating with the at least one server and the at least one geofence database via the network, wherein the application program is installed in at least one mobile computing device.", "This fence delivery network a very robust system that supplies every internet connected device with IP/Coordinate and Coordinate/IP mappings in a very distributed, redundant and fault tolerant way.", "Visualization A Graphical User Interface is used for geofence registration, lookup and permissions.", "Registration GUI According to one embodiment of the present invention, a user registers a geofence via a geofence registration GUI.", "Preferably, the geofence GUI includes a map.", "In one embodiment, the user defines the geofence on the map.", "In a further embodiment, the user defines the geofence on the map by outlining the perimeter of the geofence on the map.", "In another embodiment, the user defines the geofence on the map by indicating the location and the range of the beacon on the map.", "In yet another embodiment, the user enters a street address associated with a geofence and the associated real property boundaries of the geofence are automatically drawn onto the map.", "In another embodiment, the first request, the step of converting the coordinate point to the IP address, the IP address, and/or the information describing the one or more identified geofences are displayed via the GUI.", "Lookup and permission GUI With a geofence query GUI, a user can search on metadata and certificate details, since neither the stakeholders using the GUI nor the app developers are aware of the IP addresses.", "There is another button “geofence search”, it will pull up all the identified geofences related to the IP address and the associated geofence information.", "Search terms like “verified, active, Amazon, drone, barking” (by way of example and not limitation) would yield a set of fences with a visual depiction of their geometry on the map.", "Preferably, the map is interactive.", "In another embodiment, the interactive map is a 2D interactive map, it shows the boundary of each identified geofence and a label indicating some of the geofence information, such as verified or unverified, entitled or not, and a summary of the data content within the geofence.", "This 2D interactive map provides a visual overlay or comparison of IPv6 addresses and geographic coordinate points with high resolution.", "In other embodiments, a 3D interactive map may be used to provide for global coverage.", "In one embodiment, the map of geofences is color coded.", "Preferably, geofences are coded by color according to at least one of a class, a permanent or temporary status, an owner status, a licensee status, usage statistics, verification status, precedence, etc.", "In one embodiment, the map is accessible via a mobile device.", "In a further embodiment, the map is operable to be accessed and manipulated using a touch screen.", "In one embodiment, a user clicks on an area on the map associated with a geofence to request access to the geofence.", "In one embodiment, a desktop computer with internet connection can be used to perform the query via this GUI.", "In another (preferred) embodiment, a mobile device with an application installed is used to perform the query.", "In this embodiment, there may be a “connect” or “enter” button for a geofence.", "Once the mobile device will have interactions with the selected.", "Messaging/Notification One embodiment is that a user/a user's mobile device searches available geofences and related information, and then the user selects which one he wants to connect or enter.", "Once the user hits “enter” button for a specific geofence, there will be interaction between the mobile device and the specific geofence server.", "There may be “terms and conditions” for the geofence entrance, for example, that geofence server has access to your mobile device and collect data, certain laws and rules are enforced within the geofence, and etc.", "Geofences may be free or fee required to enter.", "If there is a certain fee, payment page is transmitted to the mobile device.", "Once the user accepts the terms and conditions and pays the fee if required, the user/mobile device is allowed to enter the geofence via a notification.", "This way, the user/mobile device can receive information this specific geofence has.", "There may be different levels of fees and bases on the fee level, based on the fee level, there are different levels of information access for the users, such as basic, premium, enterprise and etc.", "A user/mobile device may enter more than one geofence at the same time.", "There are entrance procedures similar to the above description.", "Another embodiment is that once the user/a user's mobile device physically is within certain geofences, there are notifications popping up, similar to the wifi alert on the user's mobile device if the WiFi is on.", "At this time, the user/mobile device can still query the geofence database to get more information about the available geofences and then decides which geofence(s) to enter.", "In another embodiment, the mobile device may be admitted to certain geofences automatically once it is within the boundary of those geofences.", "In some circumstances, the user/mobile device may receive unwanted advertisements or other annoying information or services, the user has the option to exit or block those geofences, or block receiving certain information.", "Preferably, the fence delivery network application installed mobile device can identify “obnoxious” or unsecure or junk geofences and display warnings or notifications to the user as a kind of location-based service.", "DETAILED DESCRIPTION OF THE FIGURES Referring now to the figures, they are provided for illustration of the present invention and are not intended to limit the claims thereto.", "FIG.", "1 is a schematic diagram of an embodiment of the invention illustrating a computer system, generally described as 800, having a network 810, a plurality of computing devices 820, 830, 840, a server 850 and a database 870.The server 850 is constructed, configured and coupled to enable communication over a network 810 with a computing devices 820, 830, 840.The server 850 includes a processing unit 851 with an operating system 852.The operating system 852 enables the server 850 to communicate through network 810 with the remote, distributed user devices.", "Database 870 may house an operating system 872, memory 874, and programs 876.In one embodiment of the invention, the system 800 includes a cloud-based network 810 for distributed communication via a wireless communication antenna 812 and processing by a plurality of mobile communication computing devices 830.In another embodiment of the invention, the system 800 is a virtualized computing system capable of executing any or all aspects of software and/or application components presented herein on the computing devices 820, 830, 840.In certain aspects, the computer system 800 may be implemented using hardware or a combination of software and hardware, either in a dedicated computing device, or integrated into another entity, or distributed across multiple entities or computing devices.", "By way of example, and not limitation, the computing devices 820, 830, 840 are intended to represent various forms of digital computers 820, 840, 850 and mobile devices 830, such as a server, blade server, mainframe, mobile phone, a personal digital assistant (PDA), a smart phone, a desktop computer, a netbook computer, a tablet computer, a workstation, a laptop, and other similar computing devices.", "The components shown here, their connections and relationships, and their functions, are meant to be exemplary only, and are not meant to limit implementations of the invention described and/or claimed in this document In one embodiment, the computing device 820 includes components such as a processor 860, a system memory 862 having a random access memory (RAM) 864 and a read-only memory (ROM) 866, and a system bus 868 that couples the memory 862 to the processor 860.In another embodiment, the computing device 830 may additionally include components such as a storage device 890 for storing the operating system 892 and one or more application programs 894, a network interface unit 896, and/or an input/output controller 898.Each of the components may be coupled to each other through at least one bus 868.The input/output controller 898 may receive and process input from, or provide output to, a number of other devices 899, including, but not limited to, alphanumeric input devices, mice, electronic styluses, display units, touch screens, signal generation devices (e.g., speakers) or printers.", "By way of example, and not limitation, the processor 860 may be a general-purpose microprocessor (e.g., a central processing unit (CPU)), a graphics processing unit (GPU), a microcontroller, a Digital Signal Processor (DSP), an Application Specific Integrated Circuit (ASIC), a Field Programmable Gate Array (FPGA), a Programmable Logic Device (PLD), a controller, a state machine, gated or transistor logic, discrete hardware components, or any other suitable entity or combinations thereof that can perform calculations, process instructions for execution, and/or other manipulations of information.", "In another implementation, shown as 840 in FIG.", "##, multiple processors 860 and/or multiple buses 868 may be used, as appropriate, along with multiple memories 862 of multiple types (e.g., a combination of a DSP and a microprocessor, a plurality of microprocessors, one or more microprocessors in conjunction with a DSP core).", "Also, multiple computing devices may be connected, with each device providing portions of the necessary operations (e.g., a server bank, a group of blade servers, or a multi-processor system).", "Alternatively, some steps or methods may be performed by circuitry that is specific to a given function.", "According to various embodiments, the computer system 800 may operate in a networked environment using logical connections to local and/or remote computing devices 820, 830, 840, 850 through a network 810.A computing device 830 may connect to a network 810 through a network interface unit 896 connected to the bus 868.Computing devices may communicate communication media through wired networks, direct-wired connections or wirelessly such as acoustic, RF or infrared through an antenna 897 in communication with the network antenna 812 and the network interface unit 896, which may include digital signal processing circuitry when necessary.", "The network interface unit 896 may provide for communications under various modes or protocols.", "In one or more exemplary aspects, the instructions may be implemented in hardware, software, firmware, or any combinations thereof.", "A computer readable medium may provide volatile or non-volatile storage for one or more sets of instructions, such as operating systems, data structures, program modules, applications or other data embodying any one or more of the methodologies or functions described herein.", "The computer readable medium may include the memory 862, the processor 860, and/or the storage media 890 and may be a single medium or multiple media (e.g., a centralized or distributed computer system) that store the one or more sets of instructions 900.Non-transitory computer readable media includes all computer readable media, with the sole exception being a transitory, propagating signal per se.", "The instructions 900 may further be transmitted or received over the network 810 via the network interface unit 896 as communication media, which may include a modulated data signal such as a carrier wave or other transport mechanism and includes any delivery media.", "The term “modulated data signal” means a signal that has one or more of its characteristics changed or set in a manner as to encode information in the signal.", "Storage devices 890 and memory 862 include, but are not limited to, volatile and non-volatile media such as cache, RAM, ROM, EPROM, EEPROM, FLASH memory or other solid state memory technology, disks or discs (e.g., digital versatile disks (DVD), HD-DVD, BLU-RAY, compact disc (CD), CD-ROM, floppy disc) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to store the computer readable instructions and which can be accessed by the computer system 800.It is also contemplated that the computer system 800 may not include all of the components shown in FIG.", "1, may include other components that are not explicitly shown in FIG.", "1, or may utilize an architecture completely different than that shown in FIG.", "1.The various illustrative logical blocks, modules, elements, circuits, and algorithms described in connection with the embodiments disclosed herein may be implemented as electronic hardware, computer software, or combinations of both.", "To clearly illustrate this interchangeability of hardware and software, various illustrative components, blocks, modules, circuits, and steps have been described above generally in terms of their functionality.", "Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the overall system.", "Skilled artisans may implement the described functionality in varying ways for each particular application (e.g., arranged in a different order or partitioned in a different way), but such implementation decisions should not be interpreted as causing a departure from the scope of the present invention.", "FIG.", "2 is a flowchart for delivering geofence information based on a request.", "A user send out a DNS request associated with an IP address 202.A server converts the IP address to a coordinate point of geographic location and query a geofence database 204.Then one or more geofences overlapping at the coordinate point are identified 206.The server then returns a DNS response including information describing the identified one or more geofences 208.FIG.", "3 is an embodiment of GUI for the geofence delivery network.", "A user can enter latitude and longitude values in a box on the GUI 302, then selects the button “Geofence search” 304.Then, all the identified geofences are identified and listed on the GUI with corresponding information 306.There is also an interactive map displaying the identified geofences 308.In this illustrated example, there are three geofences identified.", "Their name, class, entitlement, verification, and content information are displayed accordingly.", "In the interactive map, boundaries of the three geofences G1 (black) 310, G2 (green) 312, and G3 (red) 314 are differentiated with different line types.", "Meanwhile, the converted coordinate point 316 is also noted in the map.", "FIG.", "4 illustrates one embodiment of a GUI for fence delivery network 402, including several options of defining a geofence, including defining the geofence by real property boundaries, defining the geofence by the radius around a beacon, defining the geofence by the perimeter of a building.", "By way of example and not limitation, a use case for identifying geofences associated with Dog Parks is shown, indicating the locations of the Dog Parks 404 based upon the City of SF, and public use hours and restrictions 406 are also indicated.", "Also illustrated are My Fences, which in this use case include the user's home geofence (My House) 408 and Fire Hydrants 410 are also identified.", "All of these are automatically indicated with geographic proximity to each other and visually represented in 2-D map view on the GUI of the user's mobile device.", "FIGS.", "5A & 5B show a flowchart illustrating steps for querying a geofence database.", "The FIG.", "5A flowchart includes an FA 502, a Local Caching DNS 504, an ISP or Cellular Carrier DNS 506, a GeoFrenzy Authoritative DNS 508, and a GeoFrenzy Authoritative Account Service 510.Steps include determines IPv6 ROI anchor point 512, Reverse query for ROI anchor point 514, Fence Anchor Points +metadata 516, Reverse query for fence anchor point 518, Fence Points 520, and reconstruct geoJSON fence from points 522.FIG.", "5B is a cached response for steps for querying a geofence database.", "FIG.", "6 is a diagram illustrating zoom level to class binding when using a pyramid projection 600.As shown, Drones are provided on a zoom level 9-14 602; Pet Tracker is provided on a zoom level 17 604; and Hotel Room HVAC/Lighting is provided on a zoom level 25 606.In a current pyramid projection according to the present invention, the highest order bits of IP addresses are used to represent a location the lower order bits are used to express metadata such as fence classes, entitlements, and lookup table identifiers (for the purpose of redefining the metadata bits in the future).", "In other embodiments, the metadata can be expressed on any aspect of DNS or IP, such as DNS RR (resource records), certificates, keys or IPv6 scopes.", "In a current pyramid projection according to the present invention, there are trillions of potential bits to be used as metadata in every square millimeter of location.", "These bits, when set to 1 will represent an intersection of class, entitlements and lookup table for this square centimeter location.", "FIG.", "7 illustrates a 3-D model overview illustrating how the surface of the Earth is not a perfect sphere 702; however, as provided by the present invention, a sphere map is generated automatically consisting of points that are represented by IPv6 addresses that superficially wrap around or cover the Earth such that the sphere map encompasses the highest features to represent or approximate the Earth's surface for use with the present invention generation of geofences registry, lookup, categorization within at least one database for geofences.", "FIG.", "8 is a 2-D model overview illustrating another view of mapping the earth 706 for providing visualization of geofences according to the present invention.", "FIG.", "9 is a PRIOR ART schematic diagram for geofencing solutions.", "Current prior art geofencing solutions are generally based on centroid fences and the data emitted by the location service frameworks consists of simple messages containing the fence identification (ID) and a notice of entry 902, exit 904, or dwelling 906 inside of the fence 900.FIG.", "10 is a schematic diagram for geofencing solutions according to the present invention.", "By contrast and differentiation from prior art, the present invention provides for augmentation of messaging.", "The approaching 920, entering 902, exiting 904, and dwelling 906 messages are augmented with metadata describing the ownership and purpose of a geofence through a hierarchy of classes 922.The purpose of a geofence is defined to include the intended and/or allowed use of services inside or within the geofence boundaries, which are expressed through a system of entitlements that are received as inputs and stored in the at least one geofence database and associated with the geofence data.", "FIG.", "11 is a schematic diagram illustrating the encoding of a class and entitlement on an IPv6 address.", "While this illustration is oversimplified, its depiction of encoding of a class and entitlement on an IPv6 address may be extended to provide for billions of positions per location.", "The lat/long point 1102 is the edge of a floating bit boundary; the dots 1104 represent the anchor points that the systems and methods of the present invention use for metadata rather than for location.", "The neighboring lat/long point 1105 is the next usable point under which the depicted and described process starts again (or repeats).", "Significantly, there is only one bit of metadata for each anchor point, but there can be multiple points for each geofence, according to the present invention; this provides for and allows multiple classes and/or entitlements to be expressed and associated with each geofence.", "In the example case used for this FIG.", "11, four example entitlements are illustrated: Notification 1106, Drone Landing 1108, Connect VPN 1110, and Camera 1112; they have corresponding colorized points, respectively: red, green, yellow, and blue.", "The example case is provided for illustration purposes only, and does not intend to limit the claimed invention thereto; the example case shows a user (Jenny/Jenny's Flowers) 1114 who would like to allow delivery drones to land for pickup and dropoff inside a predetermined geofence having an anchor point at lat/long as illustrated.", "Each point is a neighboring lat/long point; Owner 1 1116, Owner 2 1118, Bob's Tacos 1120, Jenny's Flowers 1114, and John Jones 1122 are all indicated in this example as geofence owners; Class 1 1124, Class 2 1126, Flower Shops 1128, and John's house 1130 are all indicated as geofence classes associated with the indicated example entitlements.", "The green point activated for Jenny's Flowers user/owner and for Flower Shops 1132 that allows for the entitlement of Drone Landing (green point) is highlighted to indicate an intended or allowable use of that geofence by the geofence owner.", "By way of definition and description supporting the claimed subject matter, preferably, the present invention includes communication methodologies for transmitting data, data packets, messages or messaging via a communication layer.", "Wireless communications over a network are preferred.", "Correspondingly, and consistent with the communication methodologies for transmitting data or messaging according to the present invention, as used throughout this specification, figures and claims, wireless communication is provided by any reasonable protocol or approach, by way of example and not limitation, Bluetooth, Wi-Fi, cellular, zigbee, near field communication, and the like; the term “ZigBee” refers to any wireless communication protocol adopted by the Institute of Electronics & Electrical Engineers (IEEE) according to standard 802.15.4 or any successor standard(s), the term “Wi-Fi” refers to any communication protocol adopted by the IEEE under standard 802.11 or any successor standard(s), the term “WiMax” refers to any communication protocol adopted by the IEEE under standard 802.16 or any successor standard(s), and the term “Bluetooth” refers to any short-range communication protocol implementing IEEE standard 802.15.1 or any successor standard(s).", "Additionally or alternatively to WiMax, other communications protocols may be used, including but not limited to a “1G” wireless protocol such as analog wireless transmission, first generation standards based (IEEE, ITU or other recognized world communications standard), a “2G” standards based protocol such as “EDGE or CDMA 2000 also known as 1XRTT”, a 3G based standard such as “High Speed Packet Access (HSPA) or Evolution for Data Only (EVDO), any accepted 4G standard such as “IEEE, ITU standards that include WiMax, Long Term Evolution “LTE” and its derivative standards, any Ethernet solution wireless or wired, or any proprietary wireless or power line carrier standards that communicate to a client device or any controllable device that sends and receives an IP based message.", "The term “High Speed Packet Data Access (HSPA)” refers to any communication protocol adopted by the International Telecommunication Union (ITU) or another mobile telecommunications standards body referring to the evolution of the Global System for Mobile Communications (GSM) standard beyond its third generation Universal Mobile Telecommunications System (UMTS) protocols.", "The term “Long Term Evolution (LTE)” refers to any communication protocol adopted by the ITU or another mobile telecommunications standards body referring to the evolution of GSM-based networks to voice, video and data standards anticipated to be replacement protocols for HSPA.", "The term “Code Division Multiple Access (CDMA) Evolution Date-Optimized (EVDO) Revision A (CDMA EVDO Rev.", "A)” refers to the communication protocol adopted by the ITU under standard number TIA-856 Rev.", "A.", "It will be appreciated that embodiments of the invention described herein may be comprised of one or more conventional processors and unique stored program instructions that control the one or more processors to implement, in conjunction with certain non-processor circuits, some, most, or all of the functions for the systems and methods as described herein.", "The non-processor circuits may include, but are not limited to, radio receivers, radio transmitters, antennas, modems, signal drivers, clock circuits, power source circuits, relays, current sensors, and user input devices.", "As such, these functions may be interpreted as steps of a method to distribute information and control signals between devices.", "Alternatively, some or all functions could be implemented by a state machine that has no stored program instructions, or in one or more application specific integrated circuits (ASICs), in which each function or some combinations of functions are implemented as custom logic.", "Of course, a combination of the two approaches could be used.", "Thus, methods and means for these functions have been described herein.", "Further, it is expected that one of ordinary skill in the art, notwithstanding possibly significant effort and many design choices motivated by, for example, available time, current technology, and economic considerations, when guided by the concepts and principles disclosed herein, will be readily capable of generating such software instructions, programs and integrated circuits (ICs), and appropriately arranging and functionally integrating such non-processor circuits, without undue experimentation.", "Certain modifications and improvements will occur to those skilled in the art upon a reading of the foregoing description.", "In an alternate embodiment of the systems and methods of the present invention, LatLong is used and forward records instead of using IP addresses as described in the foregoing preferred embodiments.", "The above-mentioned examples are provided to serve the purpose of clarifying the aspects of the invention and it will be apparent to one skilled in the art that they do not serve to limit the scope of the invention.", "All modifications and improvements have been deleted herein for the sake of conciseness and readability but are properly within the scope of the present invention." ] ]
Patent_15875682
[ [ "GANTRY IMAGE GUIDED RADIOTHERAPY SYSTEM AND RELATED TREATMENT DELIVERY METHODS", "A radiation treatment apparatus, comprising a gantry structure comprising a beam member extending between first and second ends of the gantry structure.", "The radiation treatment apparatus also includes a radiation treatment head movably mounted to the beam member in a manner that allows (i) translation of the radiation treatment head along the beam member between the first and second ends, and (ii) gimballing of the radiation treatment head relative to the beam member, the gimballing comprising pivotable movement in at least one independent pivot direction defined with respect to the beam member.", "The radiation treatment apparatus also includes a patient couch operative coupled with the radiation treatment head in manner to provide movement of the patient couch relative to the radiation treatment head." ], [ "1.A radiation treatment apparatus, comprising: a gantry structure; and a radiation treatment head movably mounted to the gantry structure to allow gimballing of the radiation treatment head relative to the gantry structure, the gimballing comprising pivotable movement in at least one independent pivot direction defined with respect to the gantry structure; and a patient couch operatively coupled with the radiation treatment head to provide movement of the patient couch relative to the radiation treatment head.", "2.The radiation treatment apparatus of claim 1, wherein the patient couch and the radiation treatment head are movable to provide non-coplanar radiation treatment of a tissue volume.", "3.The radiation treatment apparatus of claim 2, further comprising a controller operatively coupled with the patient couch and the radiation treatment head, where to provide non-coplanar radiation treatment of a tissue volume the controller configured to control movement of the patient couch and gimbaling of the radiation treatment head to position a radiation beam generated by the radiation treatment head at the tissue volume.", "4.The radiation treatment apparatus of claim 1, wherein the patient couch is configured to manipulate the patient couch with three or more degrees of freedom.", "5.The radiation treatment apparatus of claim 4, where the three or more degrees of freedom comprise three orthogonal translations and one rotation.", "6.The radiation treatment apparatus of claim 1, wherein the radiation treatment head has pivotable movement to a maximum angle of approximately +/−30 degrees.", "7.The radiation treatment apparatus of claim 1, wherein the radiation treatment head has pivotable movement in a maximum angle range of +/−30 degrees to +/−45 degrees.", "8.The radiation treatment apparatus of claim 1, where a transverse isocentric plane being defined that passes through the isocenter in a direction orthogonal to the rotation axis, wherein the at least two independent pivot directions include a first pivot direction around a first pivot axis generally parallel to the transverse isocentric plane and a second pivot direction around a second pivot axis nonparallel to the first pivot axis.", "9.The radiation treatment apparatus of claim 1, wherein the gantry structure is a rotatable gantry structure.", "10.The radiation treatment apparatus of claim 1, wherein the gimballing comprises pivotable movement in at least two independent pivot directions defined with respect to the beam member.", "11.A method of operating a radiation treatment apparatus, comprising: translating a radiation treatment head movably mounted to a gantry structure; gimballing, by a processing unit, the radiation treatment head relative to the gantry structure, the gimballing comprising pivotably moving the radiation treatment head in at least one independent pivot direction defined with respect to the gantry structure; and moving, by the processing unit, a patient couch relative to the radiation treatment head.", "12.The method of claim 11, wherein moving the patient couch and gimballing the radiation treatment head enable non-coplanar radiation treatment of a tissue volume positioned near or around an isocenter of the radiation treatment apparatus.", "13.The method of claim 11, wherein moving the patient couch comprises manipulating the patient couch with three or more degrees of freedom.", "14.The method of claim 13, where the three or more degrees of freedom comprise three orthogonal translations and one rotation.", "15.The method of claim 11, further comprising rotating the radiation treatment head relative to the gantry structure.", "16.The method of claim 11, wherein gimballing comprises pivotably moving the radiation treatment head in at least two independent pivot directions defined with respect to the gantry structure.", "17.The method of claim 11, where gimballing comprises pivotably moving the radiation treatment head with a maximum angle of approximately +/−30 degrees.", "18.A non-transitory machine readable medium that, when executed by a processing unit, cause the processing unit to: translate a radiation treatment head movably mounted to a beam member of a gantry structure; gimbal, by the processing unit, the radiation treatment head relative to the gantry structure, the gimballing comprising pivotably moving the radiation treatment head in at least one independent pivot direction defined with respect to the gantry structure; and move a patient couch relative to the radiation treatment head.", "19.The non-transitory machine readable medium of claim 18, wherein the processing unit to manipulate the patient couch with three or more degrees of freedom.", "20.The non-transitory machine readable medium of claim 18, wherein the processing unit further to rotate the radiation treatment head relative to the beam member.", "21.The non-transitory machine readable medium of claim 18, wherein the processing unit further to gimbal the radiation treatment head with a maximum angle of approximately +/−30 degrees." ], [ "<SOH> BACKGROUND <EOH>Pathological anatomies such as tumors and lesions can be treated with an invasive procedure, such as surgery, which can be harmful and full of risks for the patient.", "A non-invasive method to treat a pathological anatomy (e.g., tumor, lesion, vascular malformation, nerve disorder, etc.)", "is external beam radiation therapy, which typically uses a therapeutic radiation source, such as a linear accelerator (LINAC), to generate radiation beams, such as x-rays.", "In one type of external beam radiation therapy, a therapeutic radiation source directs a sequence of x-ray beams at a tumor site from multiple co-planar angles, with the patient positioned so the tumor is at the center of rotation (isocenter) of the beam.", "As the angle of the therapeutic radiation source changes, every beam passes through the tumor site, but passes through a different area of healthy tissue on its way to and from the tumor.", "As a result, the cumulative radiation dose at the tumor is high and that to healthy tissue is relatively low.", "The term “radiosurgery” refers to a procedure in which radiation is applied to a target region at doses sufficient to necrotize a pathology in fewer treatment sessions or fractions than with delivery of lower doses per fraction in a larger number of fractions.", "Radiosurgery is typically characterized, as distinguished from radiotherapy, by relatively high radiation doses per fraction (e.g., 500-2000 centiGray), extended treatment times per fraction (e.g., 30-60 minutes per treatment), and hypo-fractionation (e.g., one to five fractions or treatment days).", "Radiotherapy is typically characterized by a low dose per fraction (e.g., 100-200 centiGray), shorter fraction times (e.g., 10 to 30 minutes per treatment) and hyper-fractionation (e.g., 30 to 45 fractions).", "For convenience, the term “radiation treatment” is used herein to mean radiosurgery and/or radiotherapy unless otherwise noted.", "Image-guided radiation therapy (IGRT) systems include gantry-based systems and robotic arm-based systems.", "In gantry-based systems, a gantry rotates the therapeutic radiation source around an axis passing through the isocenter.", "Gantry-based systems include C-arm gantries, in which the therapeutic radiation source is mounted, in a cantilever-like manner, over and rotates about the axis passing through the isocenter.", "Gantry-based systems further include ring gantries having generally toroidal shapes in which the patient's body extends through a bore of the ring/toroid, and the therapeutic radiation source is mounted on the perimeter of the ring and rotates about the axis passing through the isocenter.", "Traditional gantry systems (ring or C-arm) deliver therapeutic radiation in single plane (i.e., co-planar) defined by the rotational trajectory of the radiation source.", "Examples of C-arm systems are manufactured by Siemens of Germany and Varian Medical Systems of California.", "In robotic arm-based systems, the therapeutic radiation source is mounted on an articulated robotic arm that extends over and around the patient, the robotic arm being configured to provide at least five degrees of freedom.", "Robotic arm-based systems provide the capability to deliver therapeutic radiation from multiple out-of-plane directions, i.e., are capable of non-coplanar delivery.", "Accuray Incorporated of California manufactures a system with a radiation source mounted on a robotic arm for non-coplanar delivery of radiation beams.", "Associated with each radiation therapy system is an imaging system to provide in-treatment images that are used to set up and, in some examples, guide the radiation delivery procedure and track in-treatment target motion.", "Portal imaging systems place a detector opposite the therapeutic source to image the patient for setup and in-treatment images, while other approaches utilize distinct, independent image radiation source(s) and detector(s) for the patient set-up and in-treatment images.", "Target or target volume tracking during treatment is accomplished by comparing in-treatment images to pre-treatment image information.", "Pre-treatment image information may comprise, for example, computed tomography (CT) data, cone-beam CT data, magnetic resonance imaging (MRI) data, positron emission tomography (PET) data or 3D rotational angiography (3DRA) data, and any information obtained from these imaging modalities (for example and without limitation digitally reconstructed radiographs or DRRs).", "In one common scenario, the therapeutic source is a linear accelerator (LINAC) producing therapeutic radiation (which can be termed an “MV source”) and the imaging system comprises one or more independent x-ray imaging sources producing relatively low intensity lower energy imaging radiation (each of which can be termed a “kV source”).", "In-treatment images can comprise one or more (preferably two) two-dimensional images (typically x-ray) acquired at one or more different points of view (e.g., stereoscopic x-ray images), and are compared with two-dimensional DRRs derived from the three dimensional pre-treatment image information.", "A DRR is a synthetic x-ray image generated by casting hypothetical x-rays through the 3D imaging data, where the direction and orientation of the hypothetical x-rays simulate the geometry of the in-treatment x-ray imaging system.", "The resulting DRR then has approximately the same scale and point of view as the in-treatment x-ray imaging system, and can be compared with the in-treatment x-ray images to determine the position and orientation of the target, which is then used to guide delivery of radiation to the target.", "There are two general goals in radiation therapy: (i) to deliver a highly conformal dose distribution to the target volume; and (ii) to deliver treatment beams with high accuracy throughout every treatment fraction.", "A third goal is to accomplish the two general goals in as little time per fraction as possible.", "Delivering an increased conformal dose distribution requires, for example, the ability to deliver non-coplanar beams.", "Delivering treatment beams accurately requires the ability to track the location of the target volume intrafraction.", "The ability to increase delivery speed requires the ability to accurately, precisely, and quickly move the radiation source without hitting other objects in the room or the patient, or violating regulatory agency speed limitations.", "One or more issues arise with respect to known radiation therapy systems that are at least partially addressed by one or more of the preferred embodiments described further hereinbelow.", "Generally speaking, these issues are brought about by a tension in known radiation therapy systems between mechanical stability and system versatility, a tension that becomes more pronounced as the desired use of radiation therapy expands from head-only applications to applications throughout the body, such as (without limitation) the lungs, liver, and prostate.", "Robot arm-based systems tend to allow for larger ranges of radiation beam angles for different body parts than ring or C-arm gantry-based systems, especially when it is desired to keep the patient couch motionless during the radiation therapy session.", "Accordingly, robot arm-based systems generally tend to allow for more versatility in the kinds of therapy plans that may be available to the patient in comparison to C-arm and ring gantry-based systems.", "Further in view of the very heavy nature of most therapeutic radiations sources, which can weigh hundreds of kilograms, systems based on mounting of the therapeutic radiation source on a C-arm gantry suffer from undesired in-treatment deformation of the mount structures, which deformation is difficult to model or predict and leads to beam delivery errors and/or increased therapy planning margins due to the inability to precisely and accurately identify where the beam is pointed in three-dimensional space.", "Ring gantry-based systems, on the other hand, tend to exhibit relatively high mechanical stability, i.e., less of the deformation problems exhibited by C-arm gantry-based systems, and thus can reproducibly and accurately position the radiation source, including doing so at relatively high mechanical drive speeds.", "However, as discussed above, gantry-based systems (like C-arm systems) tend to provide a lesser range of achievable angles for the introduction of therapeutic radiation into different body parts and, therefore, provide a narrower array of radiation treatment options as compared to robot arm-based systems.", "X-ray tomosynthesis refers to the process of acquiring a number of two-dimensional x-ray projection images of a target volume using x-rays that are incident upon the target volume at a respective number of different angles, followed by the mathematical processing of the two-dimensional x-ray projection images to yield a set of one or more tomosynthesis reconstructed images representative of one or more respective slices of the target volume, wherein the number of x-ray projection images is less than that in a set that would be required for CT image reconstruction, and/or the number or range of incident radiation angles is less than would be used in a CT imaging procedure.", "Commonly, a plurality of tomosynthesis reconstructed images are generated, each being representative of a different slice of the target volume, and therefore a set of tomosynthesis reconstructed images is sometimes referred to as a tomosynthesis volume.", "As used herein, the term tomosynthesis projection image refers to one of the two-dimensional x-ray projection images acquired during the tomosynthesis imaging process.", "For purposes of the above terminology, for some preferred embodiments, a set of images that is required for CT image reconstruction is considered to include images (e.g., 300 or more) generated over a range of incident angles that is 180 degrees plus the fan beam angle.", "For some preferred embodiments, the x-ray projection images for constructing a tomosynthesis image are taken over an angular range between 1 degree and an angular range value that is less than that needed for a complete projection set for CT imaging (e.g., 180 degrees plus the fan angle), wherein the number of projection images generated in this range is a value that is between 2 and 1000.In other preferred embodiments, the x-ray projection images for constructing a tomosynthesis image are taken over an angular range of between 5 degrees and 45 degrees, wherein the number of projection images generated in this range is between 5 and 100.X-ray tomosynthesis has been proposed as an in-treatment kV imaging modality for use in conjunction with radiation treatment systems.", "In U.S. Pat.", "No.", "7,532,705B2 it is proposed to process the three-dimensional pre-treatment image information (e.g., a planning CT image volume) to generate digital tomosynthesis (DTS) reference image data of a target located within or on a patient, such as by simulating x-ray cone-beam projections through the planning CT image volume.", "Subsequently, with the patient on the treatment bed, DTS verification images are generated by acquiring a number of x-ray cone beam images at different angles.", "Target localization is then performed by comparing landmarks, such as bony structures, soft-tissue anatomy, implanted targets, and skin contours in the DTS reference image data and DTS verification image data.", "In U.S. Pat.", "No.", "7,711,087B2 it is proposed to acquire tomosynthesis image data during a treatment session.", "For purposes of movement tracking during the treatment session, tomosynthesis reconstructed slices are processed directly in conjunction with reference CT data in a process that searches for a tomosynthesis reconstructed image that best matches a selected reference CT slice.", "The identity of the particular tomosynthesis reconstructed image that yields a maximum degree of match, together with the amount of spatial offset required for that tomosynthesis reconstructed image to achieve the peak match, is used to localize the target in three-dimensional space.", "The commonly assigned U.S. Pat.", "No.", "6,778,850, which is incorporated by reference herein, also discloses the use of x-ray tomosynthesis images (more particularly, the use of relatively low clarity intra-treatment 3D images of the target region synthesized from a plurality of 2D diagnostic images acquired at different angles) of as an in-treatment kV imaging modality.", "Cone beam CT (CBCT) has also been proposed as an in-treatment imaging modality for use in conjunction with radiation treatment systems, in some cases as a kV imaging modality and in other cases as an MV (portal) imaging modality.", "Whereas conventional CT imaging reconstructs 2D slices from 1D projections through a target volume, the 2D slices then being stacked to form a 3D volumetric image, CBCT imaging directly constructs a 3D volumetric image from 2D projections of the target volume.", "As known in the art, CBCT offers the ability to form a 3D image volume from a single gantry rotation (more specifically, a rotation of at least 180 degrees plus a fan beam angle) about the target volume, whereas conventional CT requires one rotation per slice (for single-row detectors) or 1/M rotations per slice (for newer quasi-linear multi-row detectors having M rows).", "CBCT also provides for a more isotropic spatial resolution, whereas conventional CT limits the spatial resolution in the longitudinal direction to the slice thickness.", "However, because conventional CT systems usually offer a substantially higher degree of collimation near their linear or quasi-linear row detectors than can usually be afforded by CBCT systems near their two-dimensional detectors, scattering noise and artifacts are more of a problem for CBCT systems than for conventional CT systems.", "In U.S. Pat.", "No.", "7,471,765B2 it is proposed to use a CBCT imaging system including a kV x-ray tube and a flat-panel imaging detector mounted on a LINAC gantry such that the kV radiation is approximately orthogonal to the MV treatment radiation from the LINAC.", "Prior to treatment, a CBCT planning image is acquired for treatment planning.", "Subsequently, before each treatment fraction, a CBCT image is acquired and compared to the CBCT pre-treatment planning image, and the results of the comparison are used to modify the treatment plan for that treatment fraction to compensate for interfraction setup errors and/or interfraction organ motion.", "Due to limitations in permissible gantry rotation speeds (e.g., one rotation per minute) which cause the CBCT acquisition time to be slow compared to breathing (or other physiological cycles) of the patient, a gating scheme synchronized to patient breathing (or other physiological cycles) is used during CBCT acquisition to reduce the deleterious effects of organ motion in the reconstructed images.", "Also due to the relatively slow CBCT acquisition time, the CBCT volume data is generally useful only for patient set-up before each treatment fraction, and not for intra-fraction motion correction.", "X-ray source arrays such as field emission “cold cathode” x-ray source arrays represent a promising advance in medical imaging and offer potential advantages over conventional x-ray tube sources in several respects.", "A conventional x-ray tube usually comprises a tungsten, tantalum or rhenium cathode that is heated to approximately 2000° C. to cause el ectrons to be emitted thermionically, the free electrons then being accelerated toward an anode by a high electrical potential such as 120 kV.", "X-ray radiation usable for imaging is created when the thermionically generated electrons strike an anode, usually made of tungsten, molybdenum, or copper, at a focal spot of the x-ray tube, the collision causing the emission of x-ray photons.", "While historically being the only practical and cost-effective way to provide imaging x-ray radiation in medical imaging environments, conventional x-ray tube sources can bring about many design compromises in view of their relatively large size and weight, high operating temperatures, high power consumption, relatively modest temporal resolution (e.g., on/off switching times), and their minimal amenability to miniaturization or formation into closely spaced arrays.", "As an alternative to conventional x-ray tube technology in which free electrons are generated by thermionic emission, alternative technologies have been introduced in which the free electrons are generated by field emission.", "In a field emission source, free electrons are emitted upon the application of a voltage to a material having a high emission density, such as certain carbon nanotube (CNT) materials.", "Because field emission of electrons is produced by a high electric field, no heating is necessary.", "Field emission sources are thus often referred to as cold cathode sources.", "Advantageously, the electron beams emitted by such materials may have low divergence and thus provide ease of focusing onto a focal spot.", "Moreover, the virtually instantaneous response of the source offers time gating capabilities that may even be on the order of nanoseconds.", "Because they can be made exceedingly small, field emission x-ray sources are highly amenable to formation into arrays.", "According to U.S. Ser.", "No.", "07/505,562B2, which is incorporated by reference herein, devices having 1000 pixels per meter (i.e., 1000 individual x-ray sources per meter) with pulse repetition rates on the order of 10 MHz can be envisioned using technology within the current state of the art.", "As used herein, the term x-ray source array refers to a source of x-rays comprising a plurality of spatially distinct, electronically activatible x-ray emitters or emission spots (focal spots) that are addressable on at least one of an individual and groupwise basis.", "Although most x-ray source arrays suitable for use with one or more of the preferred embodiments will commonly be of the field emission “cold cathode” type, the scope of the present teachings is not so limited.", "By way of example, other types of x-ray source arrays that may be suitable for use with one or more of the preferred embodiments include scanning-beam array X-ray sources in which an electron beam digitally scans across a tungsten transmission target thirty times per second, sequentially producing ten thousand individually collimated X-ray beams, as reported by Triple Ring Technologies, Inc., of Newark, Calif. X-ray source arrays have been proposed for use in kV imaging systems associated with radiation treatment systems, such as in US20090296886A1.However, it is believed that substantial advances in the configuration, operation, and/or manner of integration of x-ray source arrays into IGRT systems, such as those provided by one or more of the preferred embodiments herein, are needed in order to achieve clinical practicality, effectiveness, and market acceptance.", "It is to be appreciated the although particularly advantageous in the context of IGRT systems, one or more of the preferred embodiments is also applicable to a wide variety of other medical imaging applications outside the realm of image-guided radiation treatment.", "More generally, one or more issues arises with respect to known medical imaging and/or radiation treatment systems that is at least partially addressed by one or more of the preferred embodiments described further hereinbelow.", "Other issues arise as would be apparent to a person skilled in the art in view of the present teachings." ], [ "<SOH> SUMMARY <EOH>Provided according to one preferred embodiment is a method for image guided radiation treatment (IGRT) of a body part, comprising providing an IGRT apparatus including a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray cone beam imaging source mounted to and rotatable with the rotatable gantry structure, the treatment guidance imaging system further including a first imaging detector.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of the rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles.", "Subsequent to the patient setup interval, the first x-ray cone beam imaging source and the first imaging detector are operated to acquire a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times.", "The first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window tomosynthesis reconstructed image volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image as used in computing at least one previous member of the time sequence.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between each of the time sequence of sliding-window tomosynthesis reconstructed image volumes and the pre-acquired image data set.", "Provided according to another preferred embodiment is a method for IGRT of a body part, comprising providing an IGRT apparatus including a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray cone beam imaging source mounted to and rotatable with the rotatable gantry structure, the treatment guidance imaging system further including a first imaging detector.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of the rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles greater than 180 degrees plus a fan beam angle of the first x-ray cone beam imaging source.", "Subsequent to the patient setup interval, the first x-ray cone beam imaging source and the first imaging detector are operated to acquire a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times.", "The first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window cone beam CT (CBCT) volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image as used in computing at least one previous member of the time sequence.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between each of the time sequence of sliding-window CBCT volumes and the pre-acquired image data set.", "Provided according to another preferred embodiment is a method for IGRT of a body part, comprising providing an IGRT apparatus having a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray source array mounted to and rotatable with the rotatable gantry structure.", "The first x-ray source array has a number of x-ray sources positioned thereacross.", "The treatment guidance imaging system further including a first digital detector array.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of said rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles including a first gantry angle.", "Subsequent to the patient setup interval and with the rotatable gantry structure at the first gantry angle, the first x-ray source array and the first digital detector array are operated to acquire a first population of x-ray cone beam projection images of the body part.", "The first population of x-ray cone beam projection images are processed to compute therefrom a first tomosynthesis image volume.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between the first tomosynthesis image volume and the pre-acquired image data set.", "Provided according to one or more preferred embodiments are systems, methods, and related computer program products for image-guided radiation treatment (IGRT), including an image-guided radiation therapy (IGRT) system that provides both high mechanical stability and radiation delivery and target tracking versatility.", "The IGRT system is robust against deformation even in cases of relatively swift movement of its therapeutic radiation source, while at the same time providing for a wide range of achievable angles for the introduction of therapeutic radiation into different body parts and providing for a wide range of imaging options for locating and tracking a target region.", "Therefore, IGRT systems according to one or more of the preferred embodiments provide for a wider array of radiation treatment options in relatively faster treatment times.", "In one or more preferred embodiments, the IGRT system further includes a highly versatile yet stable in-therapy imaging system for further enhancing overall system adaptability, precision, and performance.", "In other preferred embodiments, related methods for radiation treatment delivery are provided, including a method for conical non-coplanar rotational arc therapy and cono-helical non-coplanar rotational arc therapy.", "Further provided according to one or more preferred embodiments are methods for intra-fraction target tracking in a gantry-style IGRT system, the methods being based on comparisons between a pre-acquired planning image and intrafraction x-ray tomosynthesis images and/or intrafraction cone beam CT (CBCT) images.", "The intrafraction tomosynthesis images and/or CBCT images, which can be acquired using single x-ray point sources or x-ray source arrays, such x-ray sources optionally being provided in stereoscopic and/or dual-energy or multi-energy configurations, can be compared with the pre-acquired planning image in accordance with one or more preferred embodiments that provide for one or more of streamlined intrafraction computation, reduced patient x-ray dose, and reduced treatment delivery margins, as is described further hereinbelow." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "15/078,553, filed Mar.", "23, 2016, which is a divisional application of U.S. patent application Ser.", "No.", "14/548,095, filed on Nov. 19, 2014, which is a divisional application of U.S. patent application Ser.", "No.", "13/033,584, filed on Feb. 23, 2011, now U.S. Pat.", "No.", "8,934,605, issued on Jan. 13, 2015, which claims the benefit of U.S.", "Provisional Ser.", "No.", "61/307,847 filed Feb. 24, 2010, and U.S.", "Provisional Ser.", "No.", "61/371,732 filed Aug. 8, 2010, each of which is incorporated by reference herein.", "The subject matter of this patent specification is also related to the subject matter of the following commonly assigned applications, each of which is incorporated by reference herein: International Application Ser.", "No.", "PCT/US11/25936 filed Feb. 23, 2011; U.S.", "Provisional Ser.", "No.", "61/352,637 filed Jun.", "8, 2010; U.S.", "Provisional Ser.", "No.", "61/371,733 filed Aug. 8, 2010; U.S.", "Provisional Ser.", "No.", "61/371,737 filed Aug. 8, 2010; and U.S.", "Provisional Ser.", "No.", "61/371,737 filed Jan. 20, 2011.FIELD This patent specification relates to the use of radiation for medical treatment purposes.", "More particularly, this provisional patent specification relates to radiation treatment systems.", "BACKGROUND Pathological anatomies such as tumors and lesions can be treated with an invasive procedure, such as surgery, which can be harmful and full of risks for the patient.", "A non-invasive method to treat a pathological anatomy (e.g., tumor, lesion, vascular malformation, nerve disorder, etc.)", "is external beam radiation therapy, which typically uses a therapeutic radiation source, such as a linear accelerator (LINAC), to generate radiation beams, such as x-rays.", "In one type of external beam radiation therapy, a therapeutic radiation source directs a sequence of x-ray beams at a tumor site from multiple co-planar angles, with the patient positioned so the tumor is at the center of rotation (isocenter) of the beam.", "As the angle of the therapeutic radiation source changes, every beam passes through the tumor site, but passes through a different area of healthy tissue on its way to and from the tumor.", "As a result, the cumulative radiation dose at the tumor is high and that to healthy tissue is relatively low.", "The term “radiosurgery” refers to a procedure in which radiation is applied to a target region at doses sufficient to necrotize a pathology in fewer treatment sessions or fractions than with delivery of lower doses per fraction in a larger number of fractions.", "Radiosurgery is typically characterized, as distinguished from radiotherapy, by relatively high radiation doses per fraction (e.g., 500-2000 centiGray), extended treatment times per fraction (e.g., 30-60 minutes per treatment), and hypo-fractionation (e.g., one to five fractions or treatment days).", "Radiotherapy is typically characterized by a low dose per fraction (e.g., 100-200 centiGray), shorter fraction times (e.g., 10 to 30 minutes per treatment) and hyper-fractionation (e.g., 30 to 45 fractions).", "For convenience, the term “radiation treatment” is used herein to mean radiosurgery and/or radiotherapy unless otherwise noted.", "Image-guided radiation therapy (IGRT) systems include gantry-based systems and robotic arm-based systems.", "In gantry-based systems, a gantry rotates the therapeutic radiation source around an axis passing through the isocenter.", "Gantry-based systems include C-arm gantries, in which the therapeutic radiation source is mounted, in a cantilever-like manner, over and rotates about the axis passing through the isocenter.", "Gantry-based systems further include ring gantries having generally toroidal shapes in which the patient's body extends through a bore of the ring/toroid, and the therapeutic radiation source is mounted on the perimeter of the ring and rotates about the axis passing through the isocenter.", "Traditional gantry systems (ring or C-arm) deliver therapeutic radiation in single plane (i.e., co-planar) defined by the rotational trajectory of the radiation source.", "Examples of C-arm systems are manufactured by Siemens of Germany and Varian Medical Systems of California.", "In robotic arm-based systems, the therapeutic radiation source is mounted on an articulated robotic arm that extends over and around the patient, the robotic arm being configured to provide at least five degrees of freedom.", "Robotic arm-based systems provide the capability to deliver therapeutic radiation from multiple out-of-plane directions, i.e., are capable of non-coplanar delivery.", "Accuray Incorporated of California manufactures a system with a radiation source mounted on a robotic arm for non-coplanar delivery of radiation beams.", "Associated with each radiation therapy system is an imaging system to provide in-treatment images that are used to set up and, in some examples, guide the radiation delivery procedure and track in-treatment target motion.", "Portal imaging systems place a detector opposite the therapeutic source to image the patient for setup and in-treatment images, while other approaches utilize distinct, independent image radiation source(s) and detector(s) for the patient set-up and in-treatment images.", "Target or target volume tracking during treatment is accomplished by comparing in-treatment images to pre-treatment image information.", "Pre-treatment image information may comprise, for example, computed tomography (CT) data, cone-beam CT data, magnetic resonance imaging (MRI) data, positron emission tomography (PET) data or 3D rotational angiography (3DRA) data, and any information obtained from these imaging modalities (for example and without limitation digitally reconstructed radiographs or DRRs).", "In one common scenario, the therapeutic source is a linear accelerator (LINAC) producing therapeutic radiation (which can be termed an “MV source”) and the imaging system comprises one or more independent x-ray imaging sources producing relatively low intensity lower energy imaging radiation (each of which can be termed a “kV source”).", "In-treatment images can comprise one or more (preferably two) two-dimensional images (typically x-ray) acquired at one or more different points of view (e.g., stereoscopic x-ray images), and are compared with two-dimensional DRRs derived from the three dimensional pre-treatment image information.", "A DRR is a synthetic x-ray image generated by casting hypothetical x-rays through the 3D imaging data, where the direction and orientation of the hypothetical x-rays simulate the geometry of the in-treatment x-ray imaging system.", "The resulting DRR then has approximately the same scale and point of view as the in-treatment x-ray imaging system, and can be compared with the in-treatment x-ray images to determine the position and orientation of the target, which is then used to guide delivery of radiation to the target.", "There are two general goals in radiation therapy: (i) to deliver a highly conformal dose distribution to the target volume; and (ii) to deliver treatment beams with high accuracy throughout every treatment fraction.", "A third goal is to accomplish the two general goals in as little time per fraction as possible.", "Delivering an increased conformal dose distribution requires, for example, the ability to deliver non-coplanar beams.", "Delivering treatment beams accurately requires the ability to track the location of the target volume intrafraction.", "The ability to increase delivery speed requires the ability to accurately, precisely, and quickly move the radiation source without hitting other objects in the room or the patient, or violating regulatory agency speed limitations.", "One or more issues arise with respect to known radiation therapy systems that are at least partially addressed by one or more of the preferred embodiments described further hereinbelow.", "Generally speaking, these issues are brought about by a tension in known radiation therapy systems between mechanical stability and system versatility, a tension that becomes more pronounced as the desired use of radiation therapy expands from head-only applications to applications throughout the body, such as (without limitation) the lungs, liver, and prostate.", "Robot arm-based systems tend to allow for larger ranges of radiation beam angles for different body parts than ring or C-arm gantry-based systems, especially when it is desired to keep the patient couch motionless during the radiation therapy session.", "Accordingly, robot arm-based systems generally tend to allow for more versatility in the kinds of therapy plans that may be available to the patient in comparison to C-arm and ring gantry-based systems.", "Further in view of the very heavy nature of most therapeutic radiations sources, which can weigh hundreds of kilograms, systems based on mounting of the therapeutic radiation source on a C-arm gantry suffer from undesired in-treatment deformation of the mount structures, which deformation is difficult to model or predict and leads to beam delivery errors and/or increased therapy planning margins due to the inability to precisely and accurately identify where the beam is pointed in three-dimensional space.", "Ring gantry-based systems, on the other hand, tend to exhibit relatively high mechanical stability, i.e., less of the deformation problems exhibited by C-arm gantry-based systems, and thus can reproducibly and accurately position the radiation source, including doing so at relatively high mechanical drive speeds.", "However, as discussed above, gantry-based systems (like C-arm systems) tend to provide a lesser range of achievable angles for the introduction of therapeutic radiation into different body parts and, therefore, provide a narrower array of radiation treatment options as compared to robot arm-based systems.", "X-ray tomosynthesis refers to the process of acquiring a number of two-dimensional x-ray projection images of a target volume using x-rays that are incident upon the target volume at a respective number of different angles, followed by the mathematical processing of the two-dimensional x-ray projection images to yield a set of one or more tomosynthesis reconstructed images representative of one or more respective slices of the target volume, wherein the number of x-ray projection images is less than that in a set that would be required for CT image reconstruction, and/or the number or range of incident radiation angles is less than would be used in a CT imaging procedure.", "Commonly, a plurality of tomosynthesis reconstructed images are generated, each being representative of a different slice of the target volume, and therefore a set of tomosynthesis reconstructed images is sometimes referred to as a tomosynthesis volume.", "As used herein, the term tomosynthesis projection image refers to one of the two-dimensional x-ray projection images acquired during the tomosynthesis imaging process.", "For purposes of the above terminology, for some preferred embodiments, a set of images that is required for CT image reconstruction is considered to include images (e.g., 300 or more) generated over a range of incident angles that is 180 degrees plus the fan beam angle.", "For some preferred embodiments, the x-ray projection images for constructing a tomosynthesis image are taken over an angular range between 1 degree and an angular range value that is less than that needed for a complete projection set for CT imaging (e.g., 180 degrees plus the fan angle), wherein the number of projection images generated in this range is a value that is between 2 and 1000.In other preferred embodiments, the x-ray projection images for constructing a tomosynthesis image are taken over an angular range of between 5 degrees and 45 degrees, wherein the number of projection images generated in this range is between 5 and 100.X-ray tomosynthesis has been proposed as an in-treatment kV imaging modality for use in conjunction with radiation treatment systems.", "In U.S. Pat.", "No.", "7,532,705B2 it is proposed to process the three-dimensional pre-treatment image information (e.g., a planning CT image volume) to generate digital tomosynthesis (DTS) reference image data of a target located within or on a patient, such as by simulating x-ray cone-beam projections through the planning CT image volume.", "Subsequently, with the patient on the treatment bed, DTS verification images are generated by acquiring a number of x-ray cone beam images at different angles.", "Target localization is then performed by comparing landmarks, such as bony structures, soft-tissue anatomy, implanted targets, and skin contours in the DTS reference image data and DTS verification image data.", "In U.S. Pat.", "No.", "7,711,087B2 it is proposed to acquire tomosynthesis image data during a treatment session.", "For purposes of movement tracking during the treatment session, tomosynthesis reconstructed slices are processed directly in conjunction with reference CT data in a process that searches for a tomosynthesis reconstructed image that best matches a selected reference CT slice.", "The identity of the particular tomosynthesis reconstructed image that yields a maximum degree of match, together with the amount of spatial offset required for that tomosynthesis reconstructed image to achieve the peak match, is used to localize the target in three-dimensional space.", "The commonly assigned U.S. Pat.", "No.", "6,778,850, which is incorporated by reference herein, also discloses the use of x-ray tomosynthesis images (more particularly, the use of relatively low clarity intra-treatment 3D images of the target region synthesized from a plurality of 2D diagnostic images acquired at different angles) of as an in-treatment kV imaging modality.", "Cone beam CT (CBCT) has also been proposed as an in-treatment imaging modality for use in conjunction with radiation treatment systems, in some cases as a kV imaging modality and in other cases as an MV (portal) imaging modality.", "Whereas conventional CT imaging reconstructs 2D slices from 1D projections through a target volume, the 2D slices then being stacked to form a 3D volumetric image, CBCT imaging directly constructs a 3D volumetric image from 2D projections of the target volume.", "As known in the art, CBCT offers the ability to form a 3D image volume from a single gantry rotation (more specifically, a rotation of at least 180 degrees plus a fan beam angle) about the target volume, whereas conventional CT requires one rotation per slice (for single-row detectors) or 1/M rotations per slice (for newer quasi-linear multi-row detectors having M rows).", "CBCT also provides for a more isotropic spatial resolution, whereas conventional CT limits the spatial resolution in the longitudinal direction to the slice thickness.", "However, because conventional CT systems usually offer a substantially higher degree of collimation near their linear or quasi-linear row detectors than can usually be afforded by CBCT systems near their two-dimensional detectors, scattering noise and artifacts are more of a problem for CBCT systems than for conventional CT systems.", "In U.S. Pat.", "No.", "7,471,765B2 it is proposed to use a CBCT imaging system including a kV x-ray tube and a flat-panel imaging detector mounted on a LINAC gantry such that the kV radiation is approximately orthogonal to the MV treatment radiation from the LINAC.", "Prior to treatment, a CBCT planning image is acquired for treatment planning.", "Subsequently, before each treatment fraction, a CBCT image is acquired and compared to the CBCT pre-treatment planning image, and the results of the comparison are used to modify the treatment plan for that treatment fraction to compensate for interfraction setup errors and/or interfraction organ motion.", "Due to limitations in permissible gantry rotation speeds (e.g., one rotation per minute) which cause the CBCT acquisition time to be slow compared to breathing (or other physiological cycles) of the patient, a gating scheme synchronized to patient breathing (or other physiological cycles) is used during CBCT acquisition to reduce the deleterious effects of organ motion in the reconstructed images.", "Also due to the relatively slow CBCT acquisition time, the CBCT volume data is generally useful only for patient set-up before each treatment fraction, and not for intra-fraction motion correction.", "X-ray source arrays such as field emission “cold cathode” x-ray source arrays represent a promising advance in medical imaging and offer potential advantages over conventional x-ray tube sources in several respects.", "A conventional x-ray tube usually comprises a tungsten, tantalum or rhenium cathode that is heated to approximately 2000° C. to cause el ectrons to be emitted thermionically, the free electrons then being accelerated toward an anode by a high electrical potential such as 120 kV.", "X-ray radiation usable for imaging is created when the thermionically generated electrons strike an anode, usually made of tungsten, molybdenum, or copper, at a focal spot of the x-ray tube, the collision causing the emission of x-ray photons.", "While historically being the only practical and cost-effective way to provide imaging x-ray radiation in medical imaging environments, conventional x-ray tube sources can bring about many design compromises in view of their relatively large size and weight, high operating temperatures, high power consumption, relatively modest temporal resolution (e.g., on/off switching times), and their minimal amenability to miniaturization or formation into closely spaced arrays.", "As an alternative to conventional x-ray tube technology in which free electrons are generated by thermionic emission, alternative technologies have been introduced in which the free electrons are generated by field emission.", "In a field emission source, free electrons are emitted upon the application of a voltage to a material having a high emission density, such as certain carbon nanotube (CNT) materials.", "Because field emission of electrons is produced by a high electric field, no heating is necessary.", "Field emission sources are thus often referred to as cold cathode sources.", "Advantageously, the electron beams emitted by such materials may have low divergence and thus provide ease of focusing onto a focal spot.", "Moreover, the virtually instantaneous response of the source offers time gating capabilities that may even be on the order of nanoseconds.", "Because they can be made exceedingly small, field emission x-ray sources are highly amenable to formation into arrays.", "According to U.S. Ser.", "No.", "07/505,562B2, which is incorporated by reference herein, devices having 1000 pixels per meter (i.e., 1000 individual x-ray sources per meter) with pulse repetition rates on the order of 10 MHz can be envisioned using technology within the current state of the art.", "As used herein, the term x-ray source array refers to a source of x-rays comprising a plurality of spatially distinct, electronically activatible x-ray emitters or emission spots (focal spots) that are addressable on at least one of an individual and groupwise basis.", "Although most x-ray source arrays suitable for use with one or more of the preferred embodiments will commonly be of the field emission “cold cathode” type, the scope of the present teachings is not so limited.", "By way of example, other types of x-ray source arrays that may be suitable for use with one or more of the preferred embodiments include scanning-beam array X-ray sources in which an electron beam digitally scans across a tungsten transmission target thirty times per second, sequentially producing ten thousand individually collimated X-ray beams, as reported by Triple Ring Technologies, Inc., of Newark, Calif. X-ray source arrays have been proposed for use in kV imaging systems associated with radiation treatment systems, such as in US20090296886A1.However, it is believed that substantial advances in the configuration, operation, and/or manner of integration of x-ray source arrays into IGRT systems, such as those provided by one or more of the preferred embodiments herein, are needed in order to achieve clinical practicality, effectiveness, and market acceptance.", "It is to be appreciated the although particularly advantageous in the context of IGRT systems, one or more of the preferred embodiments is also applicable to a wide variety of other medical imaging applications outside the realm of image-guided radiation treatment.", "More generally, one or more issues arises with respect to known medical imaging and/or radiation treatment systems that is at least partially addressed by one or more of the preferred embodiments described further hereinbelow.", "Other issues arise as would be apparent to a person skilled in the art in view of the present teachings.", "SUMMARY Provided according to one preferred embodiment is a method for image guided radiation treatment (IGRT) of a body part, comprising providing an IGRT apparatus including a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray cone beam imaging source mounted to and rotatable with the rotatable gantry structure, the treatment guidance imaging system further including a first imaging detector.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of the rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles.", "Subsequent to the patient setup interval, the first x-ray cone beam imaging source and the first imaging detector are operated to acquire a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times.", "The first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window tomosynthesis reconstructed image volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image as used in computing at least one previous member of the time sequence.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between each of the time sequence of sliding-window tomosynthesis reconstructed image volumes and the pre-acquired image data set.", "Provided according to another preferred embodiment is a method for IGRT of a body part, comprising providing an IGRT apparatus including a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray cone beam imaging source mounted to and rotatable with the rotatable gantry structure, the treatment guidance imaging system further including a first imaging detector.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of the rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles greater than 180 degrees plus a fan beam angle of the first x-ray cone beam imaging source.", "Subsequent to the patient setup interval, the first x-ray cone beam imaging source and the first imaging detector are operated to acquire a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times.", "The first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window cone beam CT (CBCT) volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image as used in computing at least one previous member of the time sequence.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between each of the time sequence of sliding-window CBCT volumes and the pre-acquired image data set.", "Provided according to another preferred embodiment is a method for IGRT of a body part, comprising providing an IGRT apparatus having a rotatable gantry structure, a radiation treatment head, and a treatment guidance imaging system including a first x-ray source array mounted to and rotatable with the rotatable gantry structure.", "The first x-ray source array has a number of x-ray sources positioned thereacross.", "The treatment guidance imaging system further including a first digital detector array.", "A pre-acquired image data set of the body part acquired in a reference frame generally independent of a reference frame of the IGRT apparatus is received.", "During a patient setup interval, the body part is positioned into an initial treatment position relative to the IGRT apparatus, the initial treatment position being along an axis of rotation of said rotatable gantry structure.", "The rotatable gantry structure is rotated through a range of gantry angles including a first gantry angle.", "Subsequent to the patient setup interval and with the rotatable gantry structure at the first gantry angle, the first x-ray source array and the first digital detector array are operated to acquire a first population of x-ray cone beam projection images of the body part.", "The first population of x-ray cone beam projection images are processed to compute therefrom a first tomosynthesis image volume.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between the first tomosynthesis image volume and the pre-acquired image data set.", "Provided according to one or more preferred embodiments are systems, methods, and related computer program products for image-guided radiation treatment (IGRT), including an image-guided radiation therapy (IGRT) system that provides both high mechanical stability and radiation delivery and target tracking versatility.", "The IGRT system is robust against deformation even in cases of relatively swift movement of its therapeutic radiation source, while at the same time providing for a wide range of achievable angles for the introduction of therapeutic radiation into different body parts and providing for a wide range of imaging options for locating and tracking a target region.", "Therefore, IGRT systems according to one or more of the preferred embodiments provide for a wider array of radiation treatment options in relatively faster treatment times.", "In one or more preferred embodiments, the IGRT system further includes a highly versatile yet stable in-therapy imaging system for further enhancing overall system adaptability, precision, and performance.", "In other preferred embodiments, related methods for radiation treatment delivery are provided, including a method for conical non-coplanar rotational arc therapy and cono-helical non-coplanar rotational arc therapy.", "Further provided according to one or more preferred embodiments are methods for intra-fraction target tracking in a gantry-style IGRT system, the methods being based on comparisons between a pre-acquired planning image and intrafraction x-ray tomosynthesis images and/or intrafraction cone beam CT (CBCT) images.", "The intrafraction tomosynthesis images and/or CBCT images, which can be acquired using single x-ray point sources or x-ray source arrays, such x-ray sources optionally being provided in stereoscopic and/or dual-energy or multi-energy configurations, can be compared with the pre-acquired planning image in accordance with one or more preferred embodiments that provide for one or more of streamlined intrafraction computation, reduced patient x-ray dose, and reduced treatment delivery margins, as is described further hereinbelow.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates a radiation treatment environment according to a preferred embodiment; FIGS.", "2A-2B illustrate axial and side cut-away views, respectively, of an image-guided radiation treatment (IGRT) system according to a preferred embodiment; FIG.", "2C illustrates a perspective view of the IGRT system of FIGS.", "2A-2B and a schematic diagram of a computer system integral therewith and/or coupled thereto according to a preferred embodiment; FIG.", "2D illustrates a side cut-away view of an IGRT system according to a preferred embodiment; FIG.", "3A illustrates a side cut-away view of an IGRT system according to a preferred embodiment; FIG.", "3B illustrates a perspective view of a rotatable gantry structure of the IGRT system of FIG.", "3A; FIGS.", "4A-4D illustrate radiation treatment head pivoting capability in an IGRT system according to a preferred embodiment; FIGS.", "5A-5B illustrate axial and side cut-away views, respectively, of an IGRT system according to a preferred embodiment; FIGS.", "6A-6B illustrate axial and side cut-away views, respectively, of an IGRT system according to a preferred embodiment; FIG.", "6C illustrates a perspective view of a rotatable gantry structure of the IGRT system of FIGS.", "6A-6B; FIG.", "7 illustrates an alternative embodiment of the IGRT system 600 of FIGS.", "6A-6C; FIGS.", "8A-8B illustrate axial and side cut-away views, respectively, of an IGRT system according to a preferred embodiment; FIG.", "8C illustrates a perspective view of plural rotatable gantry structures of the IGRT system of FIGS.", "8A-8B; FIGS.", "9A-9C illustrate conical non-coplanar rotational arc therapy and cono-helical rotational arc therapy using an IGRT system according to a preferred embodiment; FIG.", "10 illustrates non-isocentric radiation beam delivery using an IGRT system according to a preferred embodiment; FIG.", "11 illustrates non-isocentric radiation beam delivery including a couch kick using an IGRT system according to a preferred embodiment; FIG.", "12 illustrates image guided radiation treatment according to a preferred embodiment; FIGS.", "13A-13B each illustrate front, top, and axial views of an IGRT system including one or more x-ray source arrays according to a preferred embodiment; FIGS.", "14-15 each illustrate front, top, and axial views of an IGRT system having one or more x-ray source arrays according to a preferred embodiment; FIG.", "16 illustrate an axial view of an IGRT system having one or more x-ray source arrays according to a preferred embodiment; FIG.", "17 illustrates a conceptual diagram of an example of sliding-window tomosynthesis imaging or sliding-window CBCT imaging according to one or more preferred embodiments; and FIGS.", "18-20 each illustrate image guided radiation treatment according to one or more preferred embodiments.", "DETAILED DESCRIPTION FIG.", "1 illustrates a radiation treatment environment 100 within which one or more of the preferred embodiments is advantageously applied.", "The radiation treatment environment 100 includes a reference imaging system 102 and an IGRT system 104.Reference imaging system 102 usually comprises a high precision volumetric imaging system such as a computed tomography (CT) system or a nuclear magnetic resonance imaging (MRI) system.", "In view of cost and workflow considerations in many clinical environments, the reference imaging system 102 is often a general purpose tool used for a variety of different purposes in the clinic or hospital environment, and is not specifically dedicated to the IGRT system 104.Rather, the reference imaging system 102 is often located in its own separate room or vault and is purchased, installed, and/or maintained on a separate and more generalized basis than the IGRT system 104.Accordingly, for the example of FIG.", "1, the reference imaging system 102 is illustrated as being distinct from the IGRT system 104.Notably, for other radiation treatment environments that are not outside the scope of the present teachings, the reference imaging system 102 can be considered as an integral component of the IGRT system 104.IGRT system 104 comprises a radiation treatment (MV) source 108 that selectively applies high-energy x-ray treatment radiation to a target volume of a patient P positioned on a treatment couch TC.", "The MV source 108 applies the treatment radiation under the control of a system controller 114, and more particularly a treatment radiation control subsystem 128 thereof.", "System controller 114 further comprises processing circuitry 120, a detector controller 122, a couch position controller 124, and a kV radiation controller 126 each programmed and configured to achieve one or more of the functionalities described further herein.", "One or more imaging (kV) radiation sources 110 selectively emit relatively low-energy x-ray imaging radiation under the control of kV radiation controller 126, the imaging radiation being captured by one or more imaging detectors 112.In alternative preferred embodiments, one or more of the imaging detectors 112 can be a so-called portal imaging detector that captures high-energy x-ray treatment radiation from MV source 108 that has propagated through the target volume.", "For one preferred embodiment, the kV imaging radiation sources 110 include both a two-dimensional stereotactic x-ray imaging system and a tomosynthesis imaging system.", "For other preferred embodiments, only a two-dimensional stereotactic x-ray imaging system is provided, while for still other preferred embodiments only a tomosynthesis imaging system is provided.", "Preferably, each of the stereotactic x-ray imaging system and the tomosynthesis imaging system are characterized by either (a) a fixed, predetermined, nonmoving geometry relative to the (x, y, z) coordinate system of the treatment room, or (b) a precisely measurable and/or precisely determinable geometry relative to the (x, y, z) coordinate system of the treatment room in the event they are dynamically moveable.", "The MV radiation source 108 should also, of course, have a precisely measurable and/or precisely determinable geometry relative to the (x, y, z) coordinate system of the treatment room.", "A couch positioner 130 is actuated by the couch position controller 124 to position the couch TC.", "A non-x-ray based position sensing system 134 senses position and/or movement of external marker(s) strategically affixed to the patient, and/or senses position and/or movement of the patient skin surface itself, using one or more methods that do not involve ionizing radiation, such as optically based or ultrasonically based methods.", "In one example, IGRT system 104 can be similar to a CYBERKNIFE® robotic radiosurgery system available from Accuray Incorporated of Sunnyvale, Calif., and the position sensing system 134 can be similar to relevant sensing components of the Accuray Incorporated SYNCHRONY® respiratory tracking system.", "IGRT system 104 further includes an operator workstation 116 and a treatment planning system 118.In common clinical practice, treatment planning is performed on a pre-acquired treatment planning image 106 generated by the reference imaging system 102.The pre-acquired treatment planning image 106 is often a high resolution three-dimensional CT image acquired substantially in advance (e.g., one to two days in advance) of the one or more radiation treatment fractions that the patient will undergo.", "As indicated in FIG.", "1 by the illustration of an (i, j, k) coordinate system for the pre-acquired treatment planning image 106, which is in contrast to the (x, y, z) treatment room coordinate system illustrated for the treatment room of the IGRT system 104, there is generally no pre-existing or intrinsic alignment or registration between the treatment planning image 106 coordinate system and the treatment room coordinate system.", "During the treatment planning process, a physician establishes a coordinate system (e.g., i, j, k in treatment planning image 106) within the treatment planning image, which may also be referred to herein as the planning image coordinate system or planning image reference frame.", "A radiation treatment plan is developed in the planning image coordinate system that dictates the various orientations, sizes, durations, etc., of the high-energy treatment radiation beams to be applied by the MV source 108 during each treatment fraction.", "Accurate delivery of therapeutic radiation to a target requires aligning the planning image coordinate system with the treatment room coordinate system, as the entire delivery and tracking system (if present) is calibrated to the treatment room coordinate system.", "It will be appreciated that this alignment does not need to be exact and further appreciated that couch adjustment or beam delivery adjustment can be used to account for offsets in the alignment between the two coordinate systems.", "Thus, immediately prior to each treatment fraction, under a precise image guidance of the kV imaging radiation sources 110, according to one or more of the embodiments described further hereinbelow, the patient is physically positioned such that the planning image coordinate system (defined, for example and not by way of limitation, by a physician while creating a treatment plan on a CT image or planning image) is positioned into an initial alignment with the treatment room coordinate system, hereinafter termed an initial treatment alignment or initial treatment position.", "This alignment is commonly referred to as patient set up.", "Depending on the location of the target volume, the target volume can vary in position and orientation and/or can undergo volumetric deformations due to patient movement and/or physiological cycles such as respiration.", "As used herein, the term in-treatment alignment variation or in-treatment position variation is used to refer to the variations in position, orientation, and/or volumetric shape by which the current state of the target volume differs from the initial treatment alignment.", "By virtue of a known relationship between the treatment planning coordinate system and the treatment room coordinate system, the term in-treatment alignment variation can also be used to refer to the variations in position, orientation, or volumetric shape by which the current state of the target volume differs from that in the treatment planning coordinate system.", "More generally, the term initial treatment alignment or initial treatment position refers herein to the particular physical pose or disposition (including position, orientation and volumetric shape) of the body part of the patient upon patient setup at the outset of the treatment fraction.", "A non x-ray based position sensing system 134 may also be provided.", "This non x-ray based position sensing system 134 may include, by way of example and without limitation, external markers affixed in some manner to a patient's chest which move in response to respiration (other mechanisms for monitoring respiration may be used), and include a mono or stereoscopic x-ray imaging system, which as described above can precisely determine target location.", "System 134 correlates motion of the external markers with target motion, as determined from (for example) the mono or stereoscopic x-ray projections.", "Non x-ray based position sensing system 134, therefore, permits system controller 114 to monitor external marker motion, use the correlation model to precisely predict where the target will be located in real time (e.g., −60 Hz), and direct the treatment beam to the target.", "As treatment of the moving target progresses additional x-ray images may be obtained and used to verify and update the correlation model.", "According to a preferred embodiment, system controller 114 including processing circuitry 120 is configured and programmed to receive information from the non-x-ray based position sensing system 134 and the imaging detector(s) 112 or just from the imaging detector(s) 112 when treating a relatively stationary target volume (for example and without limitation a brain, spine or prostate tumor), compute an in-treatment alignment variation therefrom, and control the treatment radiation source 108 in a manner that compensates for the in-treatment alignment variation on a continual basis.", "In the case where the target volume moves due to respiration, the more information-rich x-ray-based data from the imaging detectors 112 is updated at a relatively slow rate compared to the breathing cycle of the patient (for example, once every 15 seconds) to maintain reasonably low x-ray imaging dose levels, the less information-rich data from the non-x-ray based position sensing system 134 can be updated in substantially real-time (for example, 30 times per second).", "Using methods such as those described in the commonly assigned U.S. Pat.", "No.", "6,501,981B1, a correlation model between one or more x-ray-sensed internal target volume (with our without fiducials) and one or more non-x-ray-sensed external markers is used to ascertain the in-treatment alignment variations on a real-time basis, the correlation model being updated (corrected) at each x-ray imaging interval.", "Advantageously, judicious x-ray/tomosynthesis imaging source collimation strategies according to one or more of the preferred embodiments described further infra can be advantageously used to improve determination of in-treatment alignment variations or target tracking by virtue of one or more of higher x-ray/tomosynthesis imaging quality, reduced x-ray radiation dose, and higher x-ray/tomosynthesis imaging data acquisition rates.", "It is to be appreciated that the use of a non-x-ray based position sensing system 134 such as the SYNCHRONY® respiratory tracking system represents an option that, while advantageous in the radiation treatment of certain tumors within the lung or chest area, is not required for radiation treatments in many other body parts, such as the prostate, spine or brain.", "Whereas x-ray dosage concerns provide limits on the number of kV x-ray images that should be acquired in any particular intrafraction time interval (for example, no more than one kV image every 15 seconds, every 30 seconds, or every 60 seconds), tumors within the chest area, liver or pancreas can move at substantially faster periodic rates due to respiration, therefore giving rise to the need for the non-x-ray based position sensing system 134.However, tumors in other parts of the body, such as the prostate, spine or brain, will generally experience motion on a much slower time scale, wherein the dose-limited kV x-ray imaging rate will be still be sufficiently high to effectively guide the radiation treatment.", "The prostate, for example, may experience movement due to an accumulation of urine in the nearby urinary bladder, an event for which one kV x-ray image every 60 seconds should be sufficient to track resultant movement.", "Accordingly, for the many other parts of the anatomy for which kV imaging rates are sufficient, the non-x-ray based position sensing system 134 and the associated “real time” tracking (i.e., tracking at a rate faster than the kV imaging rate) is not required.", "It is to be appreciated that the exemplary radiation treatment environment of FIG.", "1 is presented by way of example and not by way of limitation, that the preferred embodiments are applicable in a variety of other radiation treatment environment configurations, and that one or more of the preferred embodiments is applicable to general medical imaging environments outside the particular context of radiation treatment systems.", "Thus, for example, while one or more of the preferred embodiments is particularly advantageous when applied in the context of a radiation treatment environment in which the reference imaging system 102 is physically separated from, has no common coordinate system with, and/or has no other intrinsic means of volumetric image registration with the IGRT delivery system 104, the scope of the present teachings is not so limited.", "Rather, the one or more preferred embodiments can also be advantageously applied in the context of radiation treatment environments in which the reference imaging system is physically integral with radiation treatment delivery system or has other intrinsic linkages, such as a rail-based patient movement system, with the radiation treatment delivery system.", "As used herein, “registration” of medical images refers to the determination of a mathematical relationship between corresponding anatomical or other (e.g.", "fiducial) features appearing in those medical images.", "Registration can include, but is not limited to, the determination of one or more spatial transformations that, when applied to one or both of the medical images, would cause an overlay of the corresponding anatomical features.", "The spatial transformations can include rigid-body transformations and/or deformable transformations and can, if the medical images are from different coordinate systems or reference frames, account for differences in those coordinate systems or reference frames.", "For cases in which the medical images are not acquired using the same imaging system and are not acquired at the same time, the registration process can include, but is not limited to, the determination of a first transformation that accounts for differences between the imaging modalities, imaging geometries, and/or frames of reference of the different imaging systems, together with the determination of a second transformation that accounts for underlying anatomical differences in the body part that may have taken place (e.g., positioning differences, overall movement, relative movement between different structures within the body part, overall deformations, localized deformations within the body part, and so forth) between acquisition times.", "FIGS.", "2A-2C illustrate an IGRT system 200 that is capable of carrying out the functionalities described above with respect to the IGRT system 104 of FIG.", "1 according to one or more preferred embodiments.", "Included in FIG.", "2C is a diagram of a computer system 250 integrated with the IGRT system 200, the computer system 250 being omitted from FIGS.", "2A-2B for clarity of description.", "IGRT system 200 comprises a gantry frame 202 within which is disposed a rotatable gantry structure 204 configured to rotate around a rotation axis 214 that passes through an isocenter 216.Associated with the IGRT system 200 is an imaginary plane, termed herein a transverse isocentric plane 217, that is orthogonal to the rotation axis 214 and passes through the isocenter 216.The gantry frame 202, the isocenter 216, the rotation axis 214, and the transverse isocentric plane 217 are preferably fixed and motionless relative to a treatment vault (not shown) in which the IGRT system 200 is installed.", "As used herein, isocenter or machine isocenter is a physical point in a treatment room (treatment vault).", "A treatment center is a point within the target volume defined by a physician during treatment planning, normally based within the pretreatment CT image reference frame.", "For isocentric treatment the treatment center is aligned with the machine isocenter during the set up procedure described above.", "The rotatable gantry structure 204 includes one or more beam members 206 that each extend between first and second ring members 208 and 209 disposed on opposite sides of the transverse isocentric plane 217.The first ring member 208 corresponds generally to a first end of the rotatable gantry structure 204 (toward the left side of FIG.", "2B), while the second ring member 209 corresponds generally to a second, opposite end of the rotatable gantry structure 204 (toward the right side of FIG.", "2B).", "The first and second ring members 208 and 209 are supported at their respective ends of the rotatable gantry structure 204 by corresponding ends of the gantry frame 202 in a manner that allows and facilitates rotation of the rotatable gantry structure 204 around the rotation axis 214 while keeping the rotation axis 214 highly stable and stationary.", "The skilled artisan will appreciate that any of a variety of different mechanical support schemes that allow such rotation can be used (e.g., anti-friction sleeves, slip bearings, roller bearings, etc.).", "The skilled artisan will appreciate that the gantry frame 202 can be made substantially thicker or otherwise reinforced at its respective ends than is indicated schematically in FIG.", "2B, in accordance with the particular materials being used and other design considerations, for ensuring such mechanical stability.", "Without loss of generality, the rotatable gantry structure 204 contains two beam members 206 separated by 180 degrees around the rotation axis 214, which is useful (for example and without limitation) for facilitating rotational balancing (e.g.", "by applying appropriate balancing weights to the opposing beam members 206).", "The skilled artisan will appreciate that the term beam member as used herein can encompass a wide variety of different types of structural members (e.g., solid rods, hollow rods, assemblies of parallel or concentric rods, truss-type structures, etc.)", "that can structurally extend from one place to another and along which one or more physical items (e.g., LINACs, LINAC assemblies, imaging sources, imaging detectors, and so forth) can be fixably or movably mounted or positioned.", "Movably mounted on one of the beam members 206 is a therapeutic radiation head 210, such as and without limitation a linear accelerator (LINAC) or a compact proton source, which includes thereon an end collimator 212, such as a multi-leaf collimator (MLC), and which provides a therapeutic radiation beam 203.The therapeutic radiation head 210 can alternatively be termed a radiation treatment head and is designated as such in one or more sections hereinbelow.", "The therapeutic radiation head 210 is mounted to the beam member 206 by a coupling device 207 that is configured and adapted to achieve the translational and rotational functionalities described further hereinbelow.", "The rotatable gantry structure 204 and therapeutic radiation head 210 are dimensioned so as to allow a central bore 218 to exist, that is, an opening sufficient to allow a patient P to be positioned therethrough without the possibility of being incidentally contacted by the therapeutic radiation head 210 or other mechanical components as the gantry rotates radiation head 210 about patient P. A patient couch 222 is provided for supporting the patient P, the patient couch 222 preferably being coupled to an automated patient positioning system (not shown) for moving the patient P into a therapy position and manipulating the patient with three or more degrees of freedom (e.g., three orthogonal translations, one parallel to the rotation axis 214, two orthogonal to rotation axis 214, plus optionally one or more rotations).", "The skilled artisan will appreciate that many couches can be used in accordance with embodiments of the present invention.", "According to one preferred embodiment, a cylindrically shaped bore shield 220 is provided to line the boundary of the central bore 218.In addition to preventing unexpected movement of the patient's hands or other body part into collision with moving parts, the bore shield 220 can reduce the sense of intimidation that the patient might feel in view of the large moving parts in the device.", "The bore shield 220 provides the ability to maximize the rotation speed of the gantry, while still meeting all regulatory safety requirements.", "The bore shield 220 should be formed of a material that is substantially transparent to the therapeutic and imaging radiation, and optionally can be visibly opaque as well.", "Also according to a preferred embodiment, the gantry frame 202 is configured and dimensioned such that a conical tapering 221 is provided at one or both ends of the central bore 218.At a given end of the central bore 218 (e.g., the left end in FIG.", "2B), the conical tapering 221 can extend from the bore opening to the ring member 208.Depending on the particular body part being treated, patient visibility into the surrounding room can be enhanced to provide a less claustrophobic experience for the patient.", "In combination, or alternatively, bore shield 220 could be a structural supporting cylinder or hub to which frame 202 is mechanically connected at approximately opposite ends of the supporting cylinder or hub.", "In such an embodiment the hub will provide additional or alternative structural support in addition to or in lieu of frame 202.In another embodiment the hub (whether or not made from radiolucent material) and/or the bore shield 220 has a longitudinal slit parallel to rotation axis 214 to allow radiation to pass therethrough unimpeded, thereby reducing the possibility of the so-called skin effect or to maximize skin sparing.", "As will be appreciated, the bore shield 220 could still line the structural cylinder and need not necessarily possess the slit, thereby fully closing off patient view and access to the rotating radiation source.", "The slit, if viewable by a patient, could be constructed so as to minimize potential access to the rotating radiation source, and the patient would likely only see the rotating radiation source when it is at or near the top of the ring pointing approximately vertically down.", "As will be appreciated, the hub will rotate in approximate unison with the radiation head.", "Stated in a different way, as an additional option, the bore shield 220 can be coupled such that it rotates with the rotatable gantry structure 204.This provides an option of leaving an open slit within the bore shield 220 through which the therapeutic radiation beam 203 can pass, which could be used to maximize skin sparing.", "This obscures the patient's view of most of the moving parts (indeed, unless the beam is somewhere above them they will only see the inside of the bore shield) and allows a free beam path that might be important to minimize skin dose, particularly if only a few beams are used.", "Optionally, to maintain moving components behind a fixed surface covering as much as possible in view of skin sparing issues, a removable cover can be provided to “plug” the slit, which would be fitted for rotational therapy treatment.", "For treatments using just a few (1-4) static beams, where build up is most critical but rotation speed between beams is not, then the slit is kept open.", "For rotational arc therapy treatments where build up is not critical (because skin dose is smeared out over so many beam directions) but rotation speed is critical, then the plug is fitted into the slit.", "This can be achieved manually in pre-treatment which a totally removable plug, or alternatively there is provided a mechanically sliding system on the bore shield 220 that can cover and uncover the slit under control and/or actuation of the treatment technician.", "According to a preferred embodiment, the therapeutic radiation head 210 is mounted to the beam member 206 in a manner that allows and facilitates (i) translation of the therapeutic radiation head 210 along the beam member 206 (i.e., in an end-to-end manner between first ring member 208 and second ring member 209), (ii) pivoting of the therapeutic radiation head 210 around a first pivot axis M1, termed herein a primary pivot axis, and (iii) pivoting of the therapeutic radiation head 210 around a second axis M2, termed herein a secondary pivot axis, located at a right angle to M1.Preferably, the axes M1 and M2 each pass through the center of mass (CoM) of the therapeutic radiation head 210, and the center of mass lies along the axis of the therapeutic radiation beam 203 Collectively, the primary pivoting around axis M1 and the secondary pivoting around axis M2 can be considered as a gimbal or gimballing motion of the therapeutic radiation head 210.For clarity of description, the primary pivoting around axis M1 may be referenced hereinbelow by the term “M1 pivot” or “M1 pivoting,” and the secondary pivoting around axis M2 may be referenced hereinbelow by the term “M2 pivot” or “M2 pivoting.” Notably, the terms primary/M1 and secondary/M2 are used herein for identification purposes and are not indicative of any particular imaging-related or treatment-related relative rankings.", "For the preferred embodiment of FIGS.", "2A-2C, the beam member 206 upon which the therapeutic radiation head 210 is mounted is concavely shaped relative to the rotation axis 214 such that the source-axis distance (SAD) remains approximately fixed for the range of translation distances of the therapeutic radiation head 210 along beam member 206.Preferably, the axes M1 and M2 pass through the center of mass (CoM) of the therapeutic radiation head, which is also coincident with the radiation source (e.g., focal spot in a LINAC).", "This makes treatment planning simpler and minimizes SAD variation with gimballing during tracking.", "Thus, there are three possibilities, each being within the scope of the present teachings, with regard to that which the axes M1 and M2 pass through: CoM of the therapeutic radiation head 210 for mechanical advantage; the axis of the therapeutic radiation source for advantage in treatment planning and providing minimal SAD variation with gimballing during tracking advantage; or both.", "By way of example, achieving both for a LINAC could include the use of balancing weights.", "The skilled artisan will appreciate that the IGRT system 200 further includes a plurality of actuators of various types (not shown) for achieving the mechanical functionalities described hereinabove and hereinbelow in the instant disclosure.", "Thus, for example, the IGRT system 200 includes respective actuation devices (not shown) to achieve the rotation of the rotatable gantry structure 204 around the rotation axis 214, the axial translation of the therapeutic radiation head 210 along the beam member 206, the M1 pivoting of the therapeutic radiation head 210, and the M2 pivoting of the therapeutic radiation head 210.The IGRT system 200 further includes one or more processing and/or control units, such as may be implemented on one or more programmable computers, for controlling the various actuators and sending signals to and from the various recited radiation sources and detectors as necessary to achieve the functionalities described hereinabove and hereinbelow in the instant disclosure.", "In view of the present disclosure, those skilled in the art would be able to configure such actuation devices, processing and/or control units, programmable computers, etc., and operate the described IGRT systems without undue experimentation.", "Included in FIG.", "2C is a schematic diagram of a computer system 250 integrated with and/or coupled to the IGRT system 200 using one or more busses, networks, or other communications systems 260, including wired and/or wireless communications systems, and being capable in conjunction therewith of implementing the methods of one or more of the preferred embodiments.", "Methods of image guided radiation treatment in accordance with one or more of the preferred embodiments may be implemented in machine readable code (i.e., software or computer program product) and performed on computer systems such as, but not limited to, the computer system 250, wherein a central processing unit (CPU) 251 including a microprocessor 252, random access memory 253, and nonvolatile memory 254 (e.g., electromechanical hard drive, solid state drive) is operated in conjunction with various input/output devices, such as a display monitor 255, a mouse 261, a keyboard 263, and other I/O devices 256 capable of reading and writing data and instructions from machine readable media 258 such as tape, compact disk (CD), digital versatile disk (DVD), blu-ray disk (BD), and so forth.", "In addition, there may be connections via the one or more busses, networks, or other communications systems 260 to other computers and devices, such as may exist on a network of such devices, e.g., the Internet 259.Software to control the image guided radiation treatment steps described herein may be implemented as a program product and stored on a tangible storage device such as the machine readable medium 258, an external nonvolatile memory device 262, or other tangible storage medium.", "For clarity of presentation, the computer system 250 of FIG.", "2C is omitted from further drawings and/or descriptions hereinbelow.", "Methods for configuring and programming the computer system 250 for achieving the functionalities described herein would be apparent to a person skilled in the art in view of the present disclosure.", "Advantageously, by virtue of the possibilities provided by the combination of axial translation of the therapeutic radiation head 210, M1 pivoting, and M2 pivoting, a rich variety of radiation treatment delivery plans are facilitated by the IGRT system 100, as will be discussed further infra.", "At the same time, by virtue of a ring-style mechanical nature of the rotatable gantry structure 204 (which could be more particularly referenced as a “barrel-style” mechanical nature), a greater degree of mechanical stability may be provided in comparison to approaches in which therapeutic radiation head support is of a cantilever-like nature.", "Generally speaking, in addition to positively affecting the range of achievable tilt angles (i.e., the angle between the therapeutic radiation beam 203 and the transverse isocentric plane 217 when the therapeutic radiation beam is isocentric, see FIG.", "4A), increased end-to-end distance between the ring members 108 and 109 will have an impact on the mechanical stability of the device.", "The selection of the end-to-end distance between the ring members 108 and 109 will also have an impact on the end-to-end length of the central bore 118, which should not get too long, and the overall height of the gantry frame 202, which should not get too high so that the system may fit within most existing radiation treatment vaults.", "FIG.", "2D illustrates an IGRT system 280 according to another preferred embodiment, comprising a gantry frame 282, a rotatable gantry structure 284 including beam members 286 and ring members 288 and 289, and a therapeutic radiation head 290 including an end collimator 292.The IGRT system 280 is similar to the IGRT system 200 of FIGS.", "2A-2C except that the beam member 286 upon which the therapeutic radiation head 290 is mounted is convexly shaped relative to the rotation axis 214.The convexity of the beam member 286 accommodates a physically larger end collimator 292, which for certain MLC designs can have a rather large width.", "Advantageously, the larger end collimator 292 is accommodated while also maintaining a required minimum diameter for a central bore 298, and while also providing a desirably lesser SAD as the therapeutic radiation head 290 approaches the transverse isocentric plane 217, whereas the SAD is greater near the ends of the beam member 286 in order to maintain the diameter of central bore 298.FIGS.", "3A-3B illustrate an IGRT system 300 according to a preferred embodiment, comprising a gantry frame 302, a rotatable gantry structure 304 including beam members 306 and ring members 308 and 309, and a therapeutic radiation head 310 including an end collimator 312.The IGRT system 300 is similar to the IGRT system 200 of FIGS.", "2A-2C except that the beam member 306 upon which the therapeutic radiation head 310 is mounted is approximately linear and oriented approximately horizontally.", "A lesser SAD is provided for therapeutic radiation head 310 at locations nearer the transverse isocentric plane 217 than for locations nearer the ends of the beam member 306.FIGS.", "4A-4D are presented to provide definitions for the functional geometry of an IGRT system according to the preferred embodiments, and are presented by way of a nonlimiting example with respect to the IGRT system 300 of FIGS.", "3A-3B.", "With reference to FIG.", "4A, an axial translation distance Dx is defined as a translation distance of the therapeutic radiation head 310 along the beam member 306 relative to an arbitrary reference point therealong, which can be set at the transverse isocentric plane 217 as in FIG.", "4A or at another fixed location along beam members 306.A tilt angle θT is defined as the arc between the therapeutic radiation beam 203 and the transverse isocentric plane 217 when the therapeutic radiation beam 203 is at isocenter.", "For any fixed position of the therapeutic radiation head 310 along the beam member 306, the tilt angle θT is fixed.", "A primary pivot angle θM1, also termed an M1 pivot angle, is defined as the net amount the therapeutic radiation head 310 has been rotated around its M1 axis relative to an arbitrary starting orientation therearound, which can be set at parallel to the transverse isocentric plane 217 as in FIG.", "4A or at some other fixed starting orientation.", "For the particular case of a straight beam member 306 that is approximately horizontal, as in FIG.", "4A, the primary pivot angle θM1 is equal to the tilt angle θT when the therapeutic radiation beam 203 is at isocenter.", "Illustrated in FIG.", "4B is a scenario in which the primary pivot angle θM1 has changed by a small amount AθM1 relative to the configuration of FIG.", "4A, which has caused the therapeutic radiation beam 203 to become off-isocenter.", "With reference to FIG.", "4C, a gantry angle θG is defined as the net amount the rotatable gantry structure 304 has been rotated around the rotation axis 214 relative to an arbitrary starting orientation therearound, which is illustrated by a vertical line 488 in FIG.", "4C.", "With reference to FIG.", "4D, a secondary pivot angle θM2, also termed an M2 pivot angle, is defined as the net amount the therapeutic radiation head 310 has been rotated around its M2 axis relative to an arbitrary starting orientation therearound, which can correspond to a starting case (see FIG.", "4C) in which the therapeutic radiation beam 203 is at isocenter.", "Illustrated in FIG.", "4D is a scenario in which the secondary pivot angle θM2 has been moved to a value other than zero, which has caused the therapeutic radiation beam 203 to become off-isocenter.", "In one preferred embodiment, the M1 and M2 pivot angles are dynamically varied during treatment to compensate for target volume motions (caused by, e.g., breathing motions or patient movement) while the patient couch 222 remains stationary, thereby facilitating increased treatment effectiveness against patient movement while also allowing the patient to be more comfortable and at-ease as compared to configurations in which the patient couch 222 is moved.", "In another preferred embodiment, the M1 and M2 pivot angles are varied to deliver radiation beams non-isocentrically, which can for example allow the treatment of targets that are larger than the collimator field size without moving the patient couch 222.FIGS.", "5A-5B illustrate an IGRT system 500 according to a preferred embodiment that is similar to the preferred embodiment of FIGS.", "3A-3B, but with the addition of in-treatment stereoscopic x-ray imaging sources (“kV sources”) 552 and detectors (“kV detectors”) 554.The kV sources 552 and kV detectors 554 are positioned in fixed, non-moving positions relative to the gantry frame 302 at locations designed to keep them out of contact with the therapeutic radiation head 310 during treatment.", "The kV sources 552 and kV detectors 554 are coplanar with the transverse isocentric plane 217.Shown in FIGS.", "5A-5B are arrows labeled SIMG that are representative of the imaging radiation passing from each kV source 552 to its associated kV detector 554.For clarity of description herein, each kV source/detector pairing is referenced as a “kV imaging system.” Although in the example of FIGS.", "5A and 5B the kV imaging systems are coplanar with the transverse isocentric plane 217, in other preferred embodiments one or more of the kV imaging systems can be positioned out of the transverse isocentric plane 217.In one preferred embodiment, multiple kV imaging systems can be mounted to define one or more planes that are coincident with the rotation axis 214.FIGS.", "6A-6C illustrate an IGRT system 600 according to a preferred embodiment, comprising a gantry frame 602, a rotatable gantry structure 604 including beam members 606 and ring members 608 and 609, and a therapeutic radiation head 610.The IGRT system 600 is similar to the IGRT system 300 of FIGS.", "3A-3B except that the rotatable gantry structure 604 is further provided with additional beam members 660 extending between ring members 608 and 609.The additional beam members 660 are each provided with one (or more) kV source(s) 652 and/or one (or more) kV detectors 654, and are configured such that each kV source 652 is paired with an associated kV detector 654 opposite the isocenter.", "Each kV source 652 is coupled to its respective beam member 606 by a respective coupling device 656, and each kV detector 654 is coupled to its respective beam member 606 by a respective coupling device 658, the coupling devices 656 and 658 being configured and adapted to achieve the functionalities (e.g., fixed, translational, and/or rotational) described further herein.", "For the preferred embodiment of FIGS.", "6A-6C, there are four beam members 660 that establish two kV imaging systems.", "(FIG.", "6C omits one of the kV imaging systems and its associated beam members for clarity of presentation.)", "In other preferred embodiments, there may only be a single kV imaging system provided, or more than two kV imaging systems provided.", "The beam members 660 are disposed at suitable angles relative to each other and to the therapeutic radiation head 610 to achieve the desired kV imaging functionality, which can include stereoscopic imaging or CT imaging (e.g., cone beam CT or CBCT imaging) when combined with rotation of the kV imaging system about the patient.", "FIG.", "7 illustrates the IGRT system 600 of FIGS.", "6A-6C as provided with an optional additional functionality in which the kV source(s) 652 and kV detector(s) 654 are axially translatable along their respective beam members.", "The kV source(s) 652 (and, optionally, the kV detectors 654) are also provided with pivoting or gimballing ability.", "As illustrated in FIG.", "7, the kV imaging systems have the ability to depart from the transverse isocentric plane 217 in a variety of different ways.", "For the preferred embodiment of FIGS.", "6A-6C and FIG.", "7, the kV imaging systems rotate around the rotation axis 214 in unison with the therapeutic radiation head 610.FIGS.", "8A-8C illustrate an IGRT system 800 according to a preferred embodiment in which the kV imaging system(s) rotate independently of the therapeutic radiation head around the rotation axis.", "IGRT system 800 comprises a gantry frame 802, a first rotatable gantry structure 804 including beam members 806 and ring members 808 and 809, and a therapeutic radiation head 810.IGRT system 800 further comprises a second rotatable gantry structure 874 including beam members 860 extending between a first ring member 878 and a second ring member 879, the second rotatable gantry structure 874 being configured and adapted to rotate concentrically with, and independently of, the first rotatable gantry structure 874 around the rotation axis 214.The IGRT system 800 comprises kV source(s) 852 each coupled to their respective beam member 806 by a respective coupling device 856, and kV detector(s) 854 each coupled to their respective beam member 806 by a respective coupling device 858, the coupling devices 856 and 858 being configured and adapted to achieve fixed, translational, and/or rotational functionalities between the kV source(s) 852/kV detector(s) 854 and their respective beam members 860.(FIG.", "8C omits one of the kV imaging systems and its associated beam members for clarity of presentation.)", "Advantageously, because the kV imaging system(s) can rotate independently of the therapeutic radiation head around the rotation axis, a wide variety of setup and in-treatment imaging options are provided, for accommodating a rich variety of radiation therapy profiles and strategies.", "With regard to the orientation angles between respective kV imaging systems, 60 degrees or more is desirable for stereoscopic localization, while a full 90 degrees is optimal.", "For the preferred embodiment of FIGS.", "5A-5B (static kV imaging system) and the preferred embodiment of FIGS.", "8A-8C (fully decoupled from the therapeutic radiation head rotation), the choice of distances from the rotation axis 214 is somewhat limited, while for the preferred embodiment of FIGS.", "6A-6C, in which the kV imaging systems rotate rigidly with the therapeutic radiation head rotation, that choice of distances is more flexible, for example, there is the ability to use a smaller kV detector and reduce the distance from the rotation axis 214.FIGS.", "9A-9C illustrate methods for non-coplanar rotational arc therapy using, by way of nonlimiting example, the IGRT system 800 of FIGS.", "8A-8C, a simplified version of which is reproduced in FIG.", "9A.", "In one preferred embodiment referenced herein as conical non-coplanar rotational arc therapy, the therapeutic radiation head 810 is axially translated along the beam member 806 in discrete steps, with a gantry rotation occurring at each step.", "There can be discrete firings of the therapeutic radiation beam at respective discrete gantry angles, or there can be continuous firings of the therapeutic radiation beam as the gantry angle is continuously changed, each of which are within the scope of the present teachings.", "FIG.", "9B illustrates a cross-section of the resultant delivery profile for conical non-coplanar rotational arc therapy, with each discrete cone shape 1-5 corresponding to a different translational step of the therapeutic radiation head 810.In another preferred embodiment referenced herein as cono-helical non-coplanar rotational arc therapy, the therapeutic radiation head 810 is translated along the beam member 806 as the gantry is rotated.", "There can be discrete firings of the therapeutic radiation beam at respective discrete gantry angles (and correspondingly discrete translational advances of the therapeutic radiation head 810), or there can be continuous firings of the therapeutic radiation beam as the gantry angle is continuously changed (and correspondingly continuous translational advances of the therapeutic radiation head 810), each of which are within the scope of the present teachings.", "FIG.", "9C illustrates a cross-section of the resultant delivery profile for cono-helical non-coplanar rotational arc therapy, which spans the same conical three-dimensional volume as conical non-coplanar rotational arc therapy, but which does so in a continuous or helical manner.", "Although certain examples in the discussion above and below are made with respect to the IGRT system 800 of FIGS.", "8A-8C, which is particularly versatile, other of the preferred IGRT systems described hereinabove could also achieve various ones of the functionalities discussed herein as would be apparent to a person skilled in the art.", "Thus, it is to be appreciated that references in the discussion above and below to the IGRT system 800 of FIGS.", "8A-8C are set forth by way of example and not my way of limitation.", "As illustrated by the examples of FIGS.", "9A-9C above, a rich variety of radiation therapy profiles and strategies can be accommodated using the IGRT system 800.Such possibilities include, but are not limited to: single or parallel opposed static beams with rectangular field shaping and 1D (wedge or virtual wedge using MLC) intensity modulation; static beams with rectangular field shaping and 1D modulation; coplanar rotational treatments (“arc therapy”) with rectangular field shaping and 1D modulation; coplanar or non-coplanar beams with irregular field shaping and 1D modulation (“conformal radiation therapy” or CRT); coplanar or non-coplanar beams with irregular field shaping and 2D modulation (“intensity modulated radiation therapy” or IMRT); and tomotherapy (helical or sequential) with coplanar rotation using a narrow beam in combination with couch movement and 2D modulation.", "Such possibilities further include rotational arc therapy, also called intensity modulated arc therapy (IMAT), including one or more coplanar rotations, irregular field shaping, and 2D modulation, with gantry rotation speed, dose rate, MLC positions, and in some cases collimator angles being varied during rotation, and including multiple rotations that increase the achievable degree of intensity modulation in view of practical constraints on MLC motion during treatment.", "One of the benefits of the IGRT system 800 is achieving rotational arc therapy with multiple non-coplanar rotations in order to maximize the number of beam positions, the solid angle covered by these positions, and the degree of intensity or fluence modulation of the therapeutic radiation beam in order to achieve the highest possible treatment plan quality.", "Another of the benefits of the IGRT system 800 is accurate delivery of treatment plans using image guidance for patient set up and intra-fraction motion tracking and correction.", "Another of the benefits of the IGRT system 800 is increased rigidity, which enables higher rotation speeds, higher delivery accuracy (less error in radiation beam position and orientation), and higher 3D reconstructed image quality (less error in imaging system geometry during rotation).", "For one preferred embodiment, the therapeutic radiation head 810 comprises a compact lightweight LINAC, such as an X-band or C-band LINAC in a compact configuration without a bending magnet.", "This allows a compact system design in which all moving components are behind a fixed surface covering (see bore shield 820), thus eliminating the risk of collision with the patient and enabling higher rotation speeds (there is a U.S. regulatory standard that does not allow rotation speeds higher than one rotation per minute if there is a risk of collision with the patient).", "In other alternative embodiment, the compact accelerator can include a bending magnet.", "By way of example and not by way of limitation, the central bore 818 could have a diameter of 85 cm.", "This will accommodate the vast majority of patients.", "The therapeutic radiation head 810 could be a LINAC having a distance from the radiation source target to the distal face of the end collimator 812 of 40 cm.", "In this case the SAD is approximately 82.5 cm (40 cm plus half of 85 cm) when the therapeutic radiation head 810 is in the transverse isocentric plane 217 (zero tilt angle).", "When the LINAC is tilted off axis by 30 degrees, the SAD is approximately 89.1 cm assuming negligible collimator size.", "The LINAC could have a length of approximately 214 cm.", "The outer diameter of the gantry frame 802 would then be approximately 3.1 m, which will fit within most existing treatment vaults.", "When the LINAC is tilted off axis by 30 degrees, the SAD will be larger than 89.1 cm with an actual collimator in order to keep the collimator outside the gantry bore.", "The SAD will increase with collimator size.", "For one embodiment, external cables could be run to the therapeutic radiation head 810, the kV imaging systems, and the relevant actuators to provide electrical power and signals.", "This would require gantry rotations in alternating directions in order to wind and unwind the cables.", "More preferably, the rotatable gantry structure 804 and rotatable gantry structure 874 are configured with slip-ring technology, as known to the skilled artisan, for providing power and signals to these devices.", "The therapeutic radiation head 810 could be a LINAC configured with different secondary collimation systems, including fixed cones, a variable aperture collimator such as the Iris Variable Aperture Collimator (Accuray Incorporated, Sunnyvale, Calif.), a binary (tomotherapy) collimator, or an MLC.", "The LINAC could optionally be configured with rectangular jaws.", "In the discussion that follows, the therapeutic radiation head 810 is assumed to be a LINAC by way of example only and not by way of limitation, and the phrases “rotating the gantry” or “gantry rotation” refer to rotation of the rotatable gantry structure 804.Advantageously, there are many possible modes of operation for the IGRT system 800.The LINAC can rotate about the patient without tilting off axis.", "In this case it could treat at a discrete set of fixed gantry rotation angles (coplanar beams) with or without irregular field shaping and with or without modulation, thus enabling coplanar static beams, CRT, and IMRT.", "For each fixed gantry rotation angle, the LINAC can be tilted off axis at a tilt angle, thus enabling non-coplanar CRT and IMRT.", "Alternatively, the LINAC could be configured with a binary collimator or an MLC and deliver radiation while continuously rotating without tilting off axis.", "By combining the LINAC rotation with patient movement through the central bore 818, which can be accomplished for example by linear translation of the patient couch 222, sequential or helical tomotherapy is enabled.", "Alternatively, the LINAC could be configured with a MLC and deliver radiation while rotating the gantry without tilting off axis.", "The gantry rotation speed, dose rate, MLC shapes, and collimator angle could be varied during gantry rotation, thus also enabling conventional coplanar rotational arc therapy.", "By also tilting the LINAC off axis as the gantry angle is varied, it is possible to deliver rotational arc therapy with multiple non-coplanar rotations in order to maximize the number of beam positions, the solid angle covered by these positions, and the degree of intensity or fluence modulation in order to achieve the highest possible treatment plan quality.", "In one approach, the tilt angle is held constant while the gantry angle is varied.", "In another approach, the tilt angle is varied while the gantry angle is also varied (see FIGS.", "9A-9C, conical non-coplanar rotational arc therapy and cono-helical rotational arc therapy).", "This approach could be combined with movement of the patient couch 222 during gantry rotation to provide what is termed herein conical non-coplanar tomotherapy or cono-helical non-coplanar tomotherapy.", "Because of the ability to achieve many orientations using gantry rotation (between 0 and 360 degrees) and moving the source out of plane by varying the tilt angle (within the maximum limits of the system, which could for example be −30 to +30 degrees, or −45 to +45 degrees), breast treatments with parallel opposed fields could be easily and quickly performed by setting the appropriate gantry rotation and tilt angles.", "With one kV imaging system or less preferably with a portal imaging system, the system can acquire X-ray images during gantry rotation.", "The sequence of X-ray images can be used to reconstruct a cone beam CT (CBCT) image with many images acquired over at least 180 degrees of rotation.", "With fewer images acquired during a rotation of less than 180 degrees, the images can be used to reconstruct a tomosynthesis image.", "A CBCT image with a longer axial field of view can be reconstructed from a sequence of X-ray images acquired while moving the patient couch during gantry rotation.", "A CBCT image can be used for patient set up for example by registration of the CBCT to the planning CT image and aligning the target volume with isocenter in accordance with information obtained from the image registrations by adjusting the position of patient couch 222.The patient couch 222 could be used to correct for translation offsets and some or all rotation offsets between the CBCT image and the planning, pre-treatment CT image.", "Because of the ability to achieve any orientation defined by a gantry rotation angle and a tilt angle, all rotation offsets can be handled by adjusting the rotation and tilt angles appropriately.", "With two (or more) kV imaging systems, the system can acquire stereo X-ray images simultaneously or closely in time.", "The two (or more) X-ray images can be used for patient set up for example by registration of the X-ray images to digitally reconstructed radiographs (DRRs) generated from the planning CT image.", "With two kV imaging systems, it is possible to acquire X-ray images from both systems during gantry rotation.", "The images can be acquired simultaneously or interleaved to reduce scatter.", "If the imaging systems are mounted perpendicular to each other, it is possible to acquire all X-ray images required for CBCT image reconstruction with 90 degrees of gantry rotation rather than 180 degrees.", "The ability to generate intra-treatment stereoscopic images or CBCT images allows for intra-fraction target motion tracking.", "Intra-fraction motion tracking and correction helps enable better treatment plans and the accurate delivery of those treatment plans.", "A system for correlating target motion with motion of an anatomical feature of the body (for example and without limitation external chest wall or a moving boney structure) can also be included in embodiments of the present invention.", "For example, a lung tumor will move periodically with respiration, and the tumor location can be correlated with (for example and without limitation) motion of the chest wall as the patient breaths (Accuray's Synchrony® System works in this manner).", "A camera can be fixed inside the bore shield 820 to monitor the motion of beacons placed on the external chest wall, which motion is correlated to the motion of the target due to respiration.", "Furthermore automated control of the M1 and M2 pivot angles during the fraction can be used to continuously aim the radiation beam at the desired location of a moving target.", "Other ways of moving the radiation beam to track with the moving target using the embodiments of the present invention will be appreciated by the skilled artisan.", "With two or more kV imaging systems, the system can acquire stereo X-ray images simultaneously at any gantry rotation angle.", "With one kV imaging system, the system can acquire stereo X-ray images non-simultaneously at different gantry rotation angles (separated for example by 90 degrees).", "Advantageously, a compact design is provided in which all moving components are behind a fixed surface covering, thus eliminating the risk of collision with the patient and enabling higher rotation speeds than with conventional C-arm gantry systems.", "A higher gantry rotation speed allows the time between the sequential images to be reduced and for some applications this may provide sufficiently accurate tracking results.", "Advantageously, also provided by the IGRT system 800 is a capability for sliding CBCT reconstruction.", "For one preferred embodiment, the rotatable gantry structure 874 can rotate synchronously with the rotatable gantry structure 804 (or, alternatively, the IGRT system of FIGS.", "6A-6C can be employed).", "A CBCT can be reconstructed from a set of X-ray images acquired by the kV imaging system(s) during gantry rotation.", "One approach is to acquire X-ray images during gantry rotation, reconstruct the CBCT image, register it to the planning CT image, adjust the patient position as necessary, then begin treatment delivery.", "As rotation continues, additional X-ray images are acquired, and a continuously sliding window of the last N images (where N is variable, and can for example be the number of images corresponding to 180 degrees of rotation) is used to reconstruct a sequence of CBCT images, or alternatively a sufficient number of images are obtained to construct a new CBCT image.", "The newer images may replace older images at similar gantry angles, thereby updating the CBCT with newer images.", "Alternatively, when sufficient images become available a new CBCT can be generated to replace the previous CBCT.", "These CBCT images can be used for tracking and also for dose reconstruction.", "In other preferred embodiments, the rotatable gantry structure 874 can rotate independently of the rotatable gantry structure 804 for providing the CBCT images.", "Particularly where the decoupled rotatable gantry structure 874 is rotated at a relatively high rotation speed, which can advantageously be achieved in a stable manner by the IGRT system 800, a rich variety of new applications facilitated by real-time or quasi-real-time CBCT imaging are made possible including, but not limited to, cardiac applications.", "FIG.", "10 illustrates non-isocentric beam delivery according to a preferred embodiment.", "It is an illustration of treatment with a treatment center T not at isocenter C. It is a method of increasing the tilt angle beyond what is otherwise possible for isocentric treatment.", "This can be especially useful for cranial applications but is also potentially useful for many extracranial applications.", "If the treatment center is moved along the rotation axis 214, then the therapeutic radiation beam can be made to go through the treatment center for all gantry rotation angles using an appropriate and fixed pivot about the M1 axis.", "Thus, advantageously, radiation treatment can be effectively provided for treatment centers not at isocenter.", "For a treatment center on the rotation axis 214, the radiation beam can be made to go through the treatment center with a fixed pivot about M1 axis.", "FIG.", "11 illustrates using a so-called “couch kick” (moving the patient couch) in another mode of operation particularly useful for cranial treatments.", "This, in combination with the concepts above and in FIG.", "10 for which a treatment center is not at isocenter, increases the available orientations for radiation beams going through the head.", "According to another preferred embodiment (not shown) and described with respect to FIGS.", "2A-2C above, there is provided a system in which the beam member 206 can be actuably moved outward and inward relative to the axis of rotation 214.For such preferred embodiment, the ends of the gantry frame 202 are preferably not tapered as in FIGS.", "2A-2C, but rather are straight (planar) for easier mechanical implementation.", "The ring members 208 are also straight (planar) and made with a larger outer radius to accommodate different beam distances from the rotation axis 214.By such actuation the therapeutic radiation head 210 can be moved closer or further from the rotation axis 214, changing the SAD, even at a fixed translation distance along the beam member 206.Another advantage, in combination with the concepts for FIGS.", "10 and 11, is an ability to keep the LINAC closer to the head (smaller SAD) for intracranial treatments and yet make the IGRT system versatile enough for other body parts.", "FIG.", "12 illustrates image guided radiation treatment using sliding-window tomosynthesis imaging according to a preferred embodiment.", "The method of FIG.", "12 is preferably carried out using a gantry-style IGRT apparatus having a rotatable gantry structure and a treatment guidance imaging system, the treatment guidance imaging system being mounted to and rotatable with the rotatable gantry structure and having an x-ray cone beam projection imaging capability.", "At step 1202, a pre-acquired image data set is received, such as the 3D reference image 106 discussed supra with respect to FIG.", "1, and which can alternatively be termed a planning image data set or reference image data set.", "The pre-acquired image data set, as that term is used herein, can refer not only to a particular 3D image volume that was acquired, but can alternatively refer to any expression or abstraction of that same information, such as DRRs or DRTs (digitally reconstructed tomographs) generated from that 3D image volume.", "FIGS.", "13A-16 illustrate selected examples of IGRT systems that can be used in carrying out the method of FIG.", "12, as well as the methods of FIGS.", "18-20 that are discussed further infra.", "It is to be appreciated that FIGS.", "13A-16 are but a few examples of the many different IGRT system configurations that can be used in conjunction with the disclosed methods, and are disclosed by way of example only and not by way of limitation.", "FIG.", "13A illustrates, in an orthographic projection format (i.e., including front, top, and side views), an IGRT system 1300 that is similar in certain respects to the IGRT system of FIGS.", "6A-6C, supra.", "The IGRT system 1300 comprises a barrel-style rotatable gantry structure G including beam members g1-g3 that rotate in unison around an axis of rotation through a range of gantry angles θG.", "A radiation treatment head (therapeutic radiation source) “MV” is mounted to the beam member g2, an x-ray source array “XSA” is mounted to the beam member g1, and a digital detector array “D” is mounted to the beam member g3.For one preferred embodiment, the x-ray source array XSA comprises a computer-steerable electron beam and a spatial arrangement of metallic targets, each metallic target becoming an active x-ray focal spot when the electron beam is steered onto it, such as one or more such devices developed by Triple Ring Technologies, supra.", "However, other types of x-ray source arrays, such as cold-cathode source arrays, can alternatively be used.", "In other preferred embodiments, a conventional x-ray point source can be used instead of the source array XSA.", "More generally, for each of the illustrations of FIGS.", "13A-16 there is an alternative preferred embodiment that is also within the scope of the present teachings in which one or more of the source arrays XSA is replaced by a conventional x-ray point source.", "The x-ray source array XSA comprises a number of individual x-ray sources that are individually activatible, each individual x-ray source emitting x-ray radiation that is collimated, such as by an integral collimation device or an external collimation device (not shown) placed between that source and the target, into an x-ray cone beam that is projected through the body part and onto the digital detector array D. Any or all of the radiation treatment head MV, x-ray source array XSA, and digital detector array D can be pivotably and/or slidably mounted to the rotatable gantry structure G and correspondingly actuable under computerized control.", "Although digital detector arrays D are illustrated in the examples of FIGS.", "13A-16 as being mounted on gantry beams opposite the x-ray source arrays, it is to be appreciated that the scope of the present teachings is not so limited, and that in other preferred embodiments one or more of the digital detector arrays D can be statically positioned (for example, immediately beneath the patient couch, or at selected floor or ceiling locations), or attached to the rotatable gantry structure in other suitable imaging configurations.", "By virtue of a population of x-ray cone beam projection images acquired by operation of the x-ray source array XSA and digital detector array D, either or both of a tomosynthesis imaging capability and cone beam CT (CBCT) capability can be provided.", "Where a sufficient population of x-ray cone beam projection images is acquired over an imaging arc of at least 180 degrees plus a fan beam angle associated with the x-ray sources (termed herein a “minimum CBCT arc”), a three-dimensional CBCT reconstruction algorithm can be used to generate a CBCT volume, which is a “true” three-dimensional representation of the imaged volume.", "As known in the art, CBCT imaging can be differentiated from conventional CT imaging in that there is generally no collimation taking place at the detector, whereas conventional CT imaging involves a high degree of collimation at the detector, and therefore a CBCT volume will typically have an appreciably greater amount of noise due to scattering than a conventional CT volume.", "However, as also known in the art, CBCT imaging is generally faster and more easily implemented than conventional CT and represents a more realistic in-treatment imaging modality than conventional CT. For cases in which the imaging arc is less than 180 degrees plus the fan beam angle (the minimum CBCT arc), a tomosynthesis reconstruction algorithm can be used to generate a tomosynthesis reconstructed volume.", "As known in the art, a tomosynthesis reconstructed image volume is less than “true” in that any particular slice therein will contain contributions from anatomical structures lying throughout the imaged volume, albeit in blurred form for structures lying outside that particular slice location.", "Although tomosynthesis reconstructed image volumes are generally of lesser quality and are more artifact-laden than CBCT images, tomosynthesis imaging provides an advantage that it is substantially faster to implement and, particularly for lesser imaging arcs, can be performed in near-real time or even real time, which is especially useful for in-treatment image guidance.", "According to one preferred embodiment, resolution loss associated with limited imaging arc, which is particularly heavy along an axis leading away from the x-ray source, is at least partially remedied by the use of stereoscopic tomosynthesis imaging.", "FIG.", "13B illustrates an IGRT system 1350 that is similar to the IGRT system 1300 of FIG.", "13A, except with the addition of a stereoscopic tomosynthesis imaging capability.", "In particular, dual x-ray source arrays XSA1 and XSA2 and their associated digital detector arrays D1 and D2 are disposed in a stereoscopic imaging configuration relative to the treatment volume.", "For the example of FIG.", "13B, the x-ray source arrays XSA1 and XSA2 are mounted on the same gantry beam g2, therefore being at a common rotational offset with respect to the axis of rotation of the rotatable gantry structure, and are positioned at different longitudinal positions therealong to define the stereoscopic imaging angle.", "For one preferred embodiment the stereoscopic imaging angle (i.e., the separation in incidence angle between the two “channels” of the stereoscopic configuration) is about 90 degrees, in other preferred embodiments is between 75 and 105 degrees, in still other preferred embodiments is between 45 and 135 degrees, and in still other preferred embodiments is between 25 and 155 degrees.", "For one preferred embodiment, respective tomosynthesis reconstructed image volumes based on the image data from the two stereo “channels” can be combined into a single tomosynthesis reconstructed volume, which is then processed according to the method of FIG.", "12.Alternatively, the respective tomosynthesis reconstructed image volumes can be processed separately according to one or more of the steps of FIG.", "12 and the results subsequently combined.", "FIG.", "14 illustrates an IGRT system 1400 that is similar to the IGRT system 1300 of FIG.", "13A, except that a cantilever-style rotatable gantry structure is used rather than a barrel-style rotatable gantry structure.", "In other preferred embodiments, a ring-style rotatable gantry structure (not shown) can be used in conjunction with the methods of FIG.", "12 and FIGS.", "18-20.A variety of other rotating-gantry structures having at least one x-ray cone beam source mounted thereon are also within the scope of the present teachings.", "FIG.", "15 illustrates yet another non-limiting example of an IGRT delivery architecture that can be used in conjunction with the described methods.", "FIG.", "15 illustrates an IGRT system 1500 including a barrel-style gantry G having beam members g1-g5, a radiation treatment head MV, and dual source-detector pairs XSA1-D1 and XSA2-D2 configured in a stereoscopic imaging configuration.", "However, unlike the stereoscopic configuration of FIG.", "13B, the source-detector pairs are mounted at a common longitudinal position along the rotatable gantry structure and positioned at different rotational offsets with respect to the axis of rotation to define the stereoscopic imaging configuration.", "A variety of different combinations of the longitudinal-offset configuration of FIG.", "13B and the rotational-offset configuration of FIG.", "15 to define the stereoscopic imaging configuration are also within the scope of the present teachings.", "FIG.", "16 illustrates yet another non-limiting example of an IGRT delivery architecture that can be used in conjunction with the described methods.", "FIG.", "16 illustrates an IGRT system 1600 (side view only) that is similar to the IGRT system 1500 of FIG.", "15, except that the stereoscopic tomosynthesis imaging hardware is mounted on a first rotatable gantry structure (having beam members g1-g5) and the radiation treatment head MV is mounted on a second rotatable gantry structure (having beam member h1).", "The second rotatable gantry structure rotates concentrically with, and independently of, the first rotatable gantry structure.", "By way of example and not by way of limitation, the method of FIG.", "12 is discussed further hereinbelow with respect to the exemplary IGRT system 1300 of FIG.", "13A.", "At step 1204, during a patient setup interval, the patient is positioned into an initial treatment position relative to the IGRT system under the guidance of the treatment guidance imaging system.", "Without limitation, the source-detector pair XSA-D of the treatment guidance imaging system can be used to guide the patient setup process (using, for example, tomosynthesis, CBCT, or stereo x-ray imaging guidance) or, alternatively, a separate component of the treatment guidance imaging system, such as a separate on-board CBCT, ultrasound, tomosynthesis, or stereo x-ray imaging system, can be used to guide the patient setup process.", "At step 1206, after the beginning of radiation treatment delivery, the x-ray cone beam imaging source (e.g., x-ray source array XSA) and the imaging detector (e.g., the digital detector array D) are operated to acquire a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times.", "At step 1208, the first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window tomosynthesis reconstructed image volumes.", "The time sequence of sliding-window tomosynthesis reconstructed image volumes is characterized in that each subsequent member of the sequence is computed using at least one same x-ray cone beam projection image that was used in computing at least one previous member of the time sequence.", "FIG.", "17 illustrates a conceptual plot 1701 of gantry angle θG versus time “t” during a treatment fraction.", "Although for clarity of disclosure the gantry angle trajectory is shown as a straight line in FIG.", "17, which is indicative of a scenario in which the rotatable gantry structure rotates in the same direction at a constant rate, the described methods are applicable for a wide variety of different gantry angle trajectory scenarios in which the rotatable gantry structure can accelerate, decelerate, stop, reverse direction, and so forth, as would be apparent to a person skilled in the art in view of the present disclosure.", "Shown conceptually in FIG.", "17 by points small circles “p” are x-ray cone beam projection images acquired during the treatment fraction.", "Each small circle “p” can represent a single x-ray cone beam projection image as may be acquired by a point source or a single member of an x-ray source array, or can alternatively represent many different x-ray cone beam projection images acquired at very closely spaced points in time.", "As illustrated in FIG.", "17, respective overlapping subsets of x-ray cone beam projection images 1702, 1704, and 1706 (a “sliding window”) are used to compute respective members tomo(tn−1), tomo(tn), and tomo(tn+1) of a time sequence of tomosynthesis reconstructed images.", "For one preferred embodiment, at least 50% of the x-ray cone beam projection images used to compute one member of the sequence of tomosynthesis reconstructed images are also used (more specifically, “re-used”) to compute the next member of the sequence.", "With reference again to FIG.", "12, at step 1210 treatment radiation is delivered to the body part based at least in part on a comparison between each of the time sequence of sliding-window tomosynthesis reconstructed image volumes and the pre-acquired image data set.", "The use of sliding-window tomosynthesis reconstructed image volumes (and sliding-window CBCT volumes, see FIG.", "19 infra) has been found advantageous in that an at least partially morphable or morphing characteristic or quality is imparted thereto that facilitates improved object identification and object tracking over time.", "The use of sliding-window tomosynthesis reconstructed image volumes (and sliding-window CBCT volumes) has also been found advantageous in that a beneficial balance is provided among the competing requirements of angular sufficiency of the data set, timewise newness of the data set, and anatomical similarity of adjacent members of the time sequence of reconstructed volumes.", "Another advantage is that, upon computation of one tomosynthesis reconstructed image volume, selected linear mathematical combinations among the re-used x-ray cone beam projection images (when there are a plurality of such re-used images) that were performed for the one tomosynthesis reconstructed image volume do not require recomputation for the next tomosynthesis reconstructed image volume in the sequence, thereby making the overall computational process more efficient.", "FIG.", "18 illustrates a method for advantageous multipurpose use of a population of x-ray cone beam projection images that can be optionally integrated into the method of FIG.", "12, supra, wherein a common population of x-ray cone beam projection images is used in creating both an initial CBCT volume and selected initial tomosynthesis volumes for facilitating treatment guidance in an image guided radiation treatment system.", "At step 1802, with the body part in an initial treatment position (or alternatively at some other starting point in time during setup, upon setup, or near a beginning of the treatment delivery, termed herein an initial time), an initial population of x-ray cone beam projection images sufficient for creating a CBCT volume (i.e., extending over the minimum CBCT arc) is acquired.", "For preferred embodiments in which an x-ray source array is used, the CBCT volume will be what is termed herein an x-ray source array CBCT (XSA-CBCT) volume.", "At step 1804, an initial CBCT volume CBCT(0) is computed from the initial population x-ray cone beam projection images, and at step 1806 a first registration is computed between CBCT(0) and the pre-acquired image volume.", "At step 1808 (which corresponds generally to steps 1206-1208 of FIG.", "12, supra) intrafraction x-ray cone beam projection images are acquired and processed to form a tomosynthesis volume tomo(t).", "As with any tomosynthesis imaging process, there will be a certain tomosynthesis imaging arc (i.e., a set of angles of incident x-ray radiation upon the subject volume) associated with the particular subset of intrafraction x-ray cone beam projection images that were used to compute tomo(t) at step 1808.By way of explanation, let this tomosynthesis imaging arc be represented by the range (θMIN(t), θMAX(t)).", "For a scenario in which a single x-ray point source is used, the range (θMIN(t), θMAX(t)) will be defined according to the range of gantry angles traversed when acquiring the subset of x-ray cone beam projection images.", "For a scenario in which an x-ray source array is used and the gantry is not rotating during the particular acquisition interval in question, the range (θMIN(t), θMAX(t)) will be defined according to the imaging angles subtended by the different x-ray point sources as determined by their respective positions on the surface of the source array.", "For a scenario in which an x-ray source array is used and the gantry is indeed rotating during the particular acquisition interval in question, the range (θMIN(t), θMAX(t)) will be defined according to a combination of the gantry angle traversed and the range of imaging angles subtended across the surface of the array.", "According to a preferred embodiment, at step 1810, for any particular intrafraction tomosynthesis volume tomo(t), there is identified a subset of the initial population of x-ray cone beam projection images used to construct CBCT(0) that correspond in tomosynthesis imaging arc to the tomosynthesis imaging arc for tomo(t), i.e., that correspond to the incidence range (θMIN(t), θMAX(t)).", "At step 1812, the subset of the initial population of x-ray cone beam projection images identified at step 1810 is then processed to form a separate tomosynthesis volume, which is referenced herein as an arc-matched tomosynthesis volume tomoMATCHED(t)(0).", "Advantageously, there will be an intrinsic, inherent registration between tomoMATCHED(t)(0) and CBCT(0) because they are computed using the same set of x-ray cone beam projection images.", "Therefore, the first registration between CBCT(0) and the pre-acquired image volume (e.g., planning CT) that was computed at step 1806 can be re-used to serve as the registration between tomoMATCHED(t)(0) and the pre-acquired image volume.", "At step 1814, a second registration between the intrafraction tomosynthesis volume tomo(t) and the arc-matched tomosynthesis volume tomoMATCHED(t)(0) is computed.", "Finally, at step 1816, a registration between tomo(t) and the pre-acquired image volume is computed based on (i) the first registration between CBCT(0) and the pre-acquired image volume, (ii) the inherent registration between tomoMATCHED(t)(0) and CBCT(0), and (iii) the second registration between tomo(t) and tomoMATCHED(t)(0).", "Treatment radiation is then delivered to the body part based at least in part on the results of the registration performed at step 1816.Advantageously, the method of FIG.", "18 provides the speed advantages associated with tomosynthesis-based image guidance during the intrafraction time frame, while also providing the precision advantages associated with the use of a full CBCT volume when performing the registration to the pre-acquired image volume (e.g., planning CT image).", "The latter aspect is particularly advantageous since registration between image volumes acquired using different imaging systems having different frames of reference—a process that could be called a “bridging” registration—can be a particularly difficult and error-prone process, and therefore it is advantageous to base the “bridging” registration on a higher quality CBCT image volume rather than a lower quality tomosynthesis volume.", "At the same time, the method of FIG.", "18 only requires that the “bridging” registration be performed one time, upon acquisition of CBCT(0), and preferably prior to the onset of radiation delivery when time constraints are not critical.", "These same high-quality registration results can then be re-used during the radiation delivery period, when time constraints are more crucial to effective intrafraction target tracking.", "Advantageously, during the radiation delivery period when the time constraints are indeed more crucial, there are only “non-bridging” registrations required between tomo(t) and tomoMATCHED(t)(0), which can be quickly and reliably performed since those volumes were acquired using the same set of imaging hardware having a common frame of reference.", "Image-guided radiation treatment according to the method of FIG.", "12, which can optionally include the method of FIG.", "18, will usually involve repetition of steps 1206-1210 throughout the treatment fraction, including the computation of a latest (i.e., most recent) member of the time sequence of sliding-window tomosynthesis reconstructed image volumes, comparing that latest member with the pre-acquired image data set, and delivering treatment radiation to the body part based on the results of that comparison.", "As used herein, the term latest gantry angle refers to the gantry angle associated with the most recent x-ray cone beam projection image used to form the latest member of the sequence of sliding-window tomosynthesis volumes.", "For one preferred embodiment, computation of the latest member of the sequence comprises receiving a first parameter indicative of a desired tomosynthesis reconstruction coverage arc, identifying from the acquired population of x-ray cone beam projection images a first subset thereof having corresponding gantry angles that are within the desired tomosynthesis reconstruction coverage arc of the latest gantry angle, and computing the latest member of the sequence of sliding-window tomosynthesis volumes based on that first subset.", "The tomosynthesis coverage arc will usually be about 6 degrees at a minimum and 180 degrees at a maximum, although the scope of the preferred embodiments is not so limited.", "As used herein, latest acquisition time refers to the time of acquisition of the most recent x-ray cone beam projection image used to form the latest member of the sequence of sliding-window tomosynthesis volumes.", "For one preferred embodiment, computation of the latest member of the sequence comprises receiving a second parameter indicative of a desired data aging threshold, identifying from the first subset of x-ray cone beam projection images a second subset thereof having corresponding acquisition times that are within the desired data aging threshold of the latest acquisition time, and computing the latest member using only that second subset of x-ray cone beam projection images.", "The method can further comprise evaluating the percentage of x-ray cone beam projection images that are being re-used between the latest member of the time sequence of sliding-window tomosynthesis image volumes and the immediately preceding member of the sequence, this percentage being termed herein a window overlap ratio.", "The method can further comprise receiving a third parameter indicative of a desired window overlap ratio, and then adjusting one or more parameters of the IGRT system such that the actual window overlap ratio becomes closer to the desired window overlap ratio for future members of the time sequence.", "The one or more parameters can include, for example, the tomosynthesis reconstruction coverage arc(s), the data aging threshold, an acquisition rate of the x-ray cone beam projection images, and the time separation between future adjacent members of the time sequence.", "One or more aspects of the method of FIG.", "12, as well as one or more aspects of the methods of FIG.", "18 and FIGS.", "19-20 infra, can be carried out according to one or more of the methods described in one or more of the commonly assigned applications incorporated by reference above.", "For one preferred embodiment, comparing the latest member of the time sequence of sliding-window tomosynthesis reconstructed image volumes with the pre-acquired image data set comprises computing a digitally reconstructed tomosynthesis (DRT) image data set from the pre-acquired 3D image volume, processing the DRT image data set to compute a DRT image volume, and computing a registration between the latest member and the DRT image volume.", "Where the latest member of the intrafraction time sequence of tomosynthesis reconstructed image volumes is computed from a first subset of the population of x-ray cone beam projection images, the DRT image data set is computed from the pre-acquired 3D image volume using a virtual projection process, wherein each virtual projection is preferably based on the imaging geometry associated with a respective corresponding one of the first subset of the x-ray cone beam projection images.", "For one preferred embodiment in which the treatment guidance imaging system uses tomosynthesis imaging for both setup and in-treatment imaging, an initial population of x-ray cone beam projection images is acquired, and a first registration between the initial tomosynthesis volume and the DRT image volume is carried out.", "The initial population of x-ray cone beam projection images is preferably acquired with the body part in an initial treatment position, or alternatively at some other starting point in time during setup, upon setup, or near a beginning of the treatment delivery, termed herein an initial time.", "Computation of the registration between the latest member of the time sequence of sliding-window tomosynthesis reconstructed image volumes and the DRT image volume is based upon (i) the first registration between the initial tomosynthesis image data set and the DRT image volume, and (ii) a second registration between the latest member and the initial tomosynthesis volume.", "For another preferred embodiment, comparison of the latest member of the time sequence of sliding-window tomosynthesis reconstructed image volumes with the pre-acquired image data set comprises a direct 3D-3D registration between the tomosynthesis reconstructed image volume and the complete 3D pre-acquired image volume.", "FIG.", "19 illustrates image guided radiation treatment using sliding-window CBCT imaging according to a preferred embodiment.", "The method of FIG.", "19 is analogous in many respects to the method of FIG.", "12, except that the imaging arc over which the population of x-ray cone beam projection images is acquired is at least 180 degrees plus the fan beam angle of the x-ray cone beam source, i.e., the minimum CBCT arc.", "Any of the systems of FIGS.", "13A-16 can be used in conjunction with the method of FIG.", "19, and the conceptual diagram of FIG.", "17 is likewise applicable provided that a minimum CBCT arc is traversed in acquiring the subject subset of x-ray cone beam projection images.", "Stereo CBCT imaging can be likewise incorporated, with the configuration of FIG.", "13B being particularly advantageous.", "By way of example and not by way of limitation, the method of FIG.", "19 is discussed further hereinbelow with respect to the exemplary IGRT system 1300 of FIG.", "13A.", "At step 1902, a pre-acquired image data set is received.", "At step 1904, during a patient setup interval, the patient is positioned into an initial treatment position relative to the IGRT system.", "At step 1906, a first population of x-ray cone beam projection images of the body part for a respective first population of gantry angles and acquisition times is acquired, the first population of gantry angles extending at least over a minimum CBCT arc.", "At step 1908, the first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window CBCT reconstructed image volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image that was used in computing at least one previous member of the time sequence.", "At step 1910 treatment radiation is delivered to the body part based at least in part on a comparison between each of the time sequence of sliding-window CBCT volumes and the pre-acquired image data set.", "Image-guided radiation treatment according to the method of FIG.", "19, will usually involve repetition of steps 1906-1910 throughout the treatment fraction, including the computation of a latest (i.e., most recent) member of the time sequence of sliding-window CBCT volumes, comparing that latest member with the pre-acquired image data set, and delivering treatment radiation to the body part based on the results of that comparison.", "As used herein, the term latest gantry angle refers to the gantry angle associated with the most recent x-ray cone beam projection image used to form the latest member of the sequence of sliding-window CBCT volumes.", "For one preferred embodiment, computation of the latest member of the sequence comprises identifying from the acquired population of x-ray cone beam projection images a subset thereof having corresponding gantry angles that are between the minimum CBCT arc and 360 degrees away from the latest gantry angle, and computing the latest member based on that first subset.", "For another preferred embodiment, computation of the latest member of the sequence of CBCT volumes comprises receiving a first parameter indicative of a desired CBCT coverage arc, which must of course be greater than or equal to the minimum CBCT arc, identifying from the acquired population of x-ray cone beam projection images a first subset thereof having corresponding gantry angles that are within the desired CBCT coverage arc of the latest gantry angle, and computing the latest member based on that first subset.", "The CBCT coverage arc will usually be between the minimum CBCT arc and 360 degrees.", "As used herein, latest acquisition time refers to the time of acquisition of the most recent x-ray cone beam projection image used to form the latest member of the sequence of sliding-window CBCT volumes.", "For one preferred embodiment, computation of the latest member of the sequence comprises receiving a second parameter indicative of a desired data aging threshold, identifying from the first subset of x-ray cone beam projection images a second subset thereof having corresponding acquisition times that are within the desired data aging threshold of the latest acquisition time, and computing the latest member using only that second subset of x-ray cone beam projection images.", "As with the tomosynthesis-based method supra, the method of FIG.", "19 can further comprise evaluating the percentage of x-ray ray cone beam projection images that are being re-used between the latest member of the time sequence of sliding-window CBCT volumes and the immediately preceding member of the sequence (window overlap ratio), and then adjusting one or more parameters of the IGRT system such that the actual window overlap ratio becomes closer to the desired window overlap ratio for future members of the time sequence.", "The one or more parameters can include, for example, the CBCT coverage arc(s), the data aging threshold, an acquisition rate of the x-ray cone beam projection images, and the time separation between future adjacent members of the time sequence.", "For one preferred embodiment, comparing the latest member of the time sequence of sliding-window CBCT image volumes, which is referenced herein as CBCT(t), with the pre-acquired image data set comprises computing a direct 3D-3D registration between CBCT(t) and the pre-acquired 3D image volume.", "However, as with the tomosynthesis-based method supra, the method of FIG.", "19 can alternatively leverage the advantages of a preferred registration scheme in which a “bridging” registration between image volumes acquired with different acquisition systems having different frames of reference only needs to be computed once per treatment fraction, and in which only “non-bridging” registrations need to be performed during the treatment fraction after the beginning of radiation delivery.", "Thus, for one preferred embodiment in which the treatment guidance imaging system uses CBCT imaging for both setup and in-treatment imaging, an initial population of x-ray cone beam projection images is acquired, an initial CBCT volume CBCT(0) is formed, and a first registration between CBCT(0) and the pre-acquired image volume is carried out.", "Subsequently, comparison of CBCT(t) against the pre-acquired image data set can be carried out by computing a second registration between CBCT(t) and CBCT(0), and then registering CBCT(t) to the pre-acquired image data set based on (i) the first registration between CBCT(0) and the pre-acquired 3D image volume, and (ii) the second registration between CBCT(t) and CBCT(0).", "FIG.", "20 illustrates image guided radiation treatment using x-ray source arrays according to a preferred embodiment.", "Any of the systems of FIGS.", "13A-16 can be used in conjunction with the method of FIG.", "20, provided there is at least one x-ray source array (XSA) included.", "Although not required for all cases, it is preferable that the x-ray source array XSA be dimensioned and configured within the imaging geometry of the treatment guidance imaging system such a tomosynthesis imaging arc of at least about 6 degrees can be provided by virtue of the spatial distribution of the x-ray point sources thereon, without requiring any rotation of the rotatable gantry structure.", "At step 2002, a pre-acquired image data set is received.", "At step 2004, during a patient setup interval, the patient is positioned into an initial treatment position relative to the IGRT system.", "At step 2006, at a first gantry angle, the x-ray source array XSA is operated to acquire a population of x-ray cone beam projection images of the body part.", "At step 2008, the first population of x-ray cone beam projection images is processed to compute a tomosynthesis reconstructed image volume.", "At step 2010, treatment radiation is delivered to the body part based at least in part on a comparison between the tomosynthesis reconstructed image volume and the pre-acquired image data set.", "Advantageously, meaningful 3D-based image guidance can be provided even where the rotatable gantry structure is stationary.", "This may be particularly useful in scenarios in which the treatment guidance system is attached to the same rotatable gantry structure as the radiation treatment head, and in which the radiation treatment plan requires the rotatable gantry structure to remain stationary for a period of time.", "One or more aspects of the method of FIG.", "20 can be carried out according to one or more of the methods described in the commonly assigned and concurrently filed Atty.", "Docket No.", "AR-004A-PROV, supra.", "For one preferred embodiment, comparing the intrafraction tomosynthesis reconstructed image, designated hereinbelow as tomo(t), with the pre-acquired image data set comprises computing a digitally reconstructed DRT image data set from the pre-acquired 3D image volume, processing the DRT image data set to compute a DRT image volume, and computing a registration between tomo(t) and the DRT image volume.", "Preferably, the DRT image data set is computed from the pre-acquired 3D image volume using virtual projections based on the same imaging geometry for which tomo(t) was acquired.", "For one preferred embodiment in which the treatment guidance imaging system uses tomosynthesis imaging for both setup and in-treatment imaging, an initial tomosynthesis image volume tomo(0) is acquired, and a first registration between tomo(0) and a DRT image volume based on the pre-acquired image data set is carried out.", "The x-ray cone beam projection images from which tomo(0) is reconstructed are preferably acquired with the body part in an initial treatment position, or alternatively at some other starting point in time during setup, upon setup, or near a beginning of the treatment delivery, termed herein an initial time.", "Computation of the registration between tomo(t) and the DRT image volume is then based upon (i) the first registration between tomo(0) and the DRT image volume, and (ii) a second registration between tomo(t) and tomo(0).", "For another preferred embodiment, comparison of tomo(t) to the pre-acquired image data set comprises a direct 3D-3D registration between tomo(t) and the pre-acquired image volume.", "For another preferred embodiment, the method of FIG.", "18 can be used in conjunction with the method of FIG.", "20, wherein an initial population of x-ray cone beam projection images is acquired at an initial time and used to construct an initial CBCT volume CBCT(0).", "A first registration is then performed between CBCT(0) and the pre-acquired image data set.", "During radiation delivery, for the most recent tomosynthesis volume tomo(t), there is identified a subset of the initial population of x-ray cone beam projection images that were used to construct CBCT(0) that correspond in tomosynthesis imaging arc to the tomosynthesis imaging arc for tomo(t), and an arc-matched tomosynthesis volume tomoMATCHED(t)(0) is computed therefrom.", "A second registration between tomo(t) and tomoMATCHED(t)(0) is computed, and then the desired registration between tomo(t) and the pre-acquired image volume is computed based on (i) the first registration between CBCT(0) and the pre-acquired image volume, (ii) the inherent registration between tomoMATCHED(t)(0) and CBCT(0), and (iii) the second registration between tomo(t) and tomoMATCHED(t)(0).", "According to yet another preferred embodiment that can be used in conjunction with one or more of the above-described preferred embodiments, an IGRT system having dynamic switching capability between sliding-window tomosynthesis-based treatment guidance and sliding-window CBCT-based treatment guidance is provided.", "Subsequent to a patient setup interval, an x-ray cone beam imaging source and it associated detector are operated to acquire a population of x-ray cone beam projection images of the body part for a respective population of gantry angles and acquisition times.", "First information is received that is indicative of a selection between a tomosynthesis-based treatment guidance mode of operation and a CBCT-based treatment guidance mode.", "The first population of x-ray cone beam projection images is processed to compute therefrom a time sequence of sliding-window tomographic image volumes characterized in that each subsequent member of the time sequence is computed using at least one same x-ray cone beam projection image as used in computing at least one previous member of that time sequence, wherein the sliding-window tomographic image volume comprises one of (i) a tomosynthesis reconstructed image volume if the first information indicates the tomosynthesis-based treatment guidance mode, and (ii) a CBCT image volume if the first information indicates the CBCT-based treatment guidance mode.", "The radiation treatment head is operated to deliver treatment radiation to the body part based at least in part on a comparison between each of the time sequence of sliding-window tomographic image volumes and the pre-acquired image data set.", "Optionally, the selection between tomosynthesis-based mode and CBCT-based mode is automatically and dynamically determined during radiation treatment delivery.", "A selection algorithm can be provided that makes the selection based upon one or more of: a data aging threshold; an acquisition rate of the x-ray cone beam projection images; a time separation between adjacent members of the time sequence; a rotational movement pattern of the rotatable gantry structure; an available number of x-ray cone beam projection images acquired within the data aging threshold of a most recent x-ray cone beam projection image acquisition; and a gantry angle distribution associated with the available number of x-ray cone beam projection images acquired within the data aging threshold of the most recent x-ray cone beam projection image acquisition.", "User inputs indicative of certain thresholds to be used in the decision process and/or operator overrides can optionally be provided.", "Whereas many alterations and modifications of the present invention will no doubt become apparent to a person of ordinary skill in the art after having read the foregoing description, it is to be understood that the particular embodiments shown and described by way of illustration are in no way intended to be considered limiting.", "By way of example, although one or more preferred embodiments are described above in which the in-therapy imaging sources are distinct from the therapeutic radiation source, in other preferred embodiments the imaging system can be provided as a portal imaging system, in which an imaging detector is provided opposite the therapeutic radiation source relative to the isocenter.", "By way of further example, with nonlimiting exemplary reference to FIG.", "3B, supra, while the beam members 306 of the rotatable gantry structure 304 are described as extending between ring members 308 and 309 that are on opposite sides of the isocentric transverse plane 217, it is not outside the scope of the present teachings to provide a system in which the opposing ring members are on the same side of the isocentric transverse plane.", "In such cases, the opposing ring members would be separated by an amount sufficient to ensure mechanical stability (for example, 1m—2m depending on the choice of materials or other design criteria), while the isocenter could be positioned slightly outside the end of the central bore, which could potentially be useful for some therapy scenarios now known or hereinafter developed.", "By way of still further example, the above-described teaching in which two kV imaging systems are mounted perpendicular to each other and acquire all of the X-ray images required for CBCT image reconstruction with only a 90 degree rotation, rather than a 180 degree rotation, of a rotatable structure on which they are mounted can be used on systems with a variety of different overall mechanical architectures, and therefore is within the scope of the present teachings as applied to a variety of different suitable overall architectures other than the particularly suitable mechanical architectures described hereinabove.", "By way of even further example, the teachings above relating to sliding CBCT reconstruction can be used on systems with a variety of different overall suitable mechanical architectures, and therefore is within the scope of the present teachings as applied to a variety of different overall suitable architectures other than the particularly suitable mechanical architectures described hereinabove.", "Therefore, reference to the details of the embodiments are not intended to limit their scope, which is limited only by the scope of the claims set forth below." ] ]
Patent_15875683
[ [ "DISPLAY DEVICE AND BACKLIGHT UNIT INCLUDED THEREIN", "A display device includes a light source to emit light, a light guide plate on which the light emitted from the light source is incident and which irradiates light through a first and a second surface of the light guide plate, a quantum dot sheet on which the light irradiated through the first surface of the light guide plate is incident and which irradiates blue light, green light and red light, a reflective sheet for reflecting the irradiated light to the light guide plate, and a light-converting material at an edge portion of the light guide plate, the quantum dot sheet and the reflective sheet, for converting the light into a yellow light, green light or red light.", "The light incident on the quantum dot sheet includes the light emitted from the light source and the yellow light, the green light or the red light." ], [ "1.A display device, comprising: at least one light source configured to emit blue light; a light guide plate on which the light emitted from the at least one light source is incident, and configured to irradiate light through a first and a second surface of the light guide plate; a quantum dot sheet on which the light irradiated through the first surface of the light guide plate is incident, and configured to irradiate blue light, green light and red light; a reflective sheet configured to reflect the light, which is irradiated through the second surface of the light guide plate, to the light guide plate; and a light-converting material provided at an edge portion of the reflective sheet.", "2.The display device according to claim 1, wherein the light-converting material is configured to convert at least a portion of an incident light into yellow light, and the blue light emitted from the at least one light source and the yellow light converted by the light-converting material are incident on the quantum dot sheet.", "3.The display device according to claim 1, wherein the light-converting material comprises a fluorescent material, the fluorescent material converting the blue light into the yellow light.", "4.The display device according to claim 1, wherein the light-converting material is applied to the reflective sheet in an area extending from an at least one edge of the reflective sheet.", "5.The display device according to claim 1, wherein the light-converting material is applied in patterns to the reflective sheet, and an area of the patterns of the light-converting material decreases as a distance from the at least one edge of the reflective sheet is increased.", "6.The display device according to claim 1, wherein the light-converting material is applied in patterns to the reflective sheet, and a density of the patterns of the light-converting material decreases as a distance from the at least one edge of the reflective sheet is increased.", "7.The display device according to claim 1, wherein the light-converting material is applied in a circular pattern to the reflective sheet, and the circular pattern comprises a plurality of circles, a size of the plurality of circles decreasing as a distance from the at least one edge of the reflective sheet is increased.", "8.The display device according to claim 1, wherein the light-converting material is applied in a polygonal pattern to the reflective sheet.", "9.The display device according to claim 1, wherein the light-converting material is applied in a stripe pattern to the reflective sheet.", "10.A display device, comprising: at least one light source configured to emit blue light; a light guide plate on which the blue light emitted from the at least one light source is incident, and configured to irradiate light through a first and a second surface of the light guide plate; a quantum dot sheet on which the light irradiated through the first surface of the light guide plate is incident, and configured to irradiate blue light, green light and red light; and a reflective sheet configured to reflect the light, which is irradiated through the second surface of the light guide plate, to the light guide plate.", "11.The display device according to claim 10, wherein at least a portion of light incident on an edge portion of the reflective sheet being converted into yellow light, and the yellow light converted by the edge portion of the reflective sheet and the blue light emitted from the at least one light source are incident on the quantum dot sheet.", "12.The display device according to claim 10, wherein a fluorescent material is applied to the edge portion of the reflective sheet, the fluorescent material converting the light into the yellow light.", "13.The display device according to claim 12, wherein the fluorescent material is applied to the reflective sheet in an area extending from an at least one edge of the reflective sheet.", "14.The display device according to claim 12, wherein the fluorescent material is applied in patterns to the reflective sheet, and an area of the patterns of the fluorescent material decreases as a distance from the at least one edge of the reflective sheet is increased.", "15.The display device according to claim 12, wherein the fluorescent material is applied in patterns to the reflective sheet, and a density of the patterns of the fluorescent material decreases as a distance from the at least one edge of the reflective sheet is increased.", "16.The display device according to claim 12, wherein the fluorescent material is applied in a circular pattern to the reflective sheet, and the circular pattern comprises a plurality of circles, a size of the plurality of circles decreasing as a distance from the at least one edge of the reflective sheet is increased.", "17.The display device according to claim 12, wherein the fluorescent material is applied in a polygonal pattern to the reflective sheet.", "18.The display device according to claim 12, wherein the fluorescent material is applied in a stripe pattern to the reflective sheet.", "19.A display device, comprising: at least one light source configured to emit blue light; a light guide plate on which the blue light emitted from the at least one light source is incident, and configured to irradiate light through a first and a second surface of the light guide plate; a quantum dot sheet on which the light irradiated through the first surface of the light guide plate is incident, and configured to irradiate blue light, green light and red light; and a reflective sheet configured to reflect the light, which is irradiated through the second surface of the light guide plate, to the light guide plate.", "20.The display device according to claim 19, further comprising an optical sheet, at least a portion of light incident on an edge portion of the optical sheet being converted into yellow light, wherein the blue light emitted from the at least one light source and the yellow light converted by the optical sheet are incident on the quantum dot sheet." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>It is an aspect of the exemplary embodiments to provide a display device and a backlight unit which minimize a difference between brightness of light irradiated from an edge portion and brightness of light irradiated from a central portion and a difference between a color tone of the light irradiated from the edge portion and a color tone of the light irradiated from the central portion.", "A display device according to an exemplary embodiment includes a display panel, a backlight unit outputting light to the display panel, and an optical member configured to refract or reflect the light output from the backlight unit and provide the display panel with the refracted or reflected light, and the backlight unit includes a light source emitting the light, a light guide plate configured to scatter the light emitted from the light source and irradiate the scattered light to a front surface of the backlight unit, a reflective sheet configured to reflect the light, which is irradiated to a rear surface of the light guide plate, to the light guide plate, a quantum dot sheet configured to convert the light, which is irradiated to the front surface of the light guide plate, into a white light, and a light-converting material provided on an edge portion of the reflective sheet for converting the light at the edge portion of the reflective sheet into the white light.", "According to an exemplary embodiment, the light-converting material may include a fluorescent material.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet in an area defined by a width extending from a first end of the reflective sheet to a central portion of the reflective sheet.", "According to an exemplary embodiment, an area of the applied light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased According to an exemplary embodiment, a concentration of the light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "According to an exemplary embodiment, the light-converting material may be applied in a circular pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied in a polygonal pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied in a stripe pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the light guide plate.", "According to an exemplary embodiment, the light-converting material may be applied to the quantum dot sheet.", "According to an exemplary embodiment, the backlight unit may further include an optical sheet including the light-converting material on an edge portion thereof.", "According to an exemplary embodiment, the optical sheet may be provided between the reflective sheet and the light guide plate.", "According to an exemplary embodiment, the optical sheet may be provided between the light guide plate and the quantum dot sheet.", "According to an exemplary embodiment, the optical sheet may be provided between the optical member and the quantum dot sheet.", "A backlight unit according to an exemplary embodiment includes a light source emitting light, a light guide plate scattering the light emitted from the light source and irradiating the scattered light to a front surface of the backlight unit, a reflective sheet reflecting the light, which is irradiated to a rear surface of the light guide plate, to the light guide plate, a quantum dot sheet converting the light, which is irradiated to the front surface of the light guide plate, into a white light, and a light-converting material provided on an edge portion of thereof for converting the light into the white light at the edge portion of thereof.", "According to an exemplary embodiment, the light-converting material may include a fluorescent material.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet in an area defined by a width extending from a first end of the reflective sheet to a central portion of the reflective sheet.", "According to an exemplary embodiment, an area of the applied light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "According to an exemplary embodiment, a concentration of the light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "A backlight unit according to an exemplary embodiment includes a light source to emit light, a light guide plate to scatter the light, a reflective sheet provided on the light guide plate to reflect the light; and a quantum dot sheet to output the light as a white light, where a light-converting material is provided on the backlight unit to convert the light at an edge portion of the backlight unit into the white light.", "According to an exemplary embodiment, the backlight unit also includes an optical sheet provided on a front side of the quantum dot sheet and to irradiate visible light.", "According to an exemplary embodiment, the light-converting material is provided on one from among the quantum dot sheet, the reflective sheet, and the optical sheet.", "According to an exemplary embodiment, the light-converting material is provided on an area defined by an edge of the backlight unit and a central portion of the backlight unit.", "According to an exemplary embodiment, the light-converting material includes a plurality of circles, the largest circles being at the edge of the backlight unit and decreasing in size toward the central portion of the backlight unit, with a space between the plurality of circles.", "According to an exemplary embodiment, it is possible to provide the display device and the backlight unit which is provided with the light-converting material on the edge portion of the backlight unit to minimize a difference between the brightness of the light irradiated from the edge portion and the brightness of the light irradiated from the central portion and a difference between the color tone of the light irradiated from the edge portion and the color tone of the light irradiated from the central portion." ], [ "CROSS-REFERENCE TO RELATED APPLICATION The present application is a continuation of U.S. patent application Ser.", "No.", "15/083,876 filed Mar.", "29, 2016, which is a continuation of U.S. patent application Ser.", "No.", "14/736,686 filed Jun.", "11, 2015 and issued as U.S. Pat.", "No.", "9,513,427, which claims the benefit of priority under 35 U.S.C.", "§ 119(b) of Korean Patent Application No.", "10-2015-0007377, filed on Jan. 15, 2015 in the Korean Intellectual Property Office, and which claims the benefit of priority under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application No.", "62/089,469, filed in the U.S. Patent and Trademark Office on Jan. 15, 2015, the disclosures of all these applications are incorporated herein by reference in their entireties.", "BACKGROUND 1.Field Exemplary embodiments relate to a display device, and more particularly, to a display device including an edge type backlight unit.", "2.Description of the Related Art A display device is a device which includes a display panel to display an image of a broadcast signal or various formats of image data.", "The display panel may be an emissive display panel which emits light by itself and a non-emissive display panel which does not emit the light by itself.", "The emissive display panel includes a cathode ray tube (CRT) panel, an electro-luminescence (EL) panel, an organic light emitting diode (OLED) panel, a vacuum fluorescence display (VFD) panel, a field emission display (FED) panel, a plasma display panel (PDP), etc., and the non-emissive display panel includes a liquid crystal display (LCD) panel, etc.", "The liquid crystal display panel includes a backlight unit emitting a white light and a display panel transmitting or blocking the light emitted from the backlight unit.", "In particular, it is important for the liquid crystal display panel to have uniform brightness and uniform color tone on an entire surface.", "In order to achieve the above, the backlight unit should irradiate light having a uniform brightness and a uniform color tone on an entire surface.", "Due to a structural difference between an edge portion and a central portion of the backlight unit, however, the brightness and color tone of the light irradiated from the edge portion differ from the brightness and color tone of the light irradiated from the central portion.", "SUMMARY It is an aspect of the exemplary embodiments to provide a display device and a backlight unit which minimize a difference between brightness of light irradiated from an edge portion and brightness of light irradiated from a central portion and a difference between a color tone of the light irradiated from the edge portion and a color tone of the light irradiated from the central portion.", "A display device according to an exemplary embodiment includes a display panel, a backlight unit outputting light to the display panel, and an optical member configured to refract or reflect the light output from the backlight unit and provide the display panel with the refracted or reflected light, and the backlight unit includes a light source emitting the light, a light guide plate configured to scatter the light emitted from the light source and irradiate the scattered light to a front surface of the backlight unit, a reflective sheet configured to reflect the light, which is irradiated to a rear surface of the light guide plate, to the light guide plate, a quantum dot sheet configured to convert the light, which is irradiated to the front surface of the light guide plate, into a white light, and a light-converting material provided on an edge portion of the reflective sheet for converting the light at the edge portion of the reflective sheet into the white light.", "According to an exemplary embodiment, the light-converting material may include a fluorescent material.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet in an area defined by a width extending from a first end of the reflective sheet to a central portion of the reflective sheet.", "According to an exemplary embodiment, an area of the applied light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased According to an exemplary embodiment, a concentration of the light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "According to an exemplary embodiment, the light-converting material may be applied in a circular pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied in a polygonal pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied in a stripe pattern to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the light guide plate.", "According to an exemplary embodiment, the light-converting material may be applied to the quantum dot sheet.", "According to an exemplary embodiment, the backlight unit may further include an optical sheet including the light-converting material on an edge portion thereof.", "According to an exemplary embodiment, the optical sheet may be provided between the reflective sheet and the light guide plate.", "According to an exemplary embodiment, the optical sheet may be provided between the light guide plate and the quantum dot sheet.", "According to an exemplary embodiment, the optical sheet may be provided between the optical member and the quantum dot sheet.", "A backlight unit according to an exemplary embodiment includes a light source emitting light, a light guide plate scattering the light emitted from the light source and irradiating the scattered light to a front surface of the backlight unit, a reflective sheet reflecting the light, which is irradiated to a rear surface of the light guide plate, to the light guide plate, a quantum dot sheet converting the light, which is irradiated to the front surface of the light guide plate, into a white light, and a light-converting material provided on an edge portion of thereof for converting the light into the white light at the edge portion of thereof.", "According to an exemplary embodiment, the light-converting material may include a fluorescent material.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet.", "According to an exemplary embodiment, the light-converting material may be applied to the reflective sheet in an area defined by a width extending from a first end of the reflective sheet to a central portion of the reflective sheet.", "According to an exemplary embodiment, an area of the applied light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "According to an exemplary embodiment, a concentration of the light-converting material may be decreased as a distance from the first end of the reflective sheet to the central portion of the reflective sheet is increased.", "A backlight unit according to an exemplary embodiment includes a light source to emit light, a light guide plate to scatter the light, a reflective sheet provided on the light guide plate to reflect the light; and a quantum dot sheet to output the light as a white light, where a light-converting material is provided on the backlight unit to convert the light at an edge portion of the backlight unit into the white light.", "According to an exemplary embodiment, the backlight unit also includes an optical sheet provided on a front side of the quantum dot sheet and to irradiate visible light.", "According to an exemplary embodiment, the light-converting material is provided on one from among the quantum dot sheet, the reflective sheet, and the optical sheet.", "According to an exemplary embodiment, the light-converting material is provided on an area defined by an edge of the backlight unit and a central portion of the backlight unit.", "According to an exemplary embodiment, the light-converting material includes a plurality of circles, the largest circles being at the edge of the backlight unit and decreasing in size toward the central portion of the backlight unit, with a space between the plurality of circles.", "According to an exemplary embodiment, it is possible to provide the display device and the backlight unit which is provided with the light-converting material on the edge portion of the backlight unit to minimize a difference between the brightness of the light irradiated from the edge portion and the brightness of the light irradiated from the central portion and a difference between the color tone of the light irradiated from the edge portion and the color tone of the light irradiated from the central portion.", "BRIEF DESCRIPTION OF THE DRAWINGS These and/or other aspects will become apparent and more readily appreciated from the following description of exemplary embodiments, taken in conjunction with the accompanying drawings of which: FIG.", "1 is a view showing an external appearance of a display device according to an exemplary embodiment; FIG.", "2 is an exploded view of a display device according to an exemplary embodiment; FIG.", "3 is a view showing a side sectional view of a display device according to an exemplary embodiment; FIG.", "4 is a view showing a light recycling at a central portion of a display device according to an exemplary embodiment; FIG.", "5 is a view showing blue light output from an edge portion of a display device according to an exemplary embodiment; FIG.", "6 is a view showing a process for compensating blue light output from an edge portion of a display device according to an exemplary embodiment; FIG.", "7 shows two views, (a) and (b) of a light-converting material being applied to a reflective sheet of a display device according to an exemplary embodiment; FIG.", "8 shows two views, (a) and (b), showing a density of a light-converting material applied to a reflective sheet of a display device according to an exemplary embodiment; FIG.", "9 shows four views, (a), (b), (c), and (d), showing a pattern of a light-converting material applied to a reflective sheet of a display device according to an exemplary embodiment; FIG.", "10 is a view comparing a color coordinate of a display device according to an exemplary embodiment with a conventional display device; FIG.", "11 is a view showing a side section of a display device according to an exemplary embodiment; FIG.", "12 is a view showing a side section of a display device according to an exemplary embodiment; FIG.", "13 is a view showing a side section of a display device according to an exemplary embodiment; FIG.", "14 is a view showing a side section of a display device according to an exemplary embodiment; and FIG.", "15 is a view showing a side section of a display device according to an exemplary embodiment.", "DETAILED DESCRIPTION Reference will now be made in detail to exemplary embodiments, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to like elements throughout.", "However, known functions associated with the exemplary embodiments or detailed descriptions on the configuration and other matters which would unnecessarily obscure the present disclosure will be omitted.", "FIG.", "1 is a view showing an external appearance of a display device according to one embodiment of the disclosure, FIG.", "2 is an exploded view of a display device according to one embodiment of the disclosure, and FIG.", "3 is a side sectional view of a display device according to one embodiment of the disclosure.", "The display device 1 is a device which can process an image signal received from the outside and visually display the processed image thereon.", "Hereinafter, although a television is described as one example of the display device 1, the disclosure is not limited thereto.", "For example, the display device 1 according to the disclosure may be realized as various forms of display devices such as a monitor, a portable multimedia device, a mobile telecommunication device, and the like, and if the display device 1 is a device which visually displays an image, the form thereof is not limited.", "Referring to FIGS.", "1-3, a display device 1 includes a main body 10 configured to accommodate various parts and a display panel 20 for displaying an image to a user U.", "In addition, a driving circuit 30, a backlight unit (BLU) 50, and an optical member 40 are provided in the main body 10.The main body 10 may include a top chassis 11 provided on a front face of the display device 1, a bottom chassis 13 provided on a rear face of the display device, and a mold frame 15 provided in the display device 1.The top chassis 11 is provided on a surface which is the same as a surface, on which the image is displayed, of the display panel 20 to prevent an edge portion of the display panel 20 from being exposed to an outside.", "The bottom chassis 13 may be provided on a side opposite the display panel 20 to prevent various kinds of structural elements included in the display device 1 from being exposed to the outside, and protects the various structural elements included in the display device 1 from an external shock.", "The mold frame 15 limits movements of the display panel 20, the optical member 40, and the backlight unit 50, and secures the display panel 20, the optical member 40, and the backlight unit 50 to the top chassis 11 and the bottom chassis 13.The display panel 20 may display a variety of images according to the image signal input from the outside.", "The display panel 20 may be an emissive display panel in which a plurality of pixels constituting the display panel 20 emits light to generate an image or may be a non-emissive display panel in which a plurality of pixels reflect/transmit/block the light to generate the image.", "Hereinafter, according to an exemplary embodiment, the display panel 20 is the non-emissive display panel in which the light emitted from the backlight unit 50 is reflected/transmitted/blocked to generate the image.", "The display panel 20 may include a liquid crystal layer (not shown), a transparent electrode layer (not shown), a transparent substrate (not show), and a color filter array (not shown).", "The liquid crystal layer contains an adequate amount of liquid crystal.", "The liquid crystal means an intermediate phase of crystal and liquid.", "Such liquid crystal may exhibit an optical property according to a change of a voltage.", "For example, the arrangement direction of molecules constituting the liquid crystal may be changed according to a change of an electric field applied to the liquid crystal.", "A pair of transparent electrode layers are provided at both sides of the liquid crystal layer for forming the electric field on the liquid crystal layer.", "The electric field applied to the liquid crystal layer is changed is changed according to the voltage applied between the pair of transparent electrode layers.", "The aforementioned transparent electrode layer may include a gate line (not shown), a data line (not shown), and a thin film transistor (TFT).", "The gate line is arranged in a row direction to turn on or turn off the thin film transistor, and the data line is arranged in a column direction to transmit a data signal to the plurality of pixels through the thin film transistor.", "The electric field applied to the liquid crystal layer is changed according to a gate signal input through the gate line and the data signal input through the data line.", "The molecular arrangement of the liquid crystal is changed according to the change of the electric field.", "In addition, the light is transmitted through the liquid crystal layer or is blocked according to the molecular arrangement of the liquid crystal.", "The gate line and the data line may be formed of indium tin oxide (ITO) or indium zinc oxide (IZO).", "A pair of transparent substrates (not shown) form an external appearance of the display panel 20 and protect the liquid crystal layer and the transparent electrode layer.", "Such transparent substrates may be formed of tempered glass or a transparent film having an excellent light transmittance.", "The color filter array may include a red color filter, a blue color filter, and a green color filter formed on areas corresponding to the pixels, respectively, so as to allow each of the plurality of pixels constituting the display panel 20 to show the colors.", "As described above, the display panel 20 blocks or transmits the light emitted from the backlight unit 50 to generate the image.", "Specifically, each of the pixels constituting the display panel 20 blocks or transmits the light of the backlight unit 50 to generate the image having various colors.", "The driving circuit 30 provides the display panel 20 with a driving signal for driving the display panel 20.The driving circuit 30 may include a gate driving circuit 31 and a data driving circuit 33.The gate driving circuit 31 may be connected to the gate line (not shown) of the display panel 20 to transfer the gate signal to the gate line.", "Also, the data driving circuit 33 may be connected to the data line (not shown) of the display panel 20 to transfer the data signal to the data line.", "The backlight unit 50 is installed at a rear side of the display panel 20 and emits the light for generating the image.", "The backlight unit 50 may be classified into an edge type backlight unit (BLU) in which a light source is placed at a side surface and a direct type backlight unit (BLU) in which the light source is placed at a rear side of the display panel 20.Hereinafter, the edge type backlight unit in which the light source is placed at the side surface will be described as the backlight unit 50.As shown in FIG.", "3, the backlight unit 50 includes a light source 51, a light guide plate (LGP) 53 configured to convert the light emitted from the light source 51 into a sheet light, a reflective sheet 55 provided on a rear surface of the light guide plate 53 to reflect the light irradiated from the light guide plate 53, and a quantum dot sheet 57 receiving the light from the light guide plate 53 and outputting a white light (in which various color lights are mixed).", "As shown in FIG.", "3, the light source 51 is provided on a side surface of the light guide plate 53 to emit the light towards the light guide plate 53.The light source 51 may emit the light (the monochromatic light) with a single wavelength (single color) or may emit the light (the white light) in which a plurality of lights with a plurality of wavelengths which differ from each other are mixed.", "In general, in the case in which the backlight unit 50 includes the quantum dot sheet 57, a light source emitting the monochromatic light (in particular, a blue-colored light with a short wavelength) is used as the light source.", "Hereinafter, a light source emitting the blue-colored light (hereinafter, “blue light”) is described as the light source 51.A light emitting diode (LED) or a cold cathode fluorescence lamp (CCFL) with a low calorific value may be employed as the light source 51.In the edge type backlight unit 50, the light guide plate 53 changes the advancing direction of the light incident from a side surface and then irradiates the light towards a front surface.", "In order to change the advancing direction of the light, the light guide plate 53 may have a plurality convex stripes formed on a front surface 53a thereof and a plurality of dots formed on a rear surface 53b thereof.", "Also, in order to allow the light to be uniformly irradiated to the front surface 53a of the light guide plate 53, a dimension of the convex stripe and a gap between the stripes may be adjusted and a dimension of the dot and a gap between the dots may be adjusted.", "In addition, the convex stripe on the front surface 53a of the light guide plate 53 may be convexly formed by a printing method and the dot on the rear surface 53b of the light guide plate 53 may be concavely formed by a laser.", "As shown in FIG.", "3, a part L1 of the light incident on the light guide plate 53 is scattered by the dots formed on the rear surface 53b of the light guide plate 53 and may be irradiated to the front surface 53a of the light guide plate 53, and another part L2 of the light incident on the light guide plate is reflected into the light guide plate 53 by the reflective sheet 55 provided on the rear surface 53b of the light guide plate 53.In addition, the reflected part L2 may be irradiated to a central portion of the light guide plate 53, and may be scattered at the central portion of the light guide plate 53 and then irradiated to the front surface 53a of the light guide plate 53.Due to the refraction, reflection, and scattering of the light in the light guide plate 53, the light guide plate 53 may irradiate the uniform light to the front surface thereof.", "The aforementioned light guide plate 53 may be formed of polymethylmethacrylate (PMMA) or transparent polycarbonate (PC) which is transparent and has an excellent strength.", "The reflective sheet 55 is provided on the rear surface 53b of the light guide plate 53 described above.", "In the light guide plate 53, the reflective sheet 55 reflects some of the light which is being irradiated toward the rear surface of the light guide plate 53 into the light guide plate 53.The reflective sheet 55 is fabricated by coating a base material with a material having a high reflectivity.", "For example, the reflective sheet 55 may be fabricated by coating a base material such as polyethylene terephthalate (PET) with a polymer having a high reflectivity.", "As shown in FIG.", "3, a light-converting material 101 is applied to an edge portion of the reflective sheet 55.The light-converting material 101 is a material which emits visible light when the light is incident from the outside and may include a fluorescent material or a quantum dot.", "Once the light is incident from the light guide plate 53 to the edge portion of the reflective sheet 55, some of the incident light is converted into the white light by the light-converting material 101, and the light reflected by the reflective sheet 55 and the white light converted by the light-converting material 101 are irradiated together.", "The light-converting material 101 applied to the edge portion of the reflective sheet 55 will be described in more detail below.", "The quantum dot sheet 57 converts the light irradiated to the front surface 53a of the light guide plate 53 into the white light.", "The quantum dot means a small-spherical shaped semiconductor particle having being a nanometer (nm, 1/1,000,000,000 meter) in size, and may include a central body with a size of approximately 2 nanometers [nm] to 10 [nm] and a shell formed of zinc sulfide (ZnS).", "Cadmium selenide (CdSe), cadmium telluride (CdTe), or cadmium sulfide (CdS) may be employed as a material for the central body of the quantum dot.", "Once voltage is applied, the quantum dot emits the light by itself or absorbs the light to emit the light with a specific wavelength.", "An electron of the quantum dot in a stable state is located at a low energy level (or a low energy band).", "At this time, once the quantum dot absorbs the light from the outside, the electron at the low energy level is transferred to a high energy level (or a high energy band).", "Since the electron at the high energy level is unstable, the electron is naturally transferred from the high energy level to the low energy level.", "During a transfer from the high energy level to the low energy level, the electron emits the light corresponding to an energy difference between the high energy level to the low energy level.", "A wavelength of the emitted light is determined by the energy difference between the high energy level to the low energy level.", "A size of the quantum dot may be proportional to a wavelength of the light to be emitted.", "For example, the quantum dot having a diameter of 2 nanometers [nm] may emit the blue-colored light and the quantum dot having a diameter of approximately 10 nanometers [nm] may emit a red-colored light.", "In addition, by using the quantum dots having various sizes, it is possible for the quantum dots to emit light of varying wavelengths from the red light to the blue light.", "Once the quantum dots having various sizes are employed, the light having a natural color (white light) can be generated.", "The quantum dot sheet 57 may be manufactured by distributing the quantum dots on resin.", "Once the light is incident from the light guide plate 53 to the quantum dot sheet 57, the incident light excites the electron of the quantum dot contained in the quantum dot sheet 57.In other words, the electron at the low energy level (or the low energy band) is transferred to the high energy level (or the high energy band) by the incident light.", "Subsequently, while the excited electron is transferred from the high energy level to the low energy level, the quantum dot emits the light (the white light) with various wavelengths.", "The light having various wavelengths may pass through the optical member 40 and the display panel 20 to generate the image.", "The backlight unit 50 may include the light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 to irradiate a uniform sheet light.", "The optical member 40 refracts or scatters the light so as to widen a viewing angle of the display device 1 and to enhance brightness of the display device 1.The optical member 40 may include a variety of sheets.", "For example, the optical member 40 may include a diffusion sheet 41, a prism sheet 43, a protective sheet 45, and a dual brightness enhancement film (DBEF) 47.The diffusion sheet 41 diffuses the light emitted from the backlight unit 50 along a surface to make a color and brightness on a screen of the display device 1 entirely uniform.", "Since the light irradiated from the light guide plate 53 is irradiated through patterns formed on the front surface 53a of the light guide plate 53, the patterns formed on the front surface 53a of the light guide plate 53 is visible from the light irradiated from the light guide plate 53.In order to prevent the patterns formed on the front surface 53a of the light guide plate 53 from being seen from the light irradiated from the light guide plate 53, the diffusion sheet 41 diffuses the irradiated light from the light guide plate 53 in a direction which is perpendicular to the irradiation direction.", "The diffusion sheet diffuses the light emitted from the backlight unit 50 to uniformly maintain the brightness on the entire surface.", "Since the light having passed through the diffusion sheet 41 is diffused in the direction perpendicular to a surface of the diffusion sheet 41, the brightness is rapidly decreased.", "The prism sheet 43 refracts or collects the light diffused by the diffusion sheet 41 to increase the brightness.", "In addition, the prism sheet 43 includes a triangular prism-shaped prism pattern and a plurality of prism patterns are adjacently disposed to each other to form a plurality of bands.", "The prism patterns form lines in the form of a pattern in which hills and valleys are alternately and repeatedly disposed, and protrude toward the display panel 20.The protective sheet 45 protects all kinds of structural elements included in the backlight unit 50 from an external shock or an inflow of foreign substances.", "In particular, a scratch is easily generated on the prism sheet 43 and the protective sheet 45 prevents this scratch from being generated on the prism sheet 43.The dual brightness enhancement film 47 is one kind of a polarizing film and is also called a reflective polarizing film.", "Such a dual brightness enhancement film 47 transmits polarized light in the light irradiated from the backlight unit 50, which is parallel to a polarization direction of the dual brightness enhancement film 47, and reflects the light which is polarized in a direction opposite to the polarization direction of the dual brightness enhancement film 47.It is known that the light is a transverse wave which is vibrated in a direction perpendicular to an advancing direction of the light.", "When the light is vibrated in various directions, the light vibrated in a specific direction is transmitted through a polarizing film and the light vibrated in another direction is absorbed by the polarizing film.", "As compared with the polarizing film, the dual brightness enhancement film 47 reflects the light which is polarized in the direction opposite to the polarization direction of the dual brightness enhancement film 47.Here, the reflected light is recycled in the backlight unit 50 and the brightness of the display device 1 is enhanced by the light recycling.", "The light recycling is described in detail below.", "FIG.", "4 shows the light recycling at a central portion of the display device according to an exemplary embodiment.", "As shown in FIG.", "4, various optical phenomena are generated at the central portion of the display device 1.As previously described, lights L3 and L4 scattered on the rear surface 53b of the light guide plate 53 are irradiated toward the quantum dot sheet 57.At this time, at least some of light L3 irradiated from the light guide plate 53 is absorbed in the quantum dot sheet 57, and the light may excite the electron contained in the quantum dot of the quantum dot sheet 57.While returning to the stable state, the electron of the quantum dot, which is excited by the light, may irradiate the light with various wavelengths (the white light).", "Due to at least some of light L3 of the lights L3 and L4 being irradiated from the light guide plate 53, the quantum dot sheet 57 may irradiate the while light.", "In addition, another light L4 of the lights L3 and L4 irradiated from the light guide plate 53 is not absorbed in the quantum dot sheet 57, but may be transmitted through the quantum dot sheet 57.Since the quantum dot sheet 57 is manufactured by distributing the quantum dots on the resin, a sufficient space is formed between the quantum dots so that the light irradiated from the light guide plate 53 may be transmitted through the quantum dot sheet 57 via the space between the quantum dots.", "As described above, the light irradiated from the quantum dot sheet 57 includes the white light generated by the quantum dot sheet 57 and the light transmitted through the quantum dot sheet 57.If the light irradiated from the light guide plate 53 is the blue light emitted from the light source 51, the light irradiated from the quantum dot sheet 57 also becomes the light including a blue color.", "Some of light L5 of lights L5, L6, and L7 irradiated from the quantum dot sheet 57 may be transmitted through the optical member 40 and the display panel 20 and may be output to the outside of the display device 1.Specifically, the light L5 polarized in the direction which is same as the polarization direction of the dual brightness enhancement film 47 included in the optical member 40 may be transmitted through the optical member 40 and may be output to the outside of the display device 1 by driving the display panel 20.The light L5 output to the outside of the display device 1 may form the image to be output by the display device 1.In addition, some of lights L6 and L7 of the lights L5, L6, and L7 irradiated from the quantum dot sheet 57 are reflected from the dual brightness enhancement film 47 and are then incident on the backlight unit 50 again.", "Specifically, the lights L6 and L7 polarized in a direction which differs from the polarization direction of the dual brightness enhancement film 47 included in the optical member 40 are reflected from the dual brightness enhancement film 47 and are incident on the backlight unit 50.Some of light L6 of the lights L6 and L7 reflected from the optical member 40 and entering the backlight unit 50 is absorbed in the quantum dot sheet 57 and may excite the electron included in the quantum dot of the quantum dot sheet 57.Also, while returning to the stable state, the electron of the quantum dot, which is excited by the light, may irradiate the lights with various wavelengths (the white light).", "Some light L7 of the lights L6 and L7 reflected from the optical member 40 and entering the backlight unit 50 is not absorbed in the quantum dot sheet 57 and may be transmitted through the quantum dot sheet 57.Specifically, the light reflected from the optical member 40 may be transmitted through the quantum dot sheet 57 via the space between the quantum dots included in the quantum dot sheet 57.The light reflected from the dual brightness enhancement film 47 and entering the backlight unit 50 may be recycled in the backlight unit 50 to enhance the luminance of the backlight unit 50.While the light is recycled, the light passes repeatedly through the quantum dot sheet 57.In addition, some of lights L3 and L6 of the lights L3, L4, L6, and L7 are absorbed in the quantum dot of the quantum dot sheet 57, and the quantum dot sheet 57 may irradiate the white light due to the light absorbed in the quantum dot.", "In other words, while the light is recycled in the backlight unit 50, the white light is gradually increased.", "In general, the light source 51 of the backlight unit 50 emits the monochromatic light (in particular, the blue light) with a single wavelength (single color).", "Although the light which is initially emitted from the light source 51 is the monochromatic light, the monochromatic light is gradually converted into the white light while the light is recycled in the backlight unit 50, in which the lights with various wavelengths (various colors) are mixed.", "As a result, most of the lights output from the backlight unit 50 become the white light.", "Since the white light includes the lights with a plurality of wavelengths (various color), it is possible to enhance a color reproduction range of the display device 1.In this way, the backlight unit 50 can output the white color through the light recycling at the central portion of the display device 1.Due to a lack of the light recycling, the white light including the blue color may be output from the edge portion of the display device 1.FIG.", "5 shows the blue light output from the edge portion of the display device according to an exemplary embodiment, and FIG.", "6 shows a process for compensating the blue light output from the edge portion of the display device according to an exemplary embodiment.", "The edge portion is a portion between the edge of the backlight unit 50, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57, and a portion spaced apart from the above edge at a specific distance toward a center of the above member.", "A width of the edge portion of the backlight unit 50, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 is not a predetermined value, but may be varied according to widths of the backlight unit 50, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57.The light recycling which is previously described is also generated at the edge portion of the display device 1.Some of the light irradiated from the quantum dot sheet 57 is reflected by the dual brightness enhancement film 47 of the optical member 40 at the edge portion of the display device 1 and some of the light transmitted through the quantum dot sheet 57 is converted into the white light.", "However, the light recycling at the edge portion of the display device 1 is less than that at the central portion of the display device 1.At the edge portion of the display device 1, as shown in FIG.", "5, some light L8 of the recycled light may be irradiated to a side surface of the light guide plate 53 and some light L9 of the recycled light may be absorbed by the mold frame 15.At the edge portion of the display device 1, some of lights L8 and L9 of the recycled light is not irradiated to the front surface 53a of the light guide plate 53, and instead becomes lost.", "Therefore, the white light generated by the light recycling is decreased at the edge portion of the display device 1.As shown in FIG.", "5, some of light L10 of the monochromatic light (the blue light) emitted from the light source 51 may pass through the light guide plate 53, the quantum dot sheet 57, the optical member 40, and the display panel 20 at the edge portion of the display device 1, and be then irradiated to the outside of the display device 1.In the light irradiated from the display device 1, as a result, a ratio of the white light caused by the light recycling is decreased and a ratio of the monochromatic light (the blue light) emitted from the light source 51 is increased.", "In addition, the image output from the display device 1 looks blue at the edge portion of the display device 1.If the ratio of the white light is decreased and the ratio of the monochromatic light (the blue light) is increased in the light irradiated from the edge portion of the display device 1 as above, a difference of the color (a color coordinate) between the light irradiated from the edge portion of the display device 1 and the light irradiated from the central portion of the display device 1 is generated.", "For example, when the light source 51 emits the blue light, as compared with the central portion of the display device 1, the edge portion of the display device 1 looks more blue.", "This phenomenon is called a Mura effect of the display device 1.In order to prevent the Mura effect, as shown in FIG.", "6, the light-converting material 101 may be applied to the edge portion of the reflective sheet 55.Here, the fluorescent material or the quantum dot which emits a light having a specific wavelength when the light is incident from the outside may be employed as the light-converting material 101.Specifically, an electron of the light-converting material 101 in a stable state is located at a low energy level (or a low energy band), and once the light-converting material 101 absorbs the light from the outside, the electron at the low energy level is transferred to a high energy level (or a high energy band).", "Since the electron at the high energy level is unstable, the electron is naturally transferred from the high energy level to the low energy level.", "During a transfer from the high energy level to the low energy level, the electron emits energy in the form of light.", "Also, a wavelength of the emitted light is determined by an energy difference between the high energy level and the low energy level.", "When the light emitted from the light source 51 or the light recycled in the backlight unit 50 is absorbed in the light-converting material 101, the light-converting material 101 cannot irradiate the light.", "If the light-converting material 101 can irradiate a yellow light and the red light, the yellow light and the red light irradiated from the light-converting material 101 and the blue light transmitted through the light-converting material 101 are mixed to irradiate the white light from the light-converting material 101.When the blue light is incident toward the light-converting material 101 from the light source 51 as shown in FIG.", "6, the light-converting material 101 may generate the yellow light and the red light using the blue light.", "Also, some of the blue light emitted from the light source 51 may be transmitted through the light-converting material 101.The yellow light and the red light generated by the light-converting material 101 is mixed with the blue light transmitted through the light-converting material 101 so that light L12 irradiated from the light-converting material 101 becomes the white light with various wavelengths (various colors).", "The white light generated by the light-converting material 101 applied to the edge portion of the reflective sheet 55 may increase the ratio of the white color at the edge portion of the backlight unit 50.In other words, the light-converting material 101 applied to the edge portion of the reflective sheet 55 may compensate for a lack of the light recycling at the edge portion of the backlight unit 50.In order to compensate for the lack of the light recycling at the edge portion of the backlight unit 50, the light-converting material 101 is applied to the edge portion of the reflective sheet 55 included in the backlight unit 50.In addition, although the light-converting material 101 applied to a front surface of the reflective sheet 55 is described above, the disclosure is not limited thereto, and the light-converting material 101 may be applied to the rear surface 53b of the light guide plate 53.In other words, the light-converting material 101 may be located between the light guide plate 53 and the reflective sheet 55.A pattern of the light-converting material 101 applied to the edge portion of the reflective sheet 55 is described below.", "FIG.", "7 shows one example in which the light-converting material is applied to the reflective sheet of the display device according to an exemplary embodiment.", "As shown in view (a) of FIG.", "7, the light-converting material 101 may be applied to a region of the edge portion of the reflective sheet 55, which is adjacent to the light source 51.The light-converting material 101 is applied to this region because there is a lack of the light recycling at the edge portion of the backlight unit 50, which corresponds to the light source 51, and the light emitted from the light source 51 can be transmitted through the quantum dot sheet 57 and can be irradiated.", "A width D1 of the region to which the light-converting material 101 is applied may be variably adjusted.", "Specifically, the width D1 of the region to which the light-converting material 101 is applied may be adjusted according to a distance between the light source 51 and the light guide plate 53, a width of a portion at which the mold frame 15 and the light guide plate 53 are overlapped, and a thickness of the quantum dot sheet 57.If the width of the overlapping portion of the mold frame 15 and the light guide plate 53 is small, the amount of the light which is blocked by the mold frame 15 is reduced, so the width D1 of the region to which the light-converting material 101 is applied may be decreased.", "In contrast, if the width of the overlapping portion of the mold frame 15 and the light guide plate 53 is large, the amount of the light which is blocked by the mold frame 15 is increased, so the width D1 of the region to which the light-converting material 101 is applied may be increased.", "If the distance between the light source 51 and the light guide plate 53 is large, the amount of the light which is emitted from the light source 51 and is directly transmitted through the quantum dot sheet 57 is decreased, so the width D1 of the region to which the light-converting material 101 is applied may be decreased.", "In contrast, if the distance between the light source 51 and the light guide plate 53 is small, the amount of the light which is emitted from the light source 51 and is directly transmitted through the quantum dot sheet 57 is increased, so the width D1 of the region to which the light-converting material 101 is applied may be increased.", "Exemplary embodiments are not limited to the configuration in which the light-converting material 101 is applied to the edge portion at which the light source 51 is provided.", "As shown in view (b) of FIG.", "7, the light-converting material 101 may be applied to the edge portion at which the light source 51 is not provided.", "At the edge portion of the backlight unit 50 at which the light source 51 is not provided, the light emitted from the light source 51 is transmitted through the quantum dot sheet 57 and the optical member 40, but is not irradiated to the outside of the display device 1.At the edge portion of the backlight unit 50 at which the light source 51 is not provided, the light in the light guide plate 53 is irradiated to a side face of the light guide plate 53 or the light irradiated from the light guide plate 53 may be blocked by the mold frame 15.Therefore, there is a lack of light recycling at the edge portion of the backlight unit 50 at which the light source 51 is not provided, and the ratio of the white light at the edge portion of the backlight unit 50 at which the light source 51 is not provided is less than that at the central portion of the backlight unit 50.As a result, the image output from the display device 1 looks blue at the edge portion of the display device 1.For this reason, the light-converting material 101 may be applied to the edge portion of the reflective sheet 55, at which the light source 51 is not provided as shown in view (b) of FIG.", "7.A width D2 of the light-converting material 101 applied to the edge portion at which the light source 51 is not provided may be smaller than the width D1 of the light-converting material 101 applied to the edge portion at which the light source 51 is provided.", "FIG.", "8 shows a density of the light-converting material applied to the reflective sheet of the display device according to an exemplary embodiment.", "As previously described, a lack of the light recycling increases from the central portion toward the edge portion of the backlight unit 50.In other words, the light recycling decreases from the center of the backlight unit 50 toward the edge portion of the backlight unit 50.In order to compensate for the lack of the light recycling, a density of the applied light-converting material 101 may vary according to a location.", "Specifically, since the least amount of light recycling occurs at the edge of the backlight unit 50, causing the strongest monochromatic light (the blue light) to be irradiated and the light recycling is increased as a distance from the edge of the backlight unit 50 increases so that the ratio of the white light is increased, the density of the applied light-converting material 101 may be decreased according to a distance from the edge portion of the backlight unit 50.As shown in view (a) of FIG.", "8, when the light-converting material 101 is applied to the reflective sheet 55 in a circular pattern, the light-converting material 101 may be applied in the largest circular shape at the edge of the reflective sheet 55 and a circular area of the light-converting material 101 may decrease as a distance from the edge of the reflective sheet 55 is increased, Although not shown in the drawing, the circular area of the light-converting material 101 may be maintained and a gap between the circular shapes of the light-converting material 101 may vary.", "For example, the gap between the circular shapes of the light-converting material 101 applied to the edge of the reflective sheet 55 is narrow, and the gap between the circular shapes of the light-converting material 101 may increase as the distance from the edge of the reflective sheet 55 is increased.", "As shown in view (b) of FIG.", "8, the light-converting material 101 having the highest concentration may be applied to the edge of the reflective sheet 55, and the concentration of the light-converting material 101 may be reduced as the distance from the edge of the reflective sheet 55 is increased.", "By varying the density of the light-converting material 101 according to the distance from the edge of the backlight unit 50 as described above, it is possible to compensate for the decreased light recycling and prevent reduction of the brightness at the edge portion of the backlight unit 50 by the light-converting material 101.FIG.", "9 shows a pattern of the light-converting material applied to the reflective sheet of the display device according to an exemplary embodiment.", "The light-converting material 101 may be applied in various patterns to the reflective sheet 55.For example, as shown in view (a) FIG.", "8, the light-converting material 101 may be applied in a circular pattern to the reflective sheet 55.As shown in view (a) of FIG.", "9, the light-converting material 101 may be applied in a stripe pattern to the reflective sheet 55.A width of the stripe pattern may be varied according to the distance from the edge of the reflective sheet 55.For example, the stripe pattern located at the edge of the reflective sheet 55 has the largest width and the width of the stripe pattern may be decreased as the distance from the edge of the reflective sheet 55 is increased.", "In addition, the width of the stripe pattern is constantly maintained, but a gap between the stripe patterns may be varied.", "For example, the gap between the stripe patterns located at the edge of the reflective sheet 55 is narrowest and the gap between the stripe patterns may be increased as the distance from the edge of the reflective sheet 55 is increased.", "As shown in view (b) of FIG.", "9, the light-converting material 101 may be applied in a comb pattern to the reflective sheet 55.A length of the comb pattern may vary according to the distance from the edge of the reflective sheet 55.For example, the length of the comb pattern located at the edge of the reflective sheet 55 is longest and the length of the comb pattern may be shortened as the distance from the edge of the reflective sheet 55 is increased.", "In addition, the length of the comb pattern is constant, but a gap between the comb patterns may be varied.", "For example, the gap between the comb patterns located at the edge of the reflective sheet 55 is narrowest and the gap between the comb patterns may be increased as the distance from the edge of the reflective sheet 55 is increased.", "As shown in view (c) of FIG.", "9, the light-converting material 101 may be applied in a polygonal pattern to the reflective sheet 55.Although view (c) of FIG.", "9 shows a rectangular pattern, the shape of the polygonal pattern is not limited thereto, and various patterns such as a triangular pattern, a pentagonal pattern, and the like are available.", "An area of the polygonal pattern may vary according to the distance from the edge of the reflective sheet 55.For example, the polygonal pattern located at the edge of the reflective sheet 55 has the largest area and the area of the polygonal pattern may be decreased as the distance from the edge of the reflective sheet 55 is increased.", "In addition, the area of the polygonal pattern is constantly maintained, but a gap between the polygonal patterns may be varied.", "For example, the gap between the polygonal patterns located at the edge of the reflective sheet 55 is narrowest and the gap between the polygonal patterns may be increased as the distance from the edge of the reflective sheet 55 is increased.", "In addition, as shown in view (d) of FIG.", "9, the light-converting material 101 may be applied in an elliptical pattern to the reflective sheet 55.Although view (d) of FIG.", "9 shows the elliptical pattern having a major axis extending in a horizontal direction, the elliptical pattern having the major axis extending in a vertical direction or a diagonal direction is available.", "An area of the elliptical pattern may vary according to the distance from the edge of the reflective sheet 55.For example, the elliptical pattern located at the edge of the reflective sheet 55 has the largest area and the area of the elliptical pattern may be decreased as the distance from the edge of the reflective sheet 55 is increased.", "In addition, the area of the elliptical pattern is constantly maintained, but a gap between the elliptical patterns may be varied.", "For example, the gap between the elliptical patterns located at the edge of the reflective sheet 55 is narrowest and the gap between the elliptical patterns may be increased as the distance from the edge of the reflective sheet 55 is increased.", "FIG.", "10 is a graph comparing a color coordinate of the display device according to an exemplary embodiment with that of a conventional display device.", "Specifically, FIG.", "10 shows the color coordinate (Y-coordinate) according to a location of the display device 1 according to an exemplary embodiment and the color coordinate (Y-coordinate) according to a location of the display device of the conventional art.", "The display device according to the conventional art is a display device in which a light-converting material (fluorescent material) is not applied to an edge portion of a reflective sheet.", "The X-axis in the graph shown in FIG.", "10 represents a value obtained by dividing a distance between one side edge and the other side edge of the display device by a width of the display device.", "In other words, the X-axis represents a relative location with respect to an entire width of the display device.", "The Y-axis in the graph shown in FIG.", "10 represents the color coordinate (Y coordinate) of the light output from the display device.", "As is widely known, the larger the Y coordinate of the color coordinate is, the closer the light is to the green light end of the spectrum, and the smaller the Y coordinate of the color coordinate is, the closer the light is to the blue light end of the spectrum.", "One of the points to be noted in FIG.", "10 is a deviation of the color coordinate (Y coordinate).", "In other words, if there is a wide deviation between the color coordinate (Y coordinate) of the central portion and the color coordinate (Y coordinate) of the edge portion of the display device, the user U may judge that the central portion differs from the edge portion of the display device based on the color.", "Referring to FIG.", "10, in the conventional display device, the deviation between the color coordinate (Y coordinate) of the central portion and the color coordinate (Y coordinate) of the edge portion of the display device is approximately “0.013”.", "In the display device according to an exemplary embodiment, the deviation between the color coordinate (Y coordinate) of the central portion and the color coordinate (Y coordinate) of the edge portion of the display device is approximately “0.004”.", "Accordingly, compared with the conventional display device, the display device according to an exemplary embodiment has a reduced deviation between the central portion and the edge portion.", "In particular, compared with the conventional display device, the color coordinate (Y coordinate) at the edge portion of the display device according to an exemplary embodiment is remarkably increased.", "The ratio of the blue light irradiated from the display device is decreased by the light-converting material 101 included in the edge portion of the display device 1 according to an exemplary embodiment.", "By applying the light-converting material 101 to the edge portion of the reflective sheet 55, it is possible to reduce the deviation of color between the central portion and the edge portion of the display device 1 as shown in FIG.", "10.The display device 1 in which the light-converting material 101 is applied to the edge portion of the reflective sheet 55 to compensate for a lack of the light recycling at the edge portion of the display device 1 is described above.", "Various display devices compensating for the lack of the light recycling at the edge portion of the display device are described below.", "FIG.", "11 shows a side section of a display device according to an exemplary embodiment.", "Referring to FIG.", "11, a display device 1a includes the main body 10 (11, 13, and 15), the display panel 20, the optical member 40, and a backlight unit 50a.", "The main body 10, the display panel 20, and the optical member 40 are the same as the main body 10 (see FIG.", "3), the display panel 20 (see FIG.", "3), and the optical member 40 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The backlight unit 50a includes the light source 51, the light guide plate 53, the reflective sheet 55, the quantum dot sheet 57, and a light-converting material 101a.", "The light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 are the same as the light source 51 (see FIG.", "3), the light guide plate 53 (see FIG.", "3), the reflective sheet 55 (see FIG.", "3), and the quantum dot sheet 57 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereon is omitted.", "The light-converting material 101a is a material which irradiates the visible light when the light is incident from the outside.", "The light-converting material 101a may be applied to the edge portion of the front surface of the light guide plate 53.Once the light (in particular, the blue light) is incident, the light-converting material 101a may convert some of the incident light into the yellow light or the green light and may transmit some of the incident light.", "As a result, once the light is incident on the light-converting material 101a, the light-converting material 101a may irradiate the white light including the blue, yellow, and green lights.", "The above light-converting material 101a may compensate a lack of the light recycling at an edge portion of the backlight unit 50a.", "In particular, the light-converting material 101a applied to the edge portion of the front surface of the light guide plate 53 may convert light L13 into the white light.", "Light L13 is monochromatic light (the blue light) emitted from the light source 51 provided at an edge of the backlight unit 50a, and is irradiated to the outside of the display device 1a through the light guide plate 53 and the quantum dot sheet 57.As a result, the light-converting material 101a may increase the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50a.", "As described above, due to the light-converting material 101a applied to the edge portion of the front surface of the light guide plate 53, the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50a is increased and the deviation of the color coordinate between the edge portion and a central portion of the backlight unit 50a is decreased.", "Although the light-converting material 101a applied to the edge portion of the front surface of the light guide plate 53 is described in the above description, the disclosure is not limited thereto, and the light-converting material 101a may be applied to the edge portion of the rear surface of the quantum dot sheet 57.In other words, the light-converting material 101a may be placed between the light guide plate 53 and the quantum dot sheet 57.FIG.", "12 shows a side section of a display device according to an exemplary embodiment.", "Referring to FIG.", "12, a display device 1b includes the main body 10 (11, 13, and 15), the display panel 20, the optical member 40 and a backlight unit 50b.", "The main body 10, the display panel 20, and the optical member 40 are the same as the main body 10 (see FIG.", "3), the display panel 20 (see FIG.", "3), and the optical member 40 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The backlight unit 50b includes the light source 51, the light guide plate 53, the reflective sheet 55, the quantum dot sheet 57, and a light-converting material 101b.", "The light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 are the same as the light source 51 (see FIG.", "3), the light guide plate 53 (see FIG.", "3), the reflective sheet 55 (see FIG.", "3), and the quantum dot sheet 57 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The light-converting material 101b is a material which irradiates the visible light when the light is incident from the outside.", "The light-converting material 101b may be applied to the edge portion of the front surface of the quantum dot sheet 57.Once the light (in particular, the blue light) is incident, the light-converting material 101b may convert some of the incident light into the yellow light or the green light and may transmit some of the incident light.", "As a result, once the light is incident on the light-converting material 101b, the light-converting material 101b may irradiate the white light including the blue, yellow, and green lights.", "The above light-converting material 101b may compensate a lack of the light recycling at an edge portion of the backlight unit 50b.", "In particular, the light-converting material 101b applied to the edge portion of the front surface of the quantum dot sheet 57 may convert light L14 into the white light.", "Light L14 is monochromatic light (the blue light) emitted from the light source 51 provided at an edge of the backlight unit 50b, and is irradiated to the outside of the display device 1b through the light guide plate 53 and the quantum dot sheet 57.As a result, the light-converting material 101b may increase the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50b.", "As described above, due to the light-converting material 101b applied to the edge portion of the front surface of the quantum dot sheet 57, the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50b is increased and the deviation of the color coordinate between the edge portion and a central portion of the backlight unit 50b is decreased.", "FIG.", "13 shows a side section of a display device according to an exemplary embodiment.", "Referring to FIG.", "13, a display device 1c includes the main body 10 (11, 13, and 15), the display panel 20, the optical member 40, and a backlight unit 50c.", "The main body 10, the display panel 20, and the optical member 40 are the same as the main body 10 (see FIG.", "3), the display panel 20 (see FIG.", "3), and the optical member 40 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "In addition, the backlight unit 50c includes the light source 51, the light guide plate 53, the reflective sheet 55, the quantum dot sheet 57, and an optical sheet 110c.", "The light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 are the same as the light source 51 (see FIG.", "3), the light guide plate 53 (see FIG.", "3), the reflective sheet 55 (see FIG.", "3), and the quantum dot sheet 57 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The optical sheet 110c is a film formed of a transparent material and may be provided between the reflective sheet 55 and the light guide plate 53.Also, an edge portion of the optical sheet 110c may include a light-converting material 111c.", "The light-converting material 111c is a material which irradiates the visible light when the light is incident from the outside.", "Once the light (in particular, the blue light) is incident, the light-converting material 111c may convert some of the incident light into the yellow light or the green light and may transmit some of the incident light.", "As a result, once the light is incident on the light-converting material 111c, the light-converting material 111c may irradiate the white light including the blue, yellow, and green lights.", "The above optical sheet 110c may compensate a lack of the light recycling at an edge portion of the backlight unit 50c.", "In particular, the light-converting material 111c included in the edge portion of the optical sheet 110c may convert light L15 into the white light.", "Light L15 is monochromatic light (the blue light) emitted from the light source 51 provided at an edge of the backlight unit 50c and reflected from the reflective sheet 55, and is irradiated to the outside of the display device 1c.", "As a result, the optical sheet 110c may increase the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50c.", "As described above, due to the optical sheet 110c including the light-converting material 111c applied to the edge portion thereof, the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50c is increased and the deviation of the color coordinate between the edge portion and a central portion of the backlight unit 50c is decreased.", "FIG.", "14 shows a side section of a display device according to an exemplary embodiment.", "Referring to FIG.", "14, a display device 1d includes the main body 10 (11, 13, and 15), the display panel 20, the optical member 40, and a backlight unit 50d.", "The main body 10, the display panel 20, and the optical member 40 are the same as the main body 10 (see FIG.", "3), the display panel 20 (see FIG.", "3), and the optical member 40 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "In addition, the backlight unit 50d includes the light source 51, the light guide plate 53, the reflective sheet 55, the quantum dot sheet 57, and an optical sheet 110d.", "The light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 are the same as the light source 51 (see FIG.", "3), the light guide plate 53 (see FIG.", "3), the reflective sheet 55 (see FIG.", "3), and the quantum dot sheet 57 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The optical sheet 110d is a film formed of a transparent material and may be provided between the light guide plate 53 and the quantum dot sheet 57.Also, an edge portion of the optical sheet 110d may include a light-converting material 111d.", "The light-converting material 111d is a material which irradiates the visible light when the light is incident from the outside.", "Once the light (in particular, the blue light) is incident, the light-converting material 111d may convert some of the incident light into the yellow light or the green light and may transmit some of the incident light.", "As a result, once the light is incident on the light-converting material 111d, the light-converting material 111d may irradiate the white light including the blue, yellow, and green lights.", "The above optical sheet 110d may compensate a lack of the light recycling at an edge portion of the backlight unit 50d.", "In particular, the light-converting material 111d included in the edge portion of the optical sheet 110d may convert light L16 into the white light.", "Light L16 is monochromatic light (the blue light) emitted from the light source 51 provided at an edge of the backlight unit 50d, and is irradiated to the outside of the display device 1d through the light guide plate 53 and the quantum dot sheet 57.As a result, the optical sheet 110d may increase the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50d.", "As described above, due to the optical sheet 110d including the light-converting material 111d applied to the edge portion thereof, the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50d is increased and the deviation of the color coordinate between the edge portion and a central portion of the backlight unit 50d is decreased.", "FIG.", "15 shows a side section of a display device according to further another embodiment.", "Referring to FIG.", "15, a display device 1e includes the main body 10 (11, 13, and 15), the display panel 20, the optical member 40, and a backlight unit 50e.", "The main body 10, the display panel 20, and the optical member 40 are the same as the main body 10 (see FIG.", "3), the display panel 20 (see FIG.", "3), and the optical member 40 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "In addition, the backlight unit 50e includes the light source 51, the light guide plate 53, the reflective sheet 55, the quantum dot sheet 57, and an optical sheet 110e.", "The light source 51, the light guide plate 53, the reflective sheet 55, and the quantum dot sheet 57 are the same as the light source 51 (see FIG.", "3), the light guide plate 53 (see FIG.", "3), the reflective sheet 55 (see FIG.", "3), and the quantum dot sheet 57 (see FIG.", "3) of the display device 1 (see FIG.", "3) according to an exemplary embodiment.", "Therefore, the description thereof is omitted.", "The optical sheet 110e is a film formed of a transparent material and may be provided at a front side of the quantum dot sheet 57.Also, an edge portion of the optical sheet 110e may include a light-converting material 111e.", "Here, the light-converting material 111e is a material which irradiates the visible light when the light is incident from the outside.", "Once the light (in particular, the blue light) is incident, the light-converting material 111e may convert some of the incident light into the yellow light or the green light and may transmit some of the incident light.", "As a result, once the light is incident on the light-converting material 111e, the light-converting material 111e may irradiate the white light including the blue, yellow, and green lights.", "The above optical sheet 110e may compensate a lack of the light recycling at an edge portion of the backlight unit 50e.", "In particular, the light-converting material 111e included in the edge portion of the optical sheet 110e may convert light L17 into the white light.", "Light L17 is monochromatic light (the blue light) emitted from the light source 51 provided at an edge of the backlight unit 50e, and is irradiated to the outside of the display device 1e through the light guide plate 53 and the quantum dot sheet 57.As a result, the optical sheet 110e may increase the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50e.", "As described above, due to the optical sheet 110e including the light-converting material 110e applied to the edge portion thereof, the ratio of the white light in the light irradiated from the edge portion of the backlight unit 50e is increased and the deviation of the color coordinate between the edge portion and a central portion of the backlight unit 50e is decreased.", "Although exemplary embodiments of the disclosure have been shown and described, it would be appreciated by those skilled in the art that changes may be made in these exemplary embodiments without departing from the principles and spirit of the exemplary embodiments, the scope of which is defined in the claims and their equivalents." ] ]
Patent_15875691
[ [ "Method of Treating Substance Addictions", "A pharmaceutical composition containing isomyosmine or a pharmaceutically acceptable salt thereof is administered to an individual in need thereof for treating a substance addiction, inclusive of addiction to heroin (diacetylmorphine), cocaine, opioids, methadone, d-methamphetamine, barbiturates, alcohol, benzodiazepines, amphetamines, or buprenorphine.", "The isomyosmine, along with optional additional therapeutic agent(s), may be administered in a capsule, tablet, or lozenge." ], [ "1.A method of treating a substance addiction comprising administering to an individual in need thereof a pharmaceutical composition comprising a therapeutically effective amount of isomyosmine or a pharmaceutically acceptable salt thereof, and a pharmaceutically acceptable vehicle therefor.", "2.The method of claim 1, wherein the substance addiction is an addiction to heroin.", "3.The method of claim 1, wherein the substance addiction is an addiction to cocaine.", "4.The method of claim 1, wherein the substance addiction is an addiction to opioids.", "5.The method of claim 1, wherein the substance addiction is an addiction to methadone.", "6.The method of claim 1, wherein the substance addiction is an addiction to d-methamphetamine.", "7.The method of claim 1, wherein the substance addiction is an addiction to barbiturates.", "8.The method of claim 1, wherein the substance addiction is an addiction to alcohol.", "9.The method of claim 1, wherein the substance addiction is an addiction to benzodiazepines.", "10.The method of claim 1, wherein the substance addiction is an addiction to amphetamines.", "11.The method of claim 1, wherein the substance addiction is an addiction to buprenorphine.", "12.The method of claim 1, wherein the pharmaceutical composition is administered via a capsule, tablet, or lozenge.", "13.The method of claim 1, wherein the pharmaceutical composition contains isomyosmine or a pharmaceutically acceptable salt thereof in an amount from about 5 mg to about 1,500 mg. 14.The method of claim 1, wherein the pharmaceutical composition contains isomyosmine or a pharmaceutically acceptable salt thereof in an amount from about 10 mg to about 1,200 mg. 15.The method of claim 1, wherein the pharmaceutical composition contains isomyosmine or a pharmaceutically acceptable salt thereof in an amount from about 50 mg to about 1,000 mg. 16.The method of claim 1, wherein the pharmaceutical composition contains isomyosmine or a pharmaceutically acceptable salt thereof in an amount from about 100 mg to about 850 mg. 17.The method of claim 1, wherein the pharmaceutical composition further comprises a second therapeutic agent.", "18.The method of claim 15, wherein the second therapeutic agent comprises an anti-inflammatory compound.", "19.The method of claim 15, wherein the pharmaceutical composition contains the second therapeutic agent in an amount from about 5 mg to about 1,500 mg. 20.The method of claim 1, wherein the pharmaceutical composition contains the second therapeutic agent in an amount from about 10 mg to about 1,200 mg." ], [ "<SOH> BACKGROUND <EOH>Monoamine oxidase (MAO) inhibitors can regulate the level of monoamines and their neurotransmitter release in different brain regions and in the body (including dopamine, norepinephrine, and serotonin).", "MAO inhibitors (MAOI) can thereby affect the modulation of neuroendocrine function, respiration, mood, motor control and function, focus and attention, concentration, memory and cognition, and the mechanisms of substance abuse.", "MAOI have been demonstrated to have effects on attention, cognition, appetite, substance abuse, memory, cardiovascular function, extrapyramidal function, pain and gastrointestinal motility and function.", "The distribution of MAO in the brain is widespread and includes the basal ganglia, cerebral cortex, limbic system, and mid and hind brain nuclei.", "In the peripheral tissue, the distribution includes muscle, the gastrointestinal tract, the cardiovascular system, autonomic ganglia, the liver, and the endocrinic system.", "Regulation of monoamine levels in the body has been shown to be effective in numerous disease states including depression, anxiety, stress disorders, and withdrawal symptoms, among others.", "It has been suggested that cigarette smoke may have irreversible inhibitory effect towards monoamine oxidase (MAO).", "A.", "A. Boulton et al., “Biogenic Amine Adducts, Monoamine Oxidase Inhibitors, and Smoking,” Lancet, 1 (8577):114-155 (1988), reported that the MAO inhibiting properties of cigarette smoke may help to explain the protective action of smoking against Parkinson's disease and also observed that patients with mental disorders who smoke heavily do not experience unusual rates of smoking induced disorders.", "It was suggested that smoking, as an MAOI, may protect against dopaminergic neurotoxicity that leads to Parkinson's disease and that the MAO inhibiting properties of smoking may result in an anti-depressive effect in mental patients.", "L. A. Carr et al., “Effects of Tobacco Smoke Constituents on MPTP-Induced Toxicity and Monoamine Oxidase Activity in the Mouse Brain,” Life Sciences, 48:1173 1177 (1991), found that nicotine, 4-phenylpyridine and hydrazine prevented the decrease in dopamine metabolite levels induced by 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) in mice, but there was no significant effect on dopamine levels.", "Because tobacco smoke particulate matter caused a marked inhibition of MAO-A and MAO-B activity when added in vitro, it was suggested that one or more unidentified substances in tobacco smoke are capable of inhibiting brain MAO and perhaps altering the formation of the active metabolite of MPTP.", "J. S. Fowler et al., “Inhibition of Monoamine Oxidase B in the Brain of Smokers,” Nature (Lond), 379(6567): 733 736 (1996), found that the brains of living smokers showed a 40% decrease in the level of MAO-B relative to nonsmokers or former smokers.", "MAO inhibition was also reported as being associated with decreased production of hydrogen peroxide.", "It has also been suggested that nicotine may not be the only constituent of tobacco responsible for tobacco addiction.", "J. Stephenson, “Clues Found to Tobacco Addiction,” Journal of the American Medical Association, 275(16): 1217 1218 (1996), discussing the work of Fowler, et al., pointed out that the brains of living smokers had less MAO-B compared with the brains of nonsmokers or former smokers.", "MAO-B is an enzyme involved in the breakdown of dopamine, which is a pleasure-enhancing neurotransmitter.", "The results suggested that the inhibition of MAO-B in the brains of smokers may make nicotine more addictive by slowing down the breakdown of dopamine, thereby boosting its levels.", "The findings provided an explanation as to why cigarette smokers were less susceptible to developing Parkinson's disease.", "Further, the findings suggested that MAOI could be used for smoking cessation.", "Williams et al.", "U.S. Pat.", "No.", "6,350,479 reported that the minor tobacco alkaloids anabasine, anatabine, and nornicotine exhibited MAO inhibitory effects against MAO-A and MAO-B.", "There remains a need for alternative therapies for treating substance addictions and for assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine." ], [ "<SOH> SUMMARY <EOH>In accordance with aspects disclosed herein, compositions containing isomyosmine or a pharmaceutically acceptable salt thereof may be administered to an individual in need thereof for treating substance addiction, such as tobacco- or other substance addiction, including smoking addiction, smokeless tobacco addiction, and other forms of nicotine dependence.", "A substance addiction may be, example, an addiction to heroin (diacetylmorphine), cocaine, opioids, methadone, d-methamphetamine, barbiturates, alcohol, benzodiazepines, amphetamines, or buprenorphine.", "In some examples, isomyosmine or a pharmaceutically acceptable salt thereof is administered, with or without other active agent(s), in a capsule, tablet, or lozenge.", "In other examples, isomyosmine or a pharmaceutically acceptable salt thereof is administered, with or without other active agent(s), via a chewing gum, inhalation spray, e-cigarette, or transdermal patch.", "The present inventor found that isomyosmine is a potent inhibitor of monoamine oxidase (MAO), including both MAO-A and MAO-B.", "Through these and/or other mechanisms (e.g., anti-inflammatory properties), isomyosmine may be particularly effective for treating substance addiction, inclusive of affecting smoking substitution and/or assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine." ], [ "CROSS REFERENCE TO RELATED APPLICATION This application is a continuation-in-part of U.S. application Ser.", "No.", "15/670,012, filed Aug. 7, 2017, now U.S. Pat.", "No.", "9,884,055, which is a continuation-in-part of International Application PCT/US2016/018047, filed Feb. 16, 2016, which claims priority under 35 U.S.C.", "§ 119(e) to U.S.", "Provisional Application No.", "62/118,136, filed Feb. 19, 2015, the disclosures of which are hereby incorporated by reference in their entireties.", "BACKGROUND Monoamine oxidase (MAO) inhibitors can regulate the level of monoamines and their neurotransmitter release in different brain regions and in the body (including dopamine, norepinephrine, and serotonin).", "MAO inhibitors (MAOI) can thereby affect the modulation of neuroendocrine function, respiration, mood, motor control and function, focus and attention, concentration, memory and cognition, and the mechanisms of substance abuse.", "MAOI have been demonstrated to have effects on attention, cognition, appetite, substance abuse, memory, cardiovascular function, extrapyramidal function, pain and gastrointestinal motility and function.", "The distribution of MAO in the brain is widespread and includes the basal ganglia, cerebral cortex, limbic system, and mid and hind brain nuclei.", "In the peripheral tissue, the distribution includes muscle, the gastrointestinal tract, the cardiovascular system, autonomic ganglia, the liver, and the endocrinic system.", "Regulation of monoamine levels in the body has been shown to be effective in numerous disease states including depression, anxiety, stress disorders, and withdrawal symptoms, among others.", "It has been suggested that cigarette smoke may have irreversible inhibitory effect towards monoamine oxidase (MAO).", "A.", "A. Boulton et al., “Biogenic Amine Adducts, Monoamine Oxidase Inhibitors, and Smoking,” Lancet, 1 (8577):114-155 (1988), reported that the MAO inhibiting properties of cigarette smoke may help to explain the protective action of smoking against Parkinson's disease and also observed that patients with mental disorders who smoke heavily do not experience unusual rates of smoking induced disorders.", "It was suggested that smoking, as an MAOI, may protect against dopaminergic neurotoxicity that leads to Parkinson's disease and that the MAO inhibiting properties of smoking may result in an anti-depressive effect in mental patients.", "L. A. Carr et al., “Effects of Tobacco Smoke Constituents on MPTP-Induced Toxicity and Monoamine Oxidase Activity in the Mouse Brain,” Life Sciences, 48:1173 1177 (1991), found that nicotine, 4-phenylpyridine and hydrazine prevented the decrease in dopamine metabolite levels induced by 1-methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP) in mice, but there was no significant effect on dopamine levels.", "Because tobacco smoke particulate matter caused a marked inhibition of MAO-A and MAO-B activity when added in vitro, it was suggested that one or more unidentified substances in tobacco smoke are capable of inhibiting brain MAO and perhaps altering the formation of the active metabolite of MPTP.", "J. S. Fowler et al., “Inhibition of Monoamine Oxidase B in the Brain of Smokers,” Nature (Lond), 379(6567): 733 736 (1996), found that the brains of living smokers showed a 40% decrease in the level of MAO-B relative to nonsmokers or former smokers.", "MAO inhibition was also reported as being associated with decreased production of hydrogen peroxide.", "It has also been suggested that nicotine may not be the only constituent of tobacco responsible for tobacco addiction.", "J. Stephenson, “Clues Found to Tobacco Addiction,” Journal of the American Medical Association, 275(16): 1217 1218 (1996), discussing the work of Fowler, et al., pointed out that the brains of living smokers had less MAO-B compared with the brains of nonsmokers or former smokers.", "MAO-B is an enzyme involved in the breakdown of dopamine, which is a pleasure-enhancing neurotransmitter.", "The results suggested that the inhibition of MAO-B in the brains of smokers may make nicotine more addictive by slowing down the breakdown of dopamine, thereby boosting its levels.", "The findings provided an explanation as to why cigarette smokers were less susceptible to developing Parkinson's disease.", "Further, the findings suggested that MAOI could be used for smoking cessation.", "Williams et al.", "U.S. Pat.", "No.", "6,350,479 reported that the minor tobacco alkaloids anabasine, anatabine, and nornicotine exhibited MAO inhibitory effects against MAO-A and MAO-B.", "There remains a need for alternative therapies for treating substance addictions and for assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine.", "SUMMARY In accordance with aspects disclosed herein, compositions containing isomyosmine or a pharmaceutically acceptable salt thereof may be administered to an individual in need thereof for treating substance addiction, such as tobacco- or other substance addiction, including smoking addiction, smokeless tobacco addiction, and other forms of nicotine dependence.", "A substance addiction may be, example, an addiction to heroin (diacetylmorphine), cocaine, opioids, methadone, d-methamphetamine, barbiturates, alcohol, benzodiazepines, amphetamines, or buprenorphine.", "In some examples, isomyosmine or a pharmaceutically acceptable salt thereof is administered, with or without other active agent(s), in a capsule, tablet, or lozenge.", "In other examples, isomyosmine or a pharmaceutically acceptable salt thereof is administered, with or without other active agent(s), via a chewing gum, inhalation spray, e-cigarette, or transdermal patch.", "The present inventor found that isomyosmine is a potent inhibitor of monoamine oxidase (MAO), including both MAO-A and MAO-B.", "Through these and/or other mechanisms (e.g., anti-inflammatory properties), isomyosmine may be particularly effective for treating substance addiction, inclusive of affecting smoking substitution and/or assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine.", "BRIEF DESCRIPTION OF THE DRAWINGS A more complete understanding of the present invention and certain advantages thereof may be acquired by referring to the following detailed description in consideration with the accompanying drawings, in which: FIG.", "1 is a graph showing the ability of isomyosmine, myosmine, anatabine, anabasine, and nornicotine to inhibit the enzymatic activity of MAO-A.", "FIG.", "2 is a graph showing the ability of isomyosmine, myosmine, anatabine, anabasine, and nornicotine to inhibit the activity of MAO-B.", "FIG.", "3 is a graph showing oxygen saturation (%) in individuals before and one hour after oral administration of isomyosmine.", "DETAILED DESCRIPTION Aspects of the present specification disclose, in part, a pharmaceutical composition.", "As used herein, the term “pharmaceutically acceptable” means any molecular entity or composition that does not produce an adverse, allergic or other untoward or unwanted reaction when administered to an individual.", "As used herein, the term “pharmaceutically acceptable composition” is synonymous with “pharmaceutical composition” and means a therapeutically effective concentration of an active ingredient, such as any of the therapeutic compounds disclosed herein.", "A pharmaceutical composition may be administered to an individual alone, or in combination with other supplementary active ingredients, agents, drugs or hormones.", "A pharmaceutical composition disclosed herein may include a pharmaceutically acceptable carrier that facilitates processing of an active ingredient into pharmaceutically acceptable compositions.", "As used herein, the term “pharmacologically acceptable carrier” is synonymous with “pharmacological carrier” and means any carrier that has substantially no long term or permanent detrimental effect when administered and encompasses terms such as “pharmacologically acceptable vehicle,” “stabilizer,” “diluent,” “additive,” “auxiliary” or “excipient.” Such a carrier generally is mixed with an active compound or permitted to dilute or enclose the active compound and can be a solid, semi-solid, or liquid agent.", "It is understood that the active ingredients can be soluble or can be delivered as a suspension in the desired carrier or diluent.", "Any of a variety of pharmaceutically acceptable carriers can be used including, without limitation, aqueous media such as, e.g., water, saline, glycine, hyaluronic acid and the like; solid carriers such as, e.g., mannitol, lactose, starch, magnesium stearate, sodium saccharin, talcum, cellulose, glucose, sucrose, magnesium carbonate, and the like; solvents; dispersion media; coatings; antibacterial and antifungal agents; isotonic and absorption delaying agents; or any other inactive ingredient.", "Selection of a pharmacologically acceptable carrier can depend on the mode of administration.", "Except insofar as any pharmacologically acceptable carrier is incompatible with the active ingredient, its use in pharmaceutically acceptable compositions is contemplated.", "Non-limiting examples of specific uses of such pharmaceutical carriers can be found in Pharmaceutical Dosage Forms and Drug Delivery Systems (Howard C. Ansel et al., eds., Lippincott Williams & Wilkins Publishers, 7th ed.", "1999); REMINGTON: THE SCIENCE AND PRACTICE OF PHARMACY (Alfonso R. Gennaro ed., Lippincott, Williams & Wilkins, 20th ed.", "2000); Goodman & Gilman's The Pharmacological Basis of Therapeutics (Joel G. Hardman et al., eds., McGraw-Hill Professional, 10th ed.", "2001); and Handbook of Pharmaceutical Excipients (Raymond C. Rowe et al., APhA Publications, 4th edition 2003).", "These protocols are routine procedures and any modifications are well within the scope of one skilled in the art and from the teaching herein.", "Unless otherwise clear from context, all percentages referred to herein are expressed as percent by weight based on the total weight of the composition.", "Percentages expressed herein as “w/v” refer to mass, in grams, of the component per 100 ml of solvent.", "For example, a 1% (w/v) composition of isomyosmine contains 1 g (1000 mg) of isomyosmine per 100 ml of solvent, which is equivalent to 10 mg/ml.", "Isomyosmine (3-(3,4-dihydro-2H-pyrrol-2-yl)-pyridine) is a nicotine related alkaloid present in solanecea plants containing nicotine.", "Isomyosmine may be prepared synthetically using known techniques, and also is commercially available from several chemical suppliers.", "Isomyosmine has two optical isomers (+/−) owing to an asymmetric carbon atom within its pyrrole ring that joins to the pyridine ring.", "Unless otherwise clear from context, the term “isomyosmine,” as used herein, is inclusive of enantiomeric mixtures (+/−) including racemic mixtures, as well as isolated forms of one or the other enantiomer.", "In some embodiments, isomyosmine may be adsorbed on a cation exchange resin such as polymethacrilic acid (Amberlite IRP64 or Purolite C115HMR), as described in U.S. Pat.", "No.", "3,901,248, the disclosure of which is hereby incorporated by reference in its entirety.", "Such cation exchange resins have been used commercially, for example, in nicotine replacement therapy, e.g., nicotine polacrilex.", "Unless otherwise clear from context, “isomyosmine” as used herein refers to both salt and non-salt forms of isomyosmine.", "Non-limiting examples of possible salts are described in P. H. Stahl et al., Handbook of Pharmaceutical Salts: Properties, Selection and Use, Weinheim/Zürich:Wiley-VCH/VHCA, 2002, including salts of 1-hydroxy-2-naphthoic acid, 2,2-dichloroacetic acid, 2-hydroxyethanesulfonic acid, 2-oxoglutaric acid, 4-acetamidobenzoic acid, 4-aminosalicylic acid, acetic acid, adipic acid, ascorbic acid (L), aspartic acid (L), benzenesulfonic acid, benzoic acid, camphoric acid (+), camphor-10-sulfonic acid (+), capric acid (decanoic acid), caproic acid (hexanoic acid), caprylic acid (octanoic acid), carbonic acid, cinnamic acid, citric acid, cyclamic acid, dodecylsulfuric acid, ethane-1,2-disulfonic acid, ethanesulfonic acid, formic acid, fumaric acid, galactaric acid, gentisic acid, glucoheptonic acid (D), gluconic acid (D), glucuronic acid (D), glutamic acid, glutaric acid, glycerophosphoric acid, glycolic acid, hippuric acid, hydrobromic acid, hydrochloric acid, isobutyric acid, lactic acid (DL), lactobionic acid, lauric acid, maleic acid, malic acid (−L), malonic acid, mandelic acid (DL), methanesulfonic acid, naphthalene-1,5-disulfonic acid, naphthalene-2-sulfonic acid, nicotinic acid, nitric acid, oleic acid, oxalic acid, palmitic acid, pamoic acid, phosphoric acid, proprionic acid, pyroglutamic acid (−L), salicylic acid, sebacic acid, stearic acid, succinic acid, sulfuric acid, tartaric acid (+L), thiocyanic acid, toluenesulfonic acid (p), and undecylenic acid.", "As an alternative to synthetic preparation, isomyosmine may be obtained by extraction from tobacco or other materials in which it occurs naturally.", "For example, tobacco material may extracted with a solvent, such as water, ethanol, steam, and/or carbon dioxide.", "The resulting solution contains the soluble components of the tobacco, including alkaloids such as nicotine, isomyosmine, and myosmine.", "Isomyosmine (as well as other extracted components, such as nicotine, if desired) may be purified using known techniques such as liquid chromatography.", "Nicotine and/or other alkaloid components, when used, likewise may be prepared synthetically or extracted from appropriate natural materials.", "In pharmaceutical applications, an isolated form of isomyosmine generally is used.", "An “isolated form of isomyosmine,” as used herein, refers to isomyosmine that either has been prepared synthetically or has been substantially separated from natural materials in which it occurs.", "The isolated form of isomyosmine should have a very high purity (including enantiomeric purity in the case where an enantiomer is used).", "In the case of synthetic isomyosmine, for example, purity refers to the ratio of the weight of isomyosmine to the weight of the end reaction product.", "In the case of isolating isomyosmine from native material, for example, purity refers to the ratio of the weight of isomyosmine to the total weight of the isomyosmine-containing extract.", "Usually, the level of purity is at least about 95%, more usually at least about 96%, about 97%, about 98%, or higher.", "For example, the level of purity may be about 98.5%, 99.0%, 99.1%, 99.2%, 99.3%, 99.4%, 99.5%, 99.6%, 99.7%, 99.8%, 99.9%, or higher.", "A pharmaceutical composition disclosed herein can optionally include, without limitation, other pharmaceutically acceptable components (or pharmaceutical components), including, without limitation, buffers, preservatives, tonicity adjusters, salts, antioxidants, osmolality adjusting agents, physiological substances, pharmacological substances, bulking agents, emulsifying agents, wetting agents, sweetening or flavoring agents, and the like.", "Various buffers and means for adjusting pH can be used to prepare a pharmaceutical composition disclosed herein, provided that the resulting preparation is pharmaceutically acceptable.", "Such buffers include, without limitation, acetate buffers, citrate buffers, phosphate buffers, neutral buffered saline, phosphate buffered saline and borate buffers.", "It is understood that acids or bases can be used to adjust the pH of a composition as needed.", "Pharmaceutically acceptable antioxidants include, without limitation, sodium metabisulfite, sodium thiosulfate, acetylcysteine, butylated hydroxyanisole and butylated hydroxytoluene.", "Useful preservatives include, without limitation, benzalkonium chloride, chlorobutanol, thimerosal, phenylmercuric acetate, phenylmercuric nitrate, a stabilized oxy chloro composition and chelants, such as, e.g., DTPA or DTPA-bisamide, calcium DTPA, and CaNaDTPA-bisamide.", "Tonicity adjustors useful in a pharmaceutical composition include, without limitation, salts such as, e.g., sodium chloride, potassium chloride, mannitol or glycerin and other pharmaceutically acceptable tonicity adjustor.", "The pharmaceutical composition may be provided as a salt and can be formed with many acids, including but not limited to, hydrochloric, sulfuric, acetic, lactic, tartaric, malic, succinic, etc.", "Salts tend to be more soluble in aqueous or other protonic solvents than are the corresponding free base forms.", "It is understood that these and other substances known in the art of pharmacology can be included in a pharmaceutical composition.", "Compositions may contain isomyosmine, alone or with other therapeutic compound(s).", "A therapeutic compound is a compound that provides pharmacological activity or other direct effect in the diagnosis, cure, mitigation, treatment, or prevention of disease, or to affect the structure or any function of the body of man or animals.", "A therapeutic compound disclosed herein may be used in the form of a pharmaceutically acceptable salt, solvate, or solvate of a salt, e.g., a hydrochloride.", "Additionally, therapeutic compound disclosed herein may be provided as racemates, or as individual enantiomers, including the R- or S-enantiomer.", "Thus, the therapeutic compound disclosed herein may comprise a R-enantiomer only, a S-enantiomer only, or a combination of both a R-enantiomer and a S-enantiomer of a therapeutic compound.", "In some aspects, the therapeutic compound may have anti-inflammatory activity, such as a non-steroidal anti-inflammatory drug (NSAID).", "NSAIDs are a large group of therapeutic compounds with analgesic, anti-inflammatory, and anti-pyretic properties.", "NSAIDs reduce inflammation by blocking cyclooxygenase.", "NSAIDs include, without limitation, aceclofenac, acemetacin, actarit, alcofenac, alminoprofen, amfenac, aloxipirin, aminophenazone, antraphenine, aspirin, azapropazone, benorilate, benoxaprofen, benzydamine, butibufen, celecoxib, chlorthenoxacin, choline salicylate, clometacin, dexketoprofen, diclofenac, diflunisal, emorfazone, epirizole; etodolac, etoricoxib, feclobuzone, felbinac, fenbufen, fenclofenac, flurbiprofen, glafenine, hydroxylethyl salicylate, ibuprofen, indometacin, indoprofen, ketoprofen, ketorolac, lactyl phenetidin, loxoprofen, lumiracoxib, mefenamic acid, meloxicam, metamizole, metiazinic acid, mofebutazone, mofezolac, nabumetone, naproxen, nifenazone, niflumic acid, oxametacin, phenacetin, pipebuzone, pranoprofen, propyphenazone, proquazone, protizinic acid, rofecoxib, salicylamide, salsalate, sulindac, suprofen, tiaramide, tinoridine, tolfenamic acid, valdecoxib, and zomepirac.", "NSAIDs may be classified based on their chemical structure or mechanism of action.", "Non-limiting examples of NSAIDs include a salicylate derivative NSAID, a p-amino phenol derivative NSAID, a propionic acid derivative NSAID, an acetic acid derivative NSAID, an enolic acid derivative NSAID, a fenamic acid derivative NSAID, a non-selective cyclooxygenase (COX) inhibitor, a selective cyclooxygenase-1 (COX-1) inhibitor, and a selective cyclooxygenase-2 (COX-2) inhibitor.", "An NSAID may be a profen.", "Examples of a suitable salicylate derivative NSAID include, without limitation, acetylsalicylic acid (aspirin), diflunisal, and salsalate.", "Examples of a suitable p-amino phenol derivative NSAID include, without limitation, paracetamol and phenacetin.", "Examples of a suitable propionic acid derivative NSAID include, without limitation, alminoprofen, benoxaprofen, dexketoprofen, fenoprofen, flurbiprofen, ibuprofen, indoprofen, ketoprofen, loxoprofen, naproxen, oxaprozin, pranoprofen, and suprofen.", "Examples of a suitable acetic acid derivative NSAID include, without limitation, aceclofenac, acemetacin, actarit, alcofenac, amfenac, clometacin, diclofenac, etodolac, felbinac, fenclofenac, indometacin, ketorolac, metiazinic acid, mofezolac, nabumetone, naproxen, oxametacin, sulindac, and zomepirac.", "Examples of a suitable enolic acid (oxicam) derivative NSAID include, without limitation, droxicam, isoxicam, lornoxicam, meloxicam, piroxicam, and tenoxicam.", "Examples of a suitable fenamic acid derivative NSAID include, without limitation, flufenamic acid, mefenamic acid, meclofenamic acid, and tolfenamic acid.", "Examples of a suitable selective COX-2 inhibitors include, without limitation, celecoxib, etoricoxib, firocoxib, lumiracoxib, meloxicam, parecoxib, rofecoxib, and valdecoxib.", "Isomyosmine may be administered for treating tobacco or other substance addiction, including promoting smoking cessation or otherwise assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine.", "Isomyosmine was found to be a potent inhibitor of monoamine oxidase (MAO), including both MAO-A and MAO-B.", "Through these and/or other mechanisms, pharmaceutical compositions containing isomyosmine may be particularly effective for treating tobacco addiction and/or for assisting individuals in reducing or eliminating cravings for nicotine or dependence on nicotine.", "A therapeutic compound disclosed herein may be an ester of a therapeutic compound.", "In general, an ester of a therapeutic compound increases the log P value relative to the same therapeutic compound without the ester modification.", "An ester group may be attached to a therapeutic compound by, e.g., a carboxylic acid or hydroxyl functional group present of the therapeutic compound.", "An ester of a therapeutic compound may have an increased hydrophobicity, and as such, may be dissolved in a reduced volume of solvent disclosed herein.", "In some instances, an ester of a therapeutic compound may be combined directly with an adjuvant disclosed herein, thereby eliminating the need of a solvent.", "An ester of a therapeutic compound may enable the making of a pharmaceutical composition disclosed herein, in situations where a non-esterified form of the same therapeutic compound is otherwise immiscible in a solvent disclosed herein.", "An ester of a therapeutic compound may still be delivered in a manner that more effectively inhibits a pro-inflammatory response as long as the compound is combined with an adjuvant disclosed herein.", "In one embodiment, a therapeutic compound may be reacted with ethyl ester in order to form an ethyl ester of the therapeutic compound.", "A pharmaceutical composition may comprise a therapeutic compound in an amount sufficient to allow customary administration to an individual.", "In aspects of this embodiment, a pharmaceutical composition disclosed herein may be, e.g., at least 5 mg, at least 10 mg, at least 15 mg, at least 20 mg, at least 25 mg, at least 30 mg, at least 35 mg, at least 40 mg, at least 45 mg, at least 50 mg, at least 55 mg, at least 60 mg, at least 65 mg, at least 70 mg, at least 75 mg, at least 80 mg, at least 85 mg, at least 90 mg, at least 95 mg, or at least 100 mg of a therapeutic compound.", "In other aspects of this embodiment, a pharmaceutical composition disclosed herein may be, e.g., at least 5 mg, at least 10 mg, at least 20 mg, at least 25 mg, at least 50 mg, at least 75 mg, at least 100 mg, at least 200 mg, at least 300 mg, at least 400 mg, at least 500 mg, at least 600 mg, at least 700 mg, at least 800 mg, at least 900 mg, at least 1,000 mg, at least 1,100 mg, at least 1,200 mg, at least 1,300 mg, at least 1,400 mg, or at least 1,500 mg of a therapeutic compound.", "In yet other aspects of this embodiment, a pharmaceutical composition disclosed herein may be in the range of, e.g., about 5 mg to about 100 mg, about 10 mg to about 100 mg, about 50 mg to about 150 mg, about 100 mg to about 250 mg, about 150 mg to about 350 mg, about 250 mg to about 500 mg, about 350 mg to about 600 mg, about 500 mg to about 750 mg, about 600 mg to about 900 mg, about 750 mg to about 1,000 mg, about 850 mg to about 1,200 mg, or about 1,000 mg to about 1,500 mg.", "In still other aspects of this embodiment, a pharmaceutical composition disclosed herein may be in the range of, e.g., about 10 mg to about 250 mg, about 10 mg to about 500 mg, about 10 mg to about 750 mg, about 10 mg to about 1,000 mg, about 10 mg to about 1,500 mg, about 50 mg to about 250 mg, about 50 mg to about 500 mg, about 50 mg to about 750 mg, about 50 mg to about 1,000 mg, about 50 mg to about 1,500 mg, about 100 mg to about 250 mg, about 100 mg to about 500 mg, about 100 mg to about 750 mg, about 100 mg to about 1,000 mg, about 100 mg to about 1,500 mg, about 200 mg to about 500 mg, about 200 mg to about 750 mg, about 200 mg to about 1,000 mg, about 200 mg to about 1,500 mg, about 5 mg to about 1,500 mg, about 5 mg to about 1,000 mg, or about 5 mg to about 250 mg. Pharmaceutical compositions as described herein may include a pharmaceutically acceptable solvent.", "A solvent is a liquid, solid, or gas that dissolves another solid, liquid, or gaseous (the solute), resulting in a solution.", "Solvents useful in the pharmaceutical compositions include, without limitation, a pharmaceutically acceptable polar aprotic solvent, a pharmaceutically acceptable polar protic solvent and a pharmaceutically acceptable non-polar solvent.", "A pharmaceutically acceptable polar aprotic solvent includes, without limitation, dichloromethane (DCM), tetrahydrofuran (THF), ethyl acetate, acetone, dimethylformamide (DMF), acetonitrile (MeCN), dimethyl sulfoxide (DMSO).", "A pharmaceutically acceptable polar protic solvent includes, without limitation, acetic acid, formic acid, ethanol, n-butanol, 1-butanol, 2-butanol, isobutanol, sec-butanol, tert-butanol, n-propanol, isopropanol, 1,2 propan-diol, methanol, glycerol, and water.", "A pharmaceutically acceptable non-polar solvent includes, without limitation, pentane, cyclopentane, hexane, cyclohexane, benzene, toluene, 1,4-dioxane, chloroform, n-methyl-pyrrilidone (NMP), and diethyl ether.", "A pharmaceutical composition disclosed herein may comprise a solvent in an amount sufficient to dissolve a therapeutic compound disclosed herein.", "In other aspects of this embodiment, a pharmaceutical composition disclosed herein may comprise a solvent in an amount of, e.g., less than about 90% (v/v), less than about 80% (v/v), less than about 70% (v/v), less than about 65% (v/v), less than about 60% (v/v), less than about 55% (v/v), less than about 50% (v/v), less than about 45% (v/v), less than about 40% (v/v), less than about 35% (v/v), less than about 30% (v/v), less than about 25% (v/v), less than about 20% (v/v), less than about 15% (v/v), less than about 10% (v/v), less than about 5% (v/v), or less than about 1% (v/v).", "In other aspects of this embodiment, a pharmaceutical composition disclosed herein may comprise a solvent in an amount in a range of, e.g., about 1% (v/v) to 90% (v/v), about 1% (v/v) to 70% (v/v), about 1% (v/v) to 60% (v/v), about 1% (v/v) to 50% (v/v), about 1% (v/v) to 40% (v/v), about 1% (v/v) to 30% (v/v), about 1% (v/v) to 20% (v/v), about 1% (v/v) to 10% (v/v), about 2% (v/v) to 50% (v/v), about 2% (v/v) to 40% (v/v), about 2% (v/v) to 30% (v/v), about 2% (v/v) to 20% (v/v), about 2% (v/v) to 10% (v/v), about 4% (v/v) to 50% (v/v), about 4% (v/v) to 40% (v/v), about 4% (v/v) to 30% (v/v), about 4% (v/v) to 20% (v/v), about 4% (v/v) to 10% (v/v), about 6% (v/v) to 50% (v/v), about 6% (v/v) to 40% (v/v), about 6% (v/v) to 30% (v/v), about 6% (v/v) to 20% (v/v), about 6% (v/v) to 10% (v/v), about 8% (v/v) to 50% (v/v), about 8% (v/v) to 40% (v/v), about 8% (v/v) to 30% (v/v), about 8% (v/v) to 20% (v/v), about 8% (v/v) to 15% (v/v), or about 8% (v/v) to 12% (v/v).", "In one embodiment, a solvent may comprise a pharmaceutically acceptable alcohol.", "As used herein, the term “alcohol” refers to an organic molecule comprising a hydroxyl functional group (—OH) bonded to a carbon atom, where the carbon atom is saturated.", "In aspects of this embodiment, the alcohol may be, e.g., a C1-4 alcohol, a C2-4 alcohol, a C1-5 alcohol, a C1-7 alcohol, a C1-10 alcohol, a C1-15 alcohol, or a C1-20 alcohol.", "In other aspects of this embodiment, an alcohol may be, e.g., a primary alcohol, a secondary alcohol, or a tertiary alcohol.", "In other aspects of this embodiment, an alcohol may be, e.g., an acyclic alcohol, a monohydric alcohol, a polyhydric alcohol (also known as a polyol or sugar alcohol), an unsaturated aliphatic alcohol, an alicyclic alcohol, or a combination thereof.", "Examples of a monohydric alcohol include, without limitation, methanol, ethanol, propanol, butanol, pentanol, and 1-hexadecanol.", "Examples of a polyhydric alcohol include, without limitation, glycol, glycerol, arabitol, erythritol, xylitol, maltitol, sorbitol (gluctiol), mannitol, inositol, lactitol, galactitol (iditol), and isomalt.", "Examples of an unsaturated aliphatic alcohol include, without limitation, prop-2-ene-1-ol, 3,7-dimethylocta-2,6-dien-1-ol, and prop-2-in-1-ol.", "Examples of an alicyclic alcohol include, without limitation, cyclohexane-1,2,3,4,5,6-hexyl and 2-(2-propyl)-5-methyl-cyclohexane-1-ol.", "In another embodiment, a solvent may comprise an ester of pharmaceutically acceptable alcohol and an acid.", "Suitable pharmaceutically acceptable alcohols include the ones disclosed herein.", "Suitable acids include, without limitation, acetic acid, butaric acid, and formic acid.", "An ester of an alcohol and an acid include, without limitation, methyl acetate, methyl buterate, methyl formate, ethyl acetate, ethyl buterate, ethyl formate, propyl acetate, propyl buterate, propyl formate, butyl acetate, butyl buterate, butyl formate, isobutyl acetate, isobutyl buterate, isobutyl formate, pentyl acetate, pentyl buterate, pentyl formate, and 1-hexadecyl acetate, 1-hexadecyl buterate, and 1-hexadecyl formate.", "In another embodiment, a solvent may comprise a pharmaceutically acceptable polyethylene glycol (PEG) polymer.", "PEG polymers, also known as polyethylene oxide (PEO) polymers or polyoxyethylene (POE) polymers, are prepared by polymerization of ethylene oxide and are commercially available over a wide range of molecular weights from 100 g/mol to 10,000,000 g/mol.", "PEG polymers with a low molecular mass are liquids or low-melting solids, whereas PEG polymers of a higher molecular mass are solids.", "A PEG polymer include, without limitation, PEG 100, PEG 200, PEG 300, PEG 400, PEG 500, PEG 600, PEG 700, PEG 800, PEG 900, PEG 1000, PEG 1100, PEG 1200, PEG 1300, PEG 1400, PEG 1500, PEG 1600, PEG 1700, PEG 1800, PEG 1900, PEG 2000, PEG 2100, PEG 2200, PEG 2300, PEG 2400, PEG 2500, PEG 2600, PEG 2700, PEG 2800, PEG 2900, PEG 3000, PEG 3250, PEG 3350, PEG 3500, PEG 3750, PEG 4000, PEG 4250, PEG 4500, PEG 4750, PEG 5000, PEG 5500, PEG 6000, PEG 6500, PEG 7000, PEG 7500, PEG 8000, PEG 8500, PEG 9000, PEG 9500, PEG 10,000, PEG 11,000, PEG 12,000, PEG 13,000, PEG 14,000, PEG 15,000, PEG 16,000, PEG 17,000, PEG 18,000, PEG 19,000, or PEG 20,000.In another embodiment, a solvent may comprise a pharmaceutically acceptable glyceride.", "Glycerides comprise a substituted glycerol, where one, two, or all three hydroxyl groups of the glycerol are each esterified using a fatty acid to produce monoglycerides, diglycerides, and triglycerides, respectively.", "In these compounds, each hydroxyl groups of glycerol may be esterified by different fatty acids.", "Additionally, glycerides may be acetylated to produce acetylated monoglycerides, acetylated diglycerides, and acetylated triglycerides.", "In one embodiment, a solvent may comprise a pharmaceutically acceptable solid solvent.", "Solid solvents may be useful in the manufacture of a solid dose formulation of a pharmaceutical composition disclosed herein.", "Typically, a solid solvent is melted in order to dissolve a therapeutic compound.", "A pharmaceutically acceptable solid solvent includes, without limitation, menthol and PEG polymers described above.", "Aspects of the present specification disclose, in part, a pharmaceutically acceptable adjuvant.", "An adjuvant is a pharmacological agent that modifies the effect of other agents, such as one or more therapeutic compounds disclosed herein.", "In addition, an adjuvant disclosed herein may be used as a solvent that dissolves a therapeutic compound disclosed herein, forming an adjuvant solution.", "An adjuvant may facilitate delivery of a therapeutic compound in a manner that more effectively inhibits a pro-inflammatory response.", "In one embodiment, an adjuvant facilitates the delivery of a therapeutic compound into macrophages.", "A pharmaceutical composition may comprise a pharmaceutically acceptable adjuvant in an amount sufficient to mix with a solution or an emulsion.", "In other aspects of this embodiment, a pharmaceutical composition may comprise an adjuvant in an amount of, e.g., at least 10% (v/v), at least 20% (v/v), at least 30% (v/v), at least 35% (v/v), at least 40% (v/v), at least 45% (v/v), at least 50% (v/v), at least 55% (v/v), at least 60% (v/v), at least 65% (v/v), at least 70% (v/v), at least 75% (v/v), at least 80% (v/v), at least 85% (v/v), at least 90% (v/v), at least 95% (v/v), or at least 99% (v/v).", "In other aspects of this embodiment, a pharmaceutical composition may comprise an adjuvant in an amount in a range of, e.g., about 30% (v/v) to about 99% (v/v), about 35% (v/v) to about 99% (v/v), about 40% (v/v) to about 99% (v/v), about 45% (v/v) to about 99% (v/v), about 50% (v/v) to about 99% (v/v), about 30% (v/v) to about 98% (v/v), about 35% (v/v) to about 98% (v/v), about 40% (v/v) to about 98% (v/v), about 45% (v/v) to about 98% (v/v), about 50% (v/v) to about 98% (v/v), about 30% (v/v) to about 95% (v/v), about 35% (v/v) to about 95% (v/v), about 40% (v/v) to about 95% (v/v), about 45% (v/v) to about 95% (v/v), or about 50% (v/v) to about 95% (v/v).", "In yet other aspects of this embodiment, a pharmaceutical composition may comprise an adjuvant in an amount in a range of, e.g., about 70% (v/v) to about 97% (v/v), about 75% (v/v) to about 97% (v/v), about 80% (v/v) to about 97% (v/v), about 85% (v/v) to about 97% (v/v), about 88% (v/v) to about 97% (v/v), about 89% (v/v) to about 97% (v/v), about 90% (v/v) to about 97% (v/v), about 75% (v/v) to about 96% (v/v), about 80% (v/v) to about 96% (v/v), about 85% (v/v) to about 96% (v/v), about 88% (v/v) to about 96% (v/v), about 89% (v/v) to about 96% (v/v), about 90% (v/v) to about 96% (v/v), about 75% (v/v) to about 93% (v/v), about 80% (v/v) to about 93% (v/v), about 85% (v/v) to about 93% (v/v), about 88% (v/v) to about 93% (v/v), about 89% (v/v) to about 93% (v/v), or about 90% (v/v) to about 93% (v/v).", "In one embodiment, an adjuvant may be a pharmaceutically acceptable lipid.", "A lipid may be broadly defined as a hydrophobic or amphiphilic small molecule.", "The amphiphilic nature of some lipids allows them to form structures such as vesicles, liposomes, or membranes in an aqueous environment.", "Non-limiting examples, of lipids include fatty acids, glycerolipids (like monoglycerides, diglycerides, and triglycerides), phospholipids, sphingolipids, sterol lipids, prenol lipids, saccharolipids, and polyketides.", "A pharmaceutical composition disclosed herein may comprise a lipid such as, e.g.", "an oil, an oil-based liquid, a fat, a fatty acid, a wax, a fatty acid ester, a fatty acid salt, a fatty alcohol, a glyceride (mono-, di- or tri-glyceride), a phospholipids, a glycol ester, a sucrose ester, a glycerol oleate derivative, a medium chain triglyceride, or a mixture thereof.", "A lipid useful in the pharmaceutical compositions may be a pharmaceutically acceptable fatty acid.", "A fatty acid comprises a carboxylic acid with a long unbranched hydrocarbon chain which may be either saturated or unsaturated.", "Thus arrangement confers a fatty acid with a polar, hydrophilic end, and a nonpolar, hydrophobic end that is insoluble in water.", "Most naturally occurring fatty acids have a hydrocarbon chain of an even number of carbon atoms, typically between 4 and 24 carbons, and may be attached to functional groups containing oxygen, halogens, nitrogen, and sulfur.", "Synthetic or non-natural fatty acids may have a hydrocarbon chain of any number of carbon atoms from between 3 and 40 carbons.", "Where a double bond exists, there is the possibility of either a cis or a trans geometric isomerism, which significantly affects the molecule's molecular configuration.", "Cis-double bonds cause the fatty acid chain to bend, an effect that is more pronounced the more double bonds there are in a chain.", "Most naturally occurring fatty acids are of the cis configuration, although the trans form does exist in some natural and partially hydrogenated fats and oils.", "Examples of fatty acids include, without limitation, capryllic acid (8:0), pelargonic acid (9:0), capric acid (10:0), undecylic acid (11:0), lauric acid (12:0), tridecylic acid (13:0), myristic acid (14:0), myristoleic acid (14:1), pentadecyclic acid (15:0), palmitic acid (16:0), palmitoleic acid (16:1), sapienic acid (16:1), margaric acid (17:0), stearic acid (18:0), oleic acid (18:1), elaidic acid (18:1), vaccenic acid (18:1), linoleic acid (18:2), linoelaidic acid (18:2), α-linolenic acid (18:3), γ-linolenic acid (18:3), stearidonic acid (18:4), nonadecylic acid (19:0), arachidic acid (20:0), eicosenoic acid (20:1), dihomo-γ-linolenic acid (20:3), mead acid (20:3), arachidonic acid (20:4), eicosapentaenoic acid (20:5), heneicosylic acid (21:0), behenic acid (22:0), erucic acid (22:1), docosahexaenoic acid (22:6), tricosylic acid (23:0), lignoceric acid (24:0), nervonic acid (24:1), pentacosylic acid (25:0), cerotic acid (26:0), heptacosylic acid (27:0), montanic acid (28:0), nonacosylic acid (29:0), melissic acid (30:0), henatriacontylic acid (31:0), lacceroic acid (32:0), psyllic acid (33:0), geddic acid (34:0), ceroplastic acid (35:0), and hexatriacontylic acid (36:0).", "An adjuvant may be a pharmaceutically acceptable saturated or unsaturated fatty acid.", "A saturated or unsaturated fatty acid may comprise, e.g., at least 8, at least 10, at least 12, at least 14, at least 16, at least 18, at least 20, at least 22, at least 24, at least 26, at least 28, or at least 30 carbon atoms.", "In some instances, a saturated or unsaturated fatty acid comprises, e.g., between 4 and 24 carbon atoms, between 6 and 24 carbon atoms, between 8 and 24 carbon atoms, between 10 and 24 carbon atoms, between 12 and 24 carbon atoms, between 14 and 24 carbon atoms, or between 16 and 24 carbon atoms, between 4 and 22 carbon atoms, between 6 and 22 carbon atoms, between 8 and 22 carbon atoms, between 10 and 22 carbon atoms, between 12 and 22 carbon atoms, between 14 and 22 carbon atoms, or between 16 and 22 carbon atoms, between 4 and 20 carbon atoms, between 6 and 20 carbon atoms, between 8 and 20 carbon atoms, between 10 and 20 carbon atoms, between 12 and 20 carbon atoms, between 14 and 20 carbon atoms, or between 16 and 20 carbon atoms.", "If unsaturated, the fatty acid may have, e.g., 1 or more, 2 or more, 3 or more, 4 or more, 5 or more, or 6 or more double bonds.", "A pharmaceutically acceptable saturated or unsaturated fatty acid may be liquid at room temperature.", "The melting point of a fatty acid is largely determined by the degree of saturation/unsaturation of the hydrocarbon chain.", "In aspects of this embodiment, a saturated or unsaturated fatty acid has a melting point temperature of, e.g., 20° C. or below, 15° C. or below, 10° C. or below, 5° C. or below, 0° C. or below, −5° C. or below, −10° C. or below, −15° C. or below, or −20° C. or below.", "In other aspects of this embodiment, a saturated or unsaturated fatty acid has a melting point temperature in the range of, e.g., about −20° C. to about 20° C., about −20° C. to about 18° C., about −20° C. to about 16° C., about −20° C. to about 12° C., about −20° C. to about 8° C., about −20° C. to about 4° C., about −20° C. to about 0° C., about −15° C. to about 20° C., about −15° C. to about 18° C., about −15° C. to about 16° C., about −15° C. to about 12° C., about −15° C. to about 8° C., about −15° C. to about 4° C., or about −15° C. to about 0° C. An adjuvant may comprise one kind of pharmaceutically acceptable fatty acid.", "An adjuvant may comprise, for example, only palmitic acid, only stearic acid, only oleic acid, only linoleic acid, or only linolenic acid.", "Alternatively, an adjuvant may comprise a plurality of different pharmaceutically acceptable fatty acids.", "An adjuvant may comprise, e.g., two or more different fatty acids, three or more different fatty acids, four or more different fatty acids, five or more different fatty acids, or six or more different fatty acids.", "An adjuvant may comprise two or more different pharmaceutically acceptable fatty acids including at least palmitic acid, stearic acid, oleic acid, linoleic acid and/or linolenic acid, and any combination thereof.", "An adjuvant may comprise a ratio of palmitic acid and/or stearic acid and/or oleic acid:linolenic acid and/or linoleic acid of, e.g., at least 2:1, at least 3:1, at least 4:1, at least 5:1, at least 6:1, at least 7:1, at least 8:1, at least 9:1, at least 10:1, at least 15:1, or at least 20:1.In some examples, an adjuvant may comprise a ratio of palmitic acid and/or stearic acid and/or oleic acid:linolenic acid and/or linoleic acid in a range of, e.g., about 1:1 to about 20:1, about 2:1 to about 15:1, about 4:1 to about 12:1, or about 6:1 to about 10:1.An adjuvant may comprise four or more different pharmaceutically acceptable fatty acids including at least palmitic acid, stearic acid, oleic acid, linoleic acid and/or linolenic acid, and any combination thereof.", "In other aspects of this embodiment, an adjuvant may comprise a ratio of palmitic acid:stearic acid:linolenic acid:linoleic acid of, e.g., 10:10:1:1, 9:9:1:1, 8:8:1:1, 7:7:1:1, 6:6:1:1, 5:5:1:1, 4:4:1:1, 3:3:1:1, 2:2:1:1, or 1:1:1:1.In other aspects of this embodiment, an adjuvant may comprise a ratio of palmitic acid:stearic acid:linolenic acid:linoleic acid in a range of, e.g., about 10:10:1:1 to about 6:6:1:1, about 8:8:1:1 to about 4:4:1:1, or about 5:5:1:1 to about 1:1:1:1.A lipid useful in the pharmaceutical compositions may be a pharmaceutically acceptable omega fatty acid.", "Non-limiting examples of an omega fatty acid include omega-3, omega-6, and omega-9.Omega-3 fatty acids (also known as n-3 fatty acids or ω-3 fatty acids) are a family of essential unsaturated fatty acids that have in common a final carbon-carbon double bond in the n-3 position, that is, the third bond, counting from the methyl end of the fatty acid.", "The omega-3 fatty acids are “essential” fatty acids because they are vital for normal metabolism and cannot be synthesized by the human body.", "An omega-3 fatty acid includes, without limitation, hexadecatrienoic acid (16:3), α-linolenic acid (18:3), stearidonic acid (18:4), eicosatrienoic acid (20:3), eicosatetraenoic acid (20:4), eicosapentaenoic acid (20:5), heneicosapentaenoic acid (21:5), docosapentaenoic acid (22:5), clupanodonic acid (22:5), docosahexaenoic acid (22:6), tetracosapentaenoic acid (24:5), and tetracosahexaenoic acid (nisinic acid) (24:6).", "Omega-6 fatty acids (also known as n-6 fatty acids or ω-6 fatty acids) are a family of unsaturated fatty acids that have in common a final carbon-carbon double bond in the n-6 position, that is, the sixth bond, counting from the methyl end of the fatty acid.", "An omega-6 fatty acid includes, without limitation, linoleic acid (18:2), gamma-linolenic acid (18:3), calendic acid (18:3), eicosadienoic acid (20:2), dihomo-gamma-linolenic acid (20:3), arachidonic acid (20:4), docosadienoic acid (22:2), adrenic acid (22:4), docosapentaenoic acid (22:5), tetracosatetraenoic acid (24:4), and tetracosapentaenoic acid (24:5).", "Omega-9 fatty acids (also known as n-9 fatty acids or ω-9 fatty acids) are a family of unsaturated fatty acids that have in common a final carbon-carbon double bond in the n-9 position, that is, the ninth bond, counting from the methyl end of the fatty acid.", "An omega-9 fatty acid includes, without limitation, oleic acid (18:1), elaidic acid (18:1), eicosenoic acid (20:1), mead acid (20:3), erucic acid (22:1), and nervonic acid (24:1).", "A lipid useful in the pharmaceutical compositions disclosed herein may be a pharmaceutically acceptable oil.", "An oil includes any fatty acid that is liquid at normal room temperature, such as, e.g.", "about 20° C. In contrast, a fat includes any fatty acid that is solid at normal room temperature, such as, e.g.", "about 20° C. An oil suitable as a lipid useful in the pharmaceutical compositions disclosed herein, may be a natural oil or a vegetable oil.", "Examples of suitable natural oils include, without limitation, mineral oil, triacetin, ethyl oleate, a hydrogenated natural oil, or a mixture thereof.", "Examples of suitable vegetable oils include, without limitation, almond oil, arachis oil, avocado oil, canola oil, castor oil, coconut oil, corn oil, cottonseed oil, grape seed oil, hazelnut oil, hemp oil, linseed oil (flax seed oil), olive oil, palm oil, peanut oil, rapeseed oil, rice bran oil, safflower oil, sesame oil, soybean oil, soya oil, sunflower oil, walnut oil, wheat germ oil, or a mixture thereof.", "Each of these oils is commercially available from a number of sources well recognized by those skilled in the art.", "An oil is typically a mixture of various fatty acids.", "For example, rapeseed oil, obtained from the seeds of brassica napus, includes both omega-6 and omega-3 fatty acids in a ratio of about 2:1.As another example, linseed oil, obtained from the seeds of linum usitatissimum, includes about 7% palmitic acid, about 3.4-4.6% stearic acid, about 18.5-22.6% oleic acid, about 14.2-17% linoleic acid, and about 51.9-55.2% α-linolenic acid.", "In some instances, a pharmaceutical composition comprises an oil including at least two different fatty acids, at least three different fatty acids, at least four different fatty acids, at least five different fatty acids, or at least six different fatty acids.", "A lipid useful in the pharmaceutical compositions may be a pharmaceutically acceptable glycerolipid.", "Glycerolipids are composed mainly of mono-, di-, and tri-substituted glycerols.", "One group of glycerolipids is the glycerides, where one, two, or all three hydroxyl groups of glycerol are each esterified using a fatty acid to produce monoglycerides, diglycerides, and triglycerides, respectively.", "In these compounds, each hydroxyl groups of glycerol may be esterified by different fatty acids.", "Additionally, glycerides may be acetylated to produce acetylated monoglycerides, acetylated diglycerides, and acetylated triglycerides.", "One group of glycerolipids is the glycerides, where one, two, or all three hydroxyl groups of glycerol have sugar residues attached via a glycosidic linkage.", "In some instances, compositions may include one or more pharmaceutically acceptable stabilizing agents.", "A stabilizing agent reduces or eliminates formation of esters of a therapeutic compound that may result as a unwanted reaction with the particular solvent used.", "A stabilizing agent include, without limitation, water, a sacrificial acid comprising a fatty acid component and acetic acid, ethyl acetate, a sodium acetate/acetic acid (E262), a monoglyceride, an acetylated monoglyceride, a diglyceride, an acetylated monoglyceride, an acetylated diglyceride, a fatty acid, and a fatty acid salt.", "In one embodiment, a pharmaceutically acceptable stabilizing agent may comprise a pharmaceutically acceptable emulsifying agent.", "An emulsifying agent (also known as an emulgent) is a substance that stabilizes an emulsion comprising a liquid dispersed phase and a liquid continuous phase by increasing its kinetic stability.", "Thus, in situations where the solvent and adjuvant used to make a pharmaceutical composition disclosed herein are normally immiscible, an emulsifying agent disclosed herein is used to create a homogenous and stable emulsion.", "An emulsifying agent includes, without limitation, a surfactant, a polysaccharide, a lectin, and a phospholipid.", "An emulsifying agent may comprise a surfactant.", "As used hereon, the term “surfactant” refers to a natural or synthetic amphiphilic compound.", "A surfactant can be non-ionic, zwitterionic, or ionic.", "Non-limiting examples of surfactants include polysorbates like polysorbate 20 (TWEEN® 20), polysorbate 40 (TWEEN® 40), polysorbate 60 (TWEEN® 60), polysorbate 61 (TWEEN® 61), polysorbate 65 (TWEEN® 65), polysorbate 80 (TWEEN® 80), and polysorbate 81 (TWEEN® 81); poloxamers (polyethylene-polypropylene copolymers), such as Poloxamer 124 (PLURONIC® L44), Poloxamer 181 (PLURONIC® L61), Poloxamer 182 (PLURONIC® L62), Poloxamer 184 (PLURONIC® L64), Poloxamer 188 (PLURONIC® F68), Poloxamer 237 (PLURONIC® F87), Poloxamer 338 (PLURONIC® L108), Poloxamer 407 (PLURONIC® F127), polyoxyethyleneglycol dodecyl ethers, such as BRIJ® 30, and BRIJ® 35; 2-dodecoxyethanol (LUBROL®-PX); polyoxyethylene octyl phenyl ether (TRITON® X-100); sodium dodecyl sulfate (SDS); 3-[(3-cholamidopropyl)dimethylammonio]-1-propanesulfonate (CHAPS); 3-[(3-cholamidopropyl)dimethylammonio]-2-hydroxy-1-propanesulfonate (CHAPSO); sucrose monolaurate; and sodium cholate.", "Other non-limiting examples of surfactant excipients can be found in, e.g., Ansel, supra, (1999); Gennaro, supra, (2000); Hardman, supra, (2001); and Rowe, supra, (2003), each of which is hereby incorporated by reference in its entirety.", "An emulsifying agent may comprise a polysaccharide.", "Non-limiting examples of polysaccharides include guar gum, agar, alginate, calgene, a dextran (like dextran 1K, dextran 4K, dextran 40K, dextran 60K, and dextran 70K), dextrin, glycogen, inulin, starch, a starch derivative (like hydroxymethyl starch, hydroxyethyl starch, hydroxypropyl starch, hydroxybutyl starch, and hydroxypentyl starch), hetastarch, cellulose, FICOLL, methyl cellulose (MC), carboxymethyl cellulose (CMC), hydroxyethyl cellulose (HEC), hydroxypropyl cellulose (HPC), hydroxyethyl methyl cellulose (NEMC), hydroxypropyl methyl cellulose (HPMC); polyvinyl acetates (PVA); polyvinyl pyrrolidones (PVP), also known as povidones, having a K-value of less than or equal to 18, a K-value greater than 18 or less than or equal to 95, or a K-value greater than 95, like PVP 12 (KOLLIDON® 12), PVP 17 (KOLLIDON® 17), PVP 25 (KOLLIDON® 25), PVP 30 (KOLLIDON® 30), PVP 90 (KOLLIDON® 90); and polyethylene imines (PEI).", "An emulsifying agent may comprise a lectin.", "Lectins are sugar-binding proteins that are highly specific for their sugar moieties.", "Lectins may be classified according to the sugar moiety that they bind to, and include, without limitation, mannose-binding lectins, galactose/N-acetylgalactosamine-binding lectins, N-acetylgluxosamine-binding lectins, N-acetylneuramine-binding lectins, N-acetylneuraminic acid-binding lectins, and fucose-binding lectins.", "Non-limiting examples of surfactants include concanavain A, lentil lectin, snowdrop lectin, Roin, peanut agglutinin, jacain, hairy vetch lectin, wheat germ agglutinin, elderberry lectin, Maackia anurensis leukoagglutinin, Maackia anurensis hemoagglutinin, Ulex europaeus agglutinin, and Aleuria aurantia lectin.", "An emulsifying agent may comprise a phospholipid.", "The structure of the phospholipid generally comprises a hydrophobic tail and a hydrophilic head and is amphipathic in nature.", "Most phospholipids contain a diglyceride, a phosphate group, and a simple organic molecule such as choline; one exception to this rule is sphingomyelin, which is derived from sphingosine instead of glycerol.", "Phospholipids include, without limitation, diacylglycerides and phosphosphingolipids.", "Non-limiting examples of diacylglycerides include a phosphatidic acid (phosphatidate) (PA), a phosphatidylethanolamine (cephalin) (PE), a phosphatidylcholine (lecithin) (PC), a phosphatidylserine (PS), and a phosphoinositide including phosphatidylinositol (PI), phosphatidylinositol phosphate (PIP), phosphatidylinositol bisphosphate (PIP2), and phosphatidylinositol triphosphate (PIP3).", "Non-limiting examples of phosphosphingolipids include a ceramide phosphorylcholine (sphingomyelin) (SPH), ceramide phosphorylethanolamine (sphingomyelin) (Cer-PE), and ceramide phosphorylglycerol.", "The final concentration of a therapeutic compound in a pharmaceutical composition disclosed herein may vary over a wide range and generally may be characterized as a therapeutically effective amount.", "In some aspects, the final concentration of a therapeutic compound in a pharmaceutical composition may be, e.g., at least 0.00001 mg/mL, at least 0.0001 mg/mL, at least 0.001 mg/mL, at least 0.01 mg/mL, at least 0.1 mg/mL, at least 1 mg/mL, at least 10 mg/mL, at least 25 mg/mL, at least 50 mg/mL, at least 100 mg/mL, at least 200 mg/mL, at least 500 mg/mL, at least 700 mg/mL, at least 1,000 mg/mL, or at least 1,200 mg/mL.", "In other aspects of this embodiment, the concentration of a therapeutic compound disclosed herein in the solution may be, e.g., at most 1,000 mg/mL, at most 1,100 mg/mL, at most 1,200 mg/mL, at most 1,300 mg/mL, at most 1,400 mg/mL, at most 1,500 mg/mL, at most 2,000 mg/mL, at most 2,000 mg/mL, or at most 3,000 mg/mL.", "In other aspects of this embodiment, the final concentration of a therapeutic compound in a pharmaceutical composition may be in a range of, e.g., about 0.00001 mg/mL to about 3,000 mg/mL, about 0.0001 mg/mL to about 3,000 mg/mL, about 0.01 mg/mL to about 3,000 mg/mL, about 0.1 mg/mL to about 3,000 mg/mL, about 1 mg/mL to about 3,000 mg/mL, about 250 mg/mL to about 3,000 mg/mL, about 500 mg/mL to about 3,000 mg/mL, about 750 mg/mL to about 3,000 mg/mL, about 1,000 mg/mL to about 3,000 mg/mL, about 100 mg/mL to about 2,000 mg/mL, about 250 mg/mL to about 2,000 mg/mL, about 500 mg/mL to about 2,000 mg/mL, about 750 mg/mL to about 2,000 mg/mL, about 1,000 mg/mL to about 2,000 mg/mL, about 100 mg/mL to about 1,500 mg/mL, about 250 mg/mL to about 1,500 mg/mL, about 500 mg/mL to about 1,500 mg/mL, about 750 mg/mL to about 1,500 mg/mL, about 1,000 mg/mL to about 1,500 mg/mL, about 100 mg/mL to about 1,200 mg/mL, about 250 mg/mL to about 1,200 mg/mL, about 500 mg/mL to about 1,200 mg/mL, about 750 mg/mL to about 1,200 mg/mL, about 1,000 mg/mL to about 1,200 mg/mL, about 100 mg/mL to about 1,000 mg/mL, about 250 mg/mL to about 1,000 mg/mL, about 500 mg/mL to about 1,000 mg/mL, about 750 mg/mL to about 1,000 mg/mL, about 100 mg/mL to about 750 mg/mL, about 250 mg/mL to about 750 mg/mL, about 500 mg/mL to about 750 mg/mL, about 100 mg/mL to about 500 mg/mL, about 250 mg/mL to about 500 mg/mL, about 0.00001 mg/mL to about 0.0001 mg/mL, about 0.00001 mg/mL to about 0.001 mg/mL, about 0.00001 mg/mL to about 0.01 mg/mL, about 0.00001 mg/mL to about 0.1 mg/mL, about 0.00001 mg/mL to about 1 mg/mL, about 0.001 mg/mL to about 0.01 mg/mL, about 0.001 mg/mL to about 0.1 mg/mL, about 0.001 mg/mL to about 1 mg/mL, about 0.001 mg/mL to about 10 mg/mL, or about 0.001 mg/mL to about 100 mg/mL.", "A pharmaceutical composition produced using the methods disclosed herein may be a liquid formulation or a solid or semi-solid formulation.", "A liquid formulation can be formed by using various lipids like oils of other fatty acids that remain as liquids in the temperature range desired.", "In an embodiment, a pharmaceutical composition disclosed herein is liquid at room temperature.", "In aspects of this embodiment, a pharmaceutical composition disclosed herein may be formulated to be a liquid at a temperature of, e.g., about 25° C. or higher, about 23° C. or higher, about 21° C. or higher, about 19° C. or higher, about 17° C. or higher, about 15° C. or higher, about 12° C. or higher, about 10° C. or higher, about 8° C. or higher, about 6° C. or higher, about 4° C. or higher, or about 0° C. or higher.", "A solid or semi-solid formulation may take advantage of the different melting point temperatures of the various adjuvants like fatty acids.", "Formation of a solid or semi-solid dosage form can be by modifying the respective concentrations of the fatty acids comprising a pharmaceutical composition disclosed herein.", "For example, linolenic acid has a melting point temperature (Tm) of about −11° C., linoleic acid has a Tm of about −5° C., oleic acid has a Tm of about 16° C., palmitic acid has a Tm of about 61-62° C., and Stearic acid has a Tm of about 67-72° C. Increasing the proportion(s) of palmitic, stearic or oleic acid would increase the overall melting temperature of a composition, while, conversely, increasing the proportion(s) of linoleic and linolenic acid would decrease the melting temperature of a composition.", "Thus, by controlling the types and amounts of the adjuvant components added, a pharmaceutical composition disclosed herein can be made that is substantially solid or semi-solid at room temperature, but melts when it is ingested, and reaches body temperature.", "The resulting melted composition readily forms micelles which are absorbed by the intestine, assembled into chylomicrons, and ultimately absorbed by macrophages.", "The solid dosage form may be a powder, granule, tablet, capsule or suppository.", "In some aspects, a pharmaceutical composition may be formulated as a chewing gum.", "The formulation of gum bases can vary substantially depending on the particular product to be prepared and on the desired masticatory and other sensory characteristics of the final product.", "By way of example, typical ranges of the gum base components include 5-80 wt.", "% elastomeric compounds, 5-80 wt.", "% natural and/or synthetic resins (elastomer plasticizers), 0-40 wt.", "% waxes, 5-35 wt.", "% softener other than waxes, 0-50 wt.", "% filler, and 0-5 wt.", "% of other ingredients such as antioxidants, colorants, and the like.", "The gum base may comprise about 5-95 wt.", "% of the total weight of the chewing gum, often from about 10-60 wt.", "% or from about 40-50 wt.", "%.", "Often a buffer is used.", "Examples of buffers that may be used include tris buffers, amino acid buffers, carbonate, including monocarbonate, bicarbonate or sesquicarbonate, glycerinate, phosphate, glycerophosphate, acetate, glyconate or citrate of an alkali metal, such as potassium and sodium, e.g.", "trisodium and tripotassium citrate, or ammonium, and mixtures thereof.", "Other examples of buffers include acetic acid, adipic acid, citric acid, fumaric acid, glucono-δ-lactone, gluconic acid, lactic acid, malic acid, maleic acid, tartaric acid, succinic acid, propionic acid, ascorbic acid, phosphoric acid, sodium orthophosphate, potassium orthophosphate, calcium orthophosphate, sodium diphosphate, potassium diphosphate, calcium diphosphate, pentasodium triphosphate, pentapotassium triphosphate, sodium polyphosphate, potassium polyphosphate, carbonic acid, sodium carbonate, sodium bicarbonate, potassium carbonate, calcium carbonate, magnesium carbonate, magnesium oxide, or any combination thereof.", "The buffer may to some extent be microencapsulated or otherwise coated as granules with polymers and/or lipids being less soluble in saliva than is the one or more buffering agents.", "Such microencapsulation controls the dissolution rate whereby is extended the time frame of the buffering effect.", "The amount of buffer may range from 0 to about 15% and often ranges from about 0.5 to about 10% based on the total weight of the chewing gum.", "Elastomers may be used to provide a rubbery, cohesive nature to the gum.", "Elastomers suitable for use in the gum base and gum may include natural or synthetic types.", "Elastomer plasticizers may be used to vary the firmness of the gum base.", "Their specificity on elastomer inter-molecular chain interaction (plasticizing) along with their varying softening points cause varying degrees of finished gum firmness and compatibility when used in base.", "This may provide more elastomeric chain exposure to the alkane chains of the waxes.", "The elastomers employed in the gum base may vary depending upon various factors such as the type of gum base desired, the texture of gum formulation desired and the other components used in the formulation to make the final chewing gum product.", "The elastomer may be any water-insoluble polymer known in the art, and includes those gum polymers utilized for chewing gums and bubble gums.", "For example, polymers suitable for use in gum bases include, without limitation, natural substances (of vegetable origin) such as chicle gum, natural rubber, crown gum, nispero, rosidinha, jelutong, perillo, niger gutta, tunu, balata, guttapercha, lechi capsi, sorva, gutta kay, and the like, and mixtures thereof.", "Examples of synthetic elastomers include, without limitation, styrene-butadiene copolymers (SBR), polyisobutylene, isobutylene-isoprene copolymers, polyethylene, polyvinyl acetate and the like, and mixtures thereof.", "Natural resins may be used according to the invention and may be natural rosin esters, often referred to as ester gums including as examples glycerol esters of partially hydrogenated rosins, glycerol esters of polymerized rosins, glycerol esters of partially dimerized rosins, glycerol esters of tally oil rosins, pentaerythritol esters of partially hydrogenated rosins, methyl esters of rosins, partially hydrogenated methyl esters of rosins, pentaerythritol esters of rosins, synthetic resins such as terpene resins derived from alpha-pinene, beta-pinene, and/or d-limonene, and natural terpene resins.", "Resins may be selected from terpene resins, such as those derived from alpha-pinene, beta-pinene, and/or d-limonene, natural terpene resins, glycerol esters of gum rosins, tall oil rosins, wood rosins or other derivatives thereof such as glycerol esters of partially hydrogenated rosins, glycerol esters of polymerized rosins, glycerol esters of partially dimerized rosins, pentaerythritol esters of partially hydrogenated rosins, methyl esters of rosins, partially hydrogenated methyl esters of rosins or pentaerythritol esters of rosins and combinations thereof.", "Other chewing gum ingredients may be selected from bulk sweeteners, flavors, dry-binders, tableting aids, anti-caking agents, emulsifiers, antioxidants, enhancers, absorption enhancers, buffers, high intensity sweeteners, softeners, colors, and combinations thereof.", "Non-limiting examples of emulsifiers include cyclodextrins, polyoxyethylene castor oil derivatives, polyoxyethylene alkyl ethers, macrogol alkyl ethers, block copolymers of ethylene and propylene oxides, polyoxyethylene alkyl ethers, polyoxyethylene glycols, polyoxyethylene sorbitan fatty acid esters, polyoxyethylene (20) sorbitan monostearates, polyoxyethylene (20) sorbitan monooleates, polyoxyethylene stearates, sobitan esters, diacetyl tartaric ester of monoglycerides, lactylated monoglycerides, and combinations thereof.", "The amount of emulsifiers often ranges from about 0.1% to about 25 wt.", "% based on the total weight of the chewing gum.", "Petroleum waxes aid in the curing of the finished gum made from the gum base as well as improve shelf life and texture.", "Wax crystal size influences the release of flavor.", "Those waxes high in iso-alkanes have a smaller crystal size than those waxes high in normal-alkanes, especially those with normal-alkanes of carbon numbers less than 30.The smaller crystal size allows slower release of flavor since there is more hindrance of the flavor's escape from this wax versus a wax having larger crystal sizes.", "The compatibility of gum bases made using normal-alkanic waxes is less when compared to gum bases made with iso-alkanic waxes.", "Petroleum wax (refined paraffin and microcrystalline wax) and paraffin wax are composed of mainly straight-chained normal-alkanes and branched iso-alkanes.", "The ratio of normal-alkanes to iso-alkanes varies.", "The normal-alkanic waxes typically have carbon chain lengths >C-18 but the lengths are not predominantly longer than C-30.The branched and ring structures are located near the end of the chain for those waxes that are predominantly normal-alkanic.", "The viscosity of normal-alkanic waxes is <10 mm2/s (at 100° C.) and the combined number average molecular weight is <600 g/mole.", "The iso-alkanic waxes typically have carbon lengths that are predominantly greater than C-30.The branched chains and ring structures are located randomly along the carbon chain in those waxes that are predominantly iso-alkanic.", "The viscosity of iso-alkanic waxes is greater than 10 mm2/s (at 100° C.) and the combined number average molecular weight is >600 g/mole.", "Synthetic waxes are produced by means that are atypical for petroleum wax production and are thus not considered petroleum wax.", "The synthetic waxes may include waxes containing branched alkanes and copolymerized with monomers such as, but not limited to propylene, polyethylene, and Fischer Tropsch type waxes.", "Polyethylene wax is a synthetic wax containing alkane units of varying lengths having attached thereto ethylene monomers.", "Waxes and fats are conventionally used for the adjustment of the texture and for softening of the chewing gum base when preparing chewing gum bases.", "Any conventionally used and suitable type of natural and synthetic wax and fat may be used, such as for instance rice bran wax, polyethylene wax, petroleum wax (refined paraffin and microcrystalline wax), sorbitan monostearate, tallow, propylene glycol, paraffin, beeswax, carnauba wax, candelilla wax, cocoa butter, degreased cocoa powder and any suitable oil or fat, such as completely or partially hydrogenated vegetable oils or completely or partially hydrogenated animal fats.", "Antioxidants prolong shelf life and storage of gum base, finished gum or their respective components including fats and flavor oils.", "Antioxidants suitable for use in gum base include butylated hydroxyanisole (BHA), butylated hydroxytoluene (BHT), betacarotenes, tocopherols, acidulants such as Vitamin C, propyl gallate, other synthetic and natural types or mixtures thereof.", "A chewing gum may include other conventional components such as sweeteners, including bulk sweeteners, sugar sweeteners, sugar-substitute sweeteners, artificial sweeteners, high-intensity sweeteners, or a combination thereof.", "Bulk sweeteners may constitute from about 5 to about 95% by weight of the chewing gum, more typically about 20 to about 80% by weight, about 30 to 70%, or about 30 to 60% by weight of the gum.", "Useful sugar sweeteners are saccharide-containing components commonly known in the chewing gum art including, but not limited to, sucrose, dextrose, maltose, dextrins, trehalose, D-tagatose, dried invert sugar, fructose, levulose, galactose, corn syrup solids, and the like, alone or in combination.", "Sorbitol can be used as a non-sugar sweetener.", "Other useful non-sugar sweeteners include, but are not limited to, other sugar alcohols such as mannitol, xylitol, hydrogenated starch hydrolysates, maltitol, isomalt, erythritol, lactitol and the like, alone or in combination.", "High intensity artificial sweetening agents can also be used alone or in combination with the above sweeteners.", "Non-limiting examples of high intensity sweeteners include sucralose, aspartame, salts of acesulfame, alitame, saccharin and its salts, cyclamic acid and its salts, glycyrrhizin, dihydrochalcones, thaumatin, monellin, sterioside and the like, alone or in combination.", "In order to provide longer lasting sweetness and flavor perception, it may be desirable to encapsulate or otherwise control the release of at least a portion of the artificial sweeteners.", "Techniques such as wet granulation, wax granulation, spray drying, spray chilling, fluid bed coating, conservation, encapsulation in yeast cells and fiber extrusion may be used to achieve desired release characteristics.", "Encapsulation of sweetening agents can also be provided using another chewing gum component such as a resinous compound.", "Usage level of the artificial sweetener will vary considerably and will depend on factors such as potency of the sweetener, rate of release, desired sweetness of the product, level and type of flavor used and cost considerations.", "The active level of artificial sweetener may vary from about 0.001 to about 8% by weight, and often ranges from about 0.02 to about 8% by weight.", "When carriers used for encapsulation are included, the usage level of the encapsulated sweetener will be proportionately higher.", "Combinations of sugar and/or non-sugar sweeteners may be used if desired.", "A chewing gum and/or gum base may include one or more fillers/texturizers, such as magnesium and calcium carbonate, sodium sulfate, ground limestone, silicate compounds such as magnesium and aluminum silicate, kaolin and clay, aluminum oxide, silicium oxide, talc, titanium oxide, mono-, di- and tri-calcium phosphates, cellulose polymers, such as wood, and combinations thereof.", "A number of other well-known chewing gum components may be present, including but not limited to waxes, fats, softeners, fillers, flavors, anti-oxidants, emulsifiers, coloring agents, binding agents and acidulates.", "The chewing gum may be provided with an outer coating, such as a hard coating, soft coating, edible film-coating, or any combination thereof.", "In some aspects, nicotine is compounded along with other components of the gum base such that nicotine is substantially uniformly contained in the gum base.", "Nicotine or a nicotine complex may be provided on an adsorbent such as finely divided silicic acid, amorphous silica, magnesium silicate, calcium silicate, kaolin, clays, crystalline aluminosilicates, macaloid bentonite, activated carbon, alumina, hydroxylapatite, microcrystalline cellulose, or any combination thereof.", "Nicotine may be encapsulated to provide a desired controlled or sustained release thereof.", "An example of a chewing gum that provides for sustained release of nicotine is described in U.S. 2007/0014887, the disclosure of which is hereby incorporated by reference.", "Alternatively, isomyosmine may be infused into an outer portion of a chewing gum than contains nicotine.", "A similar release profile may be achieved via an oral dosage form such as a tablet, capsule, or the like.", "For example, a tablet may have a core layer containing nicotine to provide a sustained release thereof, and an outer layer containing isomyosmine to provide an immediate release thereof.", "Other combinations are possible.", "For example, one or both of the layers may contain both isomyosmine and nicotine so that the respective active component(s) is released in both an immediate- and a sustained release manner.", "In other aspects, volatile delivery vehicles may be used for administering the active component(s) including isomyosmine, such as an inhaler or e-cigarette.", "In still other aspects, the active component(s) are administered transmucosally such as via a nasal spray, or transdermally such as via a transdermal patch.", "A therapeutically effective amount of a therapeutic compound disclosed herein generally is in the range of about 0.001 mg/kg/day to about 100 mg/kg/day.", "In aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be, e.g., at least 0.001 mg/kg/day, at least 0.01 mg/kg/day, at least 0.1 mg/kg/day, at least 1.0 mg/kg/day, at least 5.0 mg/kg/day, at least 10 mg/kg/day, at least 15 mg/kg/day, at least 20 mg/kg/day, at least 25 mg/kg/day, at least 30 mg/kg/day, at least 35 mg/kg/day, at least 40 mg/kg/day, at least 45 mg/kg/day, or at least 50 mg/kg/day.", "In other aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be in the range of, e.g., about 0.001 mg/kg/day to about 10 mg/kg/day, about 0.001 mg/kg/day to about 15 mg/kg/day, about 0.001 mg/kg/day to about 20 mg/kg/day, about 0.001 mg/kg/day to about 25 mg/kg/day, about 0.001 mg/kg/day to about 30 mg/kg/day, about 0.001 mg/kg/day to about 35 mg/kg/day, about 0.001 mg/kg/day to about 40 mg/kg/day, about 0.001 mg/kg/day to about 45 mg/kg/day, about 0.001 mg/kg/day to about 50 mg/kg/day, about 0.001 mg/kg/day to about 75 mg/kg/day, or about 0.001 mg/kg/day to about 100 mg/kg/day.", "In yet other aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be in the range of, e.g., about 0.01 mg/kg/day to about 10 mg/kg/day, about 0.01 mg/kg/day to about 15 mg/kg/day, about 0.01 mg/kg/day to about 20 mg/kg/day, about 0.01 mg/kg/day to about 25 mg/kg/day, about 0.01 mg/kg/day to about 30 mg/kg/day, about 0.01 mg/kg/day to about 35 mg/kg/day, about 0.01 mg/kg/day to about 40 mg/kg/day, about 0.01 mg/kg/day to about 45 mg/kg/day, about 0.01 mg/kg/day to about 50 mg/kg/day, about 0.01 mg/kg/day to about 75 mg/kg/day, or about 0.01 mg/kg/day to about 100 mg/kg/day.", "In still other aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be in the range of, e.g., about 0.1 mg/kg/day to about 10 mg/kg/day, about 0.1 mg/kg/day to about 15 mg/kg/day, about 0.1 mg/kg/day to about 20 mg/kg/day, about 0.1 mg/kg/day to about 25 mg/kg/day, about 0.1 mg/kg/day to about 30 mg/kg/day, about 0.1 mg/kg/day to about 35 mg/kg/day, about 0.1 mg/kg/day to about 40 mg/kg/day, about 0.1 mg/kg/day to about 45 mg/kg/day, about 0.1 mg/kg/day to about 50 mg/kg/day, about 0.1 mg/kg/day to about 75 mg/kg/day, or about 0.1 mg/kg/day to about 100 mg/kg/day.", "In other aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be in the range of, e.g., about 1 mg/kg/day to about 10 mg/kg/day, about 1 mg/kg/day to about 15 mg/kg/day, about 1 mg/kg/day to about 20 mg/kg/day, about 1 mg/kg/day to about 25 mg/kg/day, about 1 mg/kg/day to about 30 mg/kg/day, about 1 mg/kg/day to about 35 mg/kg/day, about 1 mg/kg/day to about 40 mg/kg/day, about 1 mg/kg/day to about 45 mg/kg/day, about 1 mg/kg/day to about 50 mg/kg/day, about 1 mg/kg/day to about 75 mg/kg/day, or about 1 mg/kg/day to about 100 mg/kg/day.", "In yet other aspects of this embodiment, an effective amount of a therapeutic compound disclosed herein may be in the range of, e.g., about 5 mg/kg/day to about 10 mg/kg/day, about 5 mg/kg/day to about 15 mg/kg/day, about 5 mg/kg/day to about 20 mg/kg/day, about 5 mg/kg/day to about 25 mg/kg/day, about 5 mg/kg/day to about 30 mg/kg/day, about 5 mg/kg/day to about 35 mg/kg/day, about 5 mg/kg/day to about 40 mg/kg/day, about 5 mg/kg/day to about 45 mg/kg/day, about 5 mg/kg/day to about 50 mg/kg/day, about 5 mg/kg/day to about 75 mg/kg/day, or about 5 mg/kg/day to about 100 mg/kg/day.", "Dosing can be single dosage or cumulative (serial dosing), and can be readily determined by one skilled in the art.", "For instance, treatment of a substance addiction may comprise a one-time administration of an effective dose of a pharmaceutical composition disclosed herein.", "Alternatively, treatment may comprise multiple administrations of an effective dose of a pharmaceutical composition carried out over a range of time periods, such as, e.g., once daily, twice daily, trice daily, once every few days, or once weekly.", "The timing of administration can vary from individual to individual, depending upon such factors as the severity of an individual's symptoms.", "For example, an effective dose of a pharmaceutical composition disclosed herein can be administered to an individual once daily for an indefinite period of time, or until the individual no longer requires therapy.", "A person of ordinary skill in the art will recognize that the condition of the individual can be monitored throughout the course of treatment and that the effective amount of a pharmaceutical composition disclosed herein that is administered can be adjusted accordingly.", "A pharmaceutical composition disclosed herein can also be administered to an individual in combination with other therapeutic compounds to increase the overall therapeutic effect of the treatment.", "The use of multiple compounds to treat an indication can increase the beneficial effects while reducing the presence of side effects.", "The following example illustrates but does not limit the scope of the disclosure set forth above.", "Example 1 This example describes experiments for determining monoamine oxidase (MAO) inhibition for isomyosmine and other alkaloids.", "MAOs are enzymes located on the outer membrane of mitochondria and are involved in the catabolism of monoamine neurotransmitters.", "There are two well-characterized isoenzymes: MAO-A, which predominantly catabolizes serotonin and norepinephrine, and MAO-B, which preferentially catabolizes benzylamine and phenylethylamine.", "Dopamine and tyramine are metabolized by both isoforms.", "To detect the activity of MAO, a luminescent method (MAO-Glo Assay kit, from Promega, Cat # V1401) was used.", "In this method, a MAO substrate (a derivative of beetle luciferin provided in the kit) is mixed with the compound to be tested (in this case, myosmine and control compounds).", "Then, the MAO enzymes (either A or B, purchased separately) are added to the mixture and incubated with the reaction for 1 hour at room temperature.", "The MAO enzymes, if not inhibited by the test compound, will convert the substrate into methyl ester luciferin.", "Finally, a luciferin detection reagent (provided by the kit) is added (20 minutes at room temperature) to stop the MAO reaction and convert methyl ester luciferin into D-luciferin.", "D-luciferin reacts with luciferase to produce a luminescent signal, which is directly proportional to the D-luciferin concentration and thus the MAO activity: the greater the amount of light produced the higher the activity of MAO.", "The luminescent signal is measured and recorded using a luminometer.", "The following materials were obtained from Toronto Research Chemicals, North York, ON: isomyosmine, catalog #1821350; myosmine, catalog # M835000; anabasine, catalog # A637175; and nornicotine, catalog # N756995.Anatabine was obtained from Emerson Resources, Norristown, Pa. As positive controls for the experiment, clorgyline (a well-characterized potent inhibitor of MAO-A) and deprenyl (a well-characterized potent inhibitor of MAO-B) were used.", "Results for MAO-A Activity When the pure alkaloids isomyosmine, myosmine, anatabine, anabasine, and nornicotine were compared, isomyosmine was the most potent of the five in inhibiting the enzymatic activity of MAO-A (FIG.", "1).", "The way to read this line graph is the following: a 100% activity means that the test compound has no effect on the enzyme; a 0% activity means that the test compound completely kills the enzyme.", "The more the curve is shifted to the left, the greater the inhibition the test compound exerts on the enzyme.", "As can be seen in FIG.", "1, the curve for isomyosmine is more shifted to the left among the five alkaloids tested.", "A 2 mM concentration (2,000 micromolar) gives an inhibition of about 50%.", "The curve for clorgyline, the positive control for the experiment, is greatly shifted leftward.", "Results for MAO-B Activity Similar results were obtained when testing the five pure alkaloids isomyosmine, myosmine, anatabine, anabasine, and nornicotine for the inhibition of MAO-B.", "Isomyosmine was the most potent among the five alkaloids tested at inhibiting the activity of MAO-B, followed by myosmine (FIG.", "2).", "Example 2 This example shows that isomyosmine is effective to increase oxygen saturation (%) levels.", "As shown in FIG.", "3, oxygen saturation (%) was found to dramatically increase in fourteen individuals when measured one hour after oral administration of isomyosmine at a dose of 100-300 mg depending on body weight.", "Increasing oxygen saturation levels is believed to make isomyosmine particularly effective for treating substance addictions, including tobacco/nicotine addictions, as well as addictions to heroin, cocaine, opioids, methadone, d-methamphetamine, barbiturates, alcohol, benzodiazepines, amphetamines, or buprenorphine.", "For example, substances such as cocaine are known to cause blood vessels to constrict, resulting in increased heartrate and blood pressure and increased stress on the heart's ability to circulate blood and oxygen through the body.", "Increasing oxygen saturation levels may, among other things, counteract these types of effects associated with substance abuse by increasing blood oxygen levels, aiding in the treatment of the underlying addiction.", "While the invention has been described with respect to specific examples, those skilled in the art will appreciate that there are numerous variations and permutations of the above described systems and techniques that fall within the spirit and scope of the invention as set forth in the appended claims." ] ]
Patent_15875692
[ [ "Display Device with Integrated Touch Screen and Method for Driving the Same", "Discussed are a display device with an integrated touch screen and a method of operating the same.", "The display device according to an embodiment includes a display panel including a plurality of pixel electrodes; a touch screen including m number of electrodes which are formed to overlap with the plurality of pixel electrodes, wherein m is a multiple of n, and n is an integer equal to or greater than two, and wherein the m electrodes are divided into n number of electrode groups; a touch driver configured to generate a touch scan signal and to supply the generated touch scan signal to a display driver; and the display driver configured to apply a common voltage or the touch scan signal to one or more of the m electrodes depending on a driving mode of the display device." ], [ "1.A display driver integrated circuit (IC) for driving a self-capacitive touch sensitive display device comprising a display panel having a plurality of touch electrodes including at least a first group of touch electrodes including a first touch electrode and a second touch electrode and a second group of touch electrodes including a third touch electrode and a fourth touch electrode, a plurality of touch lines including at least a first touch line, a second touch line, a third touch line and a fourth touch line, a plurality of pixel electrodes in a pixel area of the display panel, and a plurality of data lines electrically connected to the plurality of pixel electrodes, the display driver IC comprising: a first multiplexer; a second multiplexer; and a plurality of touch channel outputs, the plurality of touch channel outputs including at least a first touch channel output, a second touch channel output, a third touch channel output and a forth touch channel output; wherein the first multiplexer is configured to selectively connect to the first touch channel output or the third touch channel output during a touch period, wherein the second multiplexer is configured to selectively connect to the second touch channel output or the fourth touch channel output during the touch period, wherein the first touch electrode is connected to the first channel output via the first touch line, the second touch electrode is connected to the second channel output via the second touch line, the third touch electrode is connected to the third channel output via the third touch line, the fourth touch electrode is connected to the fourth channel output via the fourth touch line, 2.The display driver IC of claim 1, wherein the display driver IC is configured to supply a touch scan signal to the plurality of touch electrodes during the touch period.", "3.The display driver IC of claim 1, wherein the display driver IC is configured to supply a common voltage to the plurality of touch electrodes and to respectively supply data voltages to the plurality of pixel electrodes via the plurality of data lines during a display period.", "4.The display driver IC of claim 1, wherein the display driver IC is configured to supply the touch scan signal to the first touch electrode and the second touch electrode simultaneously during a first part of the touch period, and wherein the display driver IC is configured to supply the touch scan signal to the third touch electrode and the fourth touch electrode simultaneously during a second part of the touch period.", "5.The display driver IC of claim 1, further comprising a first switching unit and second switching unit, the first switching unit configured to selectively supply a common voltage or a touch scan signal to the first multiplexer, the second switching unit configured to selectively supply the common voltage or the touch scan signal to the second multiplexer.", "6.The display driver IC of claim 1, wherein the first touch electrode is not overlapped with the second touch electrode in the first touch electrode group of the touch electrodes, and the third touch electrode is not overlapped with the fourth touch electrode in the second touch electrode group of the touch electrodes.", "7.The display driver IC of claim 1, wherein the first touch line is not overlapped with the second touch line in a touch screen area of the display panel, wherein the third touch line is not overlapped with the fourth touch line in the touch screen area of the display panel.", "8.The display driver IC of claim 1, wherein the first touch line and the second touch line are arranged in a same direction in the touch screen area of the display panel, wherein the third touch line and the fourth touch line are arranged in a same direction in the touch screen area of the display panel.", "9.The display driver IC of claim 1, wherein the plurality of pixel electrodes of the display panel are respectively connected to the plurality of data channel outputs of the display driver IC via the plurality of data lines.", "10.A method for driving a self-capacitive touch sensitive display device comprising a display panel having a plurality of touch electrodes including at least a first group of touch electrodes including a first touch electrode and a second touch electrode and a second group of touch electrodes including a third touch electrode and a fourth touch electrode, a plurality of touch lines including at least a first touch line, a second touch line, a third touch line and a fourth touch line, a plurality of pixel electrodes in a pixel area of the display panel, and a plurality of data lines electrically connected to the plurality of pixel electrodes, the method comprising: providing a common voltage to the first touch electrode, the second touch electrode, the third touch electrode and the fourth touch electrode during a display period via the plurality of touch lines; providing a touch scan signal to the first touch electrode and the second touch electrode simultaneously during a first part of a touch period; and providing the touch scan signal to the third touch electrode and the fourth touch electrode simultaneously during a second part of the touch period; 11.The method of claim 10, wherein the self-capacitive touch sensitive display device further comprises a display driver Integrated Circuit (IC) including a first multiplexer and the second multiplexer, wherein the display driver IC comprises a plurality of touch channel outputs, the plurality of touch channel outputs including at least a first touch channel output, a second touch channel output, a third touch channel output and a forth touch channel output.", "12.The method of claim 11, further comprising: selectively connecting to the first touch channel output or the third touch channel output by the first multiplexer during a touch period, and selectively connecting to the second touch channel output or the fourth touch channel by the second multiplexer during the touch period.", "13.The method of claim 11, wherein the first touch electrode is connected to the first channel output via the first touch line, the second touch electrode is connected to the second channel output via the second touch line, the third touch electrode is connected to the third channel output via the third touch line, and the fourth touch electrode is connected to the fourth channel output via the fourth touch line.", "14.The method of claim 10, wherein the self-capacitive touch sensitive display device further comprises a display driver IC including a plurality of data channel outputs, and wherein the plurality of pixel electrodes of the display panel are respectively connected to the plurality of data channel outputs of the display driver IC via the plurality of data lines.", "15.A touch sensitive display device comprising: a display panel comprising: a plurality of touch electrodes, the plurality of touch electrodes including at least a first group of touch electrodes including a first touch electrode and a second touch electrode and a second group of touch electrodes including a third touch electrode and a fourth touch electrode, a plurality of touch lines, the plurality of touch lines including at least a first touch line, a second touch line, a third touch line and a fourth touch line, a plurality of pixel electrodes in a pixel area of the display panel, and a plurality of data lines electrically connected to the plurality of pixel electrodes; and a display driver IC comprising a plurality of touch channel outputs and a plurality of data channel outputs, the plurality of touch channel outputs including at least a first touch channel output, a second touch channel output, a third touch channel output and a fourth touch channel output; wherein the first touch electrode is connected to the first channel output via the first touch line, the second touch electrode is connected to the second channel output via the second touch line, the third touch electrode is connected to the third channel output via the third touch line, the fourth touch electrode is connected to the fourth channel output via the fourth touch line, wherein the first touch electrode is not overlapped with the second touch electrode in the first touch electrode group of the touch electrodes, and the third touch electrode is not overlapped with the fourth touch electrode in the second touch electrode group of the touch electrodes, wherein the first touch line is not overlapped with the second touch line in a touch screen area of the display panel, the third touch line is not overlapped with the fourth touch line in the touch screen area of the display panel, wherein the plurality of pixel electrodes of the display panel are respectively connected to the plurality of data channel outputs of the display driver IC via the plurality of data lines.", "16.The touch sensitive display device of claim 15, wherein the first touch line and the second touch line are arranged in a same direction in the touch screen area of the display panel, the third touch line and the fourth touch line arranged in a same direction in the touch screen area of the display panel.", "17.The touch sensitive display device of claim 15, wherein the display driver IC is configured to supply a common voltage to the plurality of touch electrodes and to respectively supply data voltages to the plurality of pixel electrodes via the plurality of data lines during a display period.", "18.The touch sensitive display device of claim 15, wherein the display driver IC is configured to supply a touch scan signal to the plurality of touch electrodes during a touch period, wherein the display driver IC is configured to supply the touch scan signal to the first touch electrode and the second touch electrode simultaneously during a first part of the touch period, and wherein the display driver IC is configured to supply the touch scan signal to the third touch electrode and the fourth touch electrode simultaneously during a second part of the touch period." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>Accordingly, the present invention is directed to provide a display device with an integrated touch screen that substantially obviates one or more problems due to limitations and disadvantages of the related art.", "An aspect of the present invention is directed to provide a display device with an integrated touch screen, which applies a common voltage or a touch scan signal to a plurality of electrodes through a switching unit that is connected to at least two or more electrode groups of a self-capacitive touch screen.", "Another aspect of the present invention is directed to provide a display device with an integrated touch screen, which enables the reduction in the number of touch IC channels that connect a display driver IC and a touch IC by using only one touch IC.", "Another aspect of the present invention is directed to provide a display device with an integrated touch screen, which applies a common voltage directly from a display driver IC to an electrode without applying the common voltage to the electrode though a touch IC, thus preventing image quality from being degraded.", "Additional advantages and features of the invention will be set forth in part in the description which follows and in part will become apparent to those having ordinary skill in the art upon examination of the following or may be learned from practice of the invention.", "The objectives and other advantages of the invention may be realized and attained by the structure particularly pointed out in the written description and claims hereof as well as the appended drawings.", "To achieve these and other advantages and in accordance with the purpose of the invention, as embodied and broadly described herein, there is provided according to an embodiment a display device with an integrated touch screen including: a touch screen including m number of electrodes which are formed to respectively overlap with a plurality of pixel electrodes in a pixel area of a panel, m being a multiple of n wherein n is an integer more than or equal to two; a display driver IC applying a common voltage to the electrodes, or applying a touch scan signal sequentially to n number of groups into which the m electrodes are divided, according to a driving mode of the panel; and a touch IC generating the touch scan signal to apply the touch scan signal to the display driver IC.", "When the driving mode is a display driving mode, each of the electrodes may operate as a common electrode, or when the driving mode is a touch driving mode, each of the electrodes may operate as a touch electrode.", "The number of electrodes included in each of the groups may be m/n number.", "The n groups may be block type groups.", "The groups may be divided and formed in a short side direction of the pixel area.", "The display driver IC may include: a common voltage generator generating the common voltage; a sync signal generator generating a sync signal indicating the driving mode; a switching control signal generator generating a control signal which allows the electrodes to be simultaneously connected to the common voltage generator or allows the electrodes to be sequentially connected to the touch IC for each group, according to the sync signal; and a switching unit operating according to the sync signal and the control signal.", "The switching unit may include a plurality of first switching units and a plurality of second switching units, the first switching units being connected to the common voltage generator and the touch IC, and the second switching units being connected to the respective first switching units and one electrode for each group.", "When the sync signal is a first sync signal indicating the display driving mode, the first switching units may connect the common voltage generator to the second switching units, or when the sync signal is a second sync signal indicating the touch driving mode, the first switching units may connect the touch IC to the second switching units.", "When the control signal is a first control signal conforming to the first sync signal, the second switching units may connect the respective electrodes to the first switching units, or when the control signal is a second control signal conforming to the second sync signal, the second switching units may sequentially connect the respective electrodes to the first switching units for each group.", "The second control signal may include n number of group selection signals which allow the first switching units to be respectively connected to the electrodes for each group.", "Each of the second switching units may include a plurality of CMOS transistors, and control respective gates of the CMOS transistors to simultaneously connect the first switching units and the groups or sequentially connect the first switching units and the groups for each group.", "The number of first switching units may be m/n number.", "In another aspect of the present invention, there is provided a driving method of a display device with an integrated touch screen, which includes: a touch screen including m number of electrodes in a pixel area of a panel (where m is a multiple of n and n is an integer more than or equal to two); a display driver IC applying a common voltage or a touch scan signal to the electrodes; and a touch IC generating the touch scan signal to apply the touch scan signal to the display driver IC, the method including: applying the common voltage to the electrodes when a driving mode of the panel is a display driving mode; and sequentially applying the touch scan signal to n number of groups into which the electrodes are divided, when the driving mode of the panel is a touch driving mode.", "The number of electrodes included in each of the groups may be m/n number.", "The n groups may be block type groups.", "The groups may be divided and formed in a short side direction of the pixel area.", "The applying of the common voltage may include: generating the common voltage; generating a first sync signal according to the display driving mode, and generating a first control signal according to the first sync signal; switching a first switching unit to output the common voltage according to the first sync signal; and switching a second switching unit to simultaneously apply the common voltage, outputted from the first switching unit, to the electrodes according to the first control signal.", "The applying of the touch scan signal may include: generating the touch scan signal; generating a second sync signal according to the touch driving mode, and generating a second control signal according to the second sync signal; switching a first switching unit to output the touch scan signal according to the second sync signal; and switching a second switching unit to sequentially apply the touch scan signal, outputted from the first switching unit, to the n groups according to the second control signal.", "According to an embodiment, the present invention provides a display device with integrated touch screen, including: a display panel including a plurality of pixel electrodes; a touch screen including m number of electrodes which are formed to overlap with the plurality of pixel electrodes, wherein m is a multiple of n, and n is an integer equal to or greater than two, and wherein the m electrodes are divided into n number of electrode groups; a touch driver configured to generate a touch scan signal and to supply the generated touch scan signal to a display driver; and the display driver configured to apply a common voltage or the touch scan signal to one or more of the m electrodes depending on a driving mode of the display device.", "According to an embodiment, the present invention provides a method of operating a display device, the display device including a display panel including a plurality of pixel electrodes and a touch screen including m number of electrodes which are formed to overlap with the plurality of pixel electrodes, wherein m is a multiple of n, and n is an integer equal to or greater than two, the display device further including a touch driver and a display driver, the method including: dividing the m electrodes into n number of electrode groups; generating, by the touch driver, a touch scan signal; and applying, by the display driver, a common voltage or the generated touch scan signal to one or more of the m electrodes depending on a driving mode of the display device, wherein when the generated touch scan signal is applied to the one or more of the m electrodes, the touch scan signal is selectively applied to each of the electrode groups.", "It is to be understood that both the foregoing general description and the following detailed description of the present invention are exemplary and explanatory and are intended to provide further explanation of the invention as claimed." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation application of U.S. patent application Ser.", "No.", "14/968,681 filed on Dec. 14, 2015, which is a continuation application of U.S. patent application Ser.", "No.", "13/448,021 filed on Apr.", "16, 2012, which claims the priority benefit of the Korean Patent Application No.", "10-2012-0016908 filed on Feb. 20, 2012, all of which are hereby incorporated by reference as if fully set forth herein.", "BACKGROUND Field of the Invention The present invention relates to a display device, and more particularly, to a display device with an integrated touch screen and a driving method thereof.", "Discussion of the Related Art Touch screens are a type of input device that is included in image display devices such as Liquid Crystal Displays (LCDs), Field Emission Displays (FEDs), Plasma Display Panel (PDPs), Electroluminescent Displays (ELDs), and Electrophoretic Display (EPDs), and allows a user to input predetermined information by applying a pressure (i.e., by pressing or touching) to a touch sensor of a touch screen of the image display device while looking at the image display device.", "Particularly, the demand of display devices with an integrated in-cell type touch screen, which include a plurality of built-in elements configuring the touch screen for providing slim portable terminals such as smart phones and tablet Personal Computers (PCs), is recently increasing.", "Hereinafter, a related art display device with an integrated touch screen will be described with reference to FIG.", "1.FIG.", "1 is a diagram illustrating a configuration of a related art display device with an integrated touch screen, and more specifically illustrates a configuration of a display device with an integrated self-capacitive touch screen having an in-cell type.", "The related art display device with the integrated self-capacitive touch screen having an in-cell type, as illustrated in FIG.", "1, includes: a panel 10 with a built-in touch screen 11; a display driver IC (DD-IC) 20 that is connected to an external system and controls a plurality of gate lines and data lines in the panel 10; a plurality of touch ICs (T-IC1 and T-IC2) 31 and 32 that drive the touch screen 11 in the panel 10.The panel 10 includes m number of electrodes 12, and m number of lines 13 that are respectively extended from the m electrodes 12.Each of the touch ICs 31 and 32 includes m number of touch IC channels respectively corresponding to the m lines 13, for self-capacitive touch sensing.", "Since one touch IC channel generally corresponds a line connected to an electrode in one-to-one correspondence relationship, as illustrated in FIG.", "1, when the number of lines connected to an electrode is more than the number of channels that are capable of being accommodated by one touch IC, a plurality of touch ICs are required to be used, causing the increase in cost.", "In the display device with the integrated touch screen of FIG.", "1, each of the electrodes 12 is built in the panel 10 and performs a touch function and a display function, and thus is used as a common electrode for display driving when the display device is driven in a display driving mode, or is used as a touch electrode when the display device is driven in a touch driving mode.", "The display driving mode is a display mode for displaying images on the display device, and the touch driving mode is a touch mode for detecting and processing a touch input to the display device.", "On the contrary, when the display device is driven in the display driving mode, a common voltage Vcom outputted from inside the display driver IC (DD-IC) 20 is applied to the electrodes 12 through the touch ICs 31 and 32, in which case the touch ICs 31 and 32 need to accommodate a negative voltage when the common voltage Vcom is the negative voltage.", "However, the related art touch ICs 31 and 32 cannot accommodate a negative voltage and thus, a special manufacturing process and design for a touch IC that can accommodate the negative voltage are needed, which in turn increases the manufacturing cost of the touch ICs.", "Moreover, when the related art touch sensitive display device is driven in the display driving mode, a ground-level voltage instead of a negative voltage may be incidentally supplied to the electrode 12 through the touch IC, thereby causing the degradation of image quality.", "To provide a summary on the above-described limitations, the related art display device with the integrated touch screen has at least the following limitations.", "First, as the number of touch IC channels matching the respective lines connected to electrodes increases, the number of touch ICs increases, thereby causing the increase in cost for the display device.", "Second, a touch IC is separately manufactured by modifying the manufacturing process and design thereof for applying a negative common voltage, thereby causing the increase in the manufacturing cost of the touch IC.", "Third, when the related art touch IC is applied to a display device, the ground-level common voltage, instead of the desired negative common voltage, may be incidentally applied to the electrodes in the display driving mode, thereby causing the degradation of image quality.", "SUMMARY Accordingly, the present invention is directed to provide a display device with an integrated touch screen that substantially obviates one or more problems due to limitations and disadvantages of the related art.", "An aspect of the present invention is directed to provide a display device with an integrated touch screen, which applies a common voltage or a touch scan signal to a plurality of electrodes through a switching unit that is connected to at least two or more electrode groups of a self-capacitive touch screen.", "Another aspect of the present invention is directed to provide a display device with an integrated touch screen, which enables the reduction in the number of touch IC channels that connect a display driver IC and a touch IC by using only one touch IC.", "Another aspect of the present invention is directed to provide a display device with an integrated touch screen, which applies a common voltage directly from a display driver IC to an electrode without applying the common voltage to the electrode though a touch IC, thus preventing image quality from being degraded.", "Additional advantages and features of the invention will be set forth in part in the description which follows and in part will become apparent to those having ordinary skill in the art upon examination of the following or may be learned from practice of the invention.", "The objectives and other advantages of the invention may be realized and attained by the structure particularly pointed out in the written description and claims hereof as well as the appended drawings.", "To achieve these and other advantages and in accordance with the purpose of the invention, as embodied and broadly described herein, there is provided according to an embodiment a display device with an integrated touch screen including: a touch screen including m number of electrodes which are formed to respectively overlap with a plurality of pixel electrodes in a pixel area of a panel, m being a multiple of n wherein n is an integer more than or equal to two; a display driver IC applying a common voltage to the electrodes, or applying a touch scan signal sequentially to n number of groups into which the m electrodes are divided, according to a driving mode of the panel; and a touch IC generating the touch scan signal to apply the touch scan signal to the display driver IC.", "When the driving mode is a display driving mode, each of the electrodes may operate as a common electrode, or when the driving mode is a touch driving mode, each of the electrodes may operate as a touch electrode.", "The number of electrodes included in each of the groups may be m/n number.", "The n groups may be block type groups.", "The groups may be divided and formed in a short side direction of the pixel area.", "The display driver IC may include: a common voltage generator generating the common voltage; a sync signal generator generating a sync signal indicating the driving mode; a switching control signal generator generating a control signal which allows the electrodes to be simultaneously connected to the common voltage generator or allows the electrodes to be sequentially connected to the touch IC for each group, according to the sync signal; and a switching unit operating according to the sync signal and the control signal.", "The switching unit may include a plurality of first switching units and a plurality of second switching units, the first switching units being connected to the common voltage generator and the touch IC, and the second switching units being connected to the respective first switching units and one electrode for each group.", "When the sync signal is a first sync signal indicating the display driving mode, the first switching units may connect the common voltage generator to the second switching units, or when the sync signal is a second sync signal indicating the touch driving mode, the first switching units may connect the touch IC to the second switching units.", "When the control signal is a first control signal conforming to the first sync signal, the second switching units may connect the respective electrodes to the first switching units, or when the control signal is a second control signal conforming to the second sync signal, the second switching units may sequentially connect the respective electrodes to the first switching units for each group.", "The second control signal may include n number of group selection signals which allow the first switching units to be respectively connected to the electrodes for each group.", "Each of the second switching units may include a plurality of CMOS transistors, and control respective gates of the CMOS transistors to simultaneously connect the first switching units and the groups or sequentially connect the first switching units and the groups for each group.", "The number of first switching units may be m/n number.", "In another aspect of the present invention, there is provided a driving method of a display device with an integrated touch screen, which includes: a touch screen including m number of electrodes in a pixel area of a panel (where m is a multiple of n and n is an integer more than or equal to two); a display driver IC applying a common voltage or a touch scan signal to the electrodes; and a touch IC generating the touch scan signal to apply the touch scan signal to the display driver IC, the method including: applying the common voltage to the electrodes when a driving mode of the panel is a display driving mode; and sequentially applying the touch scan signal to n number of groups into which the electrodes are divided, when the driving mode of the panel is a touch driving mode.", "The number of electrodes included in each of the groups may be m/n number.", "The n groups may be block type groups.", "The groups may be divided and formed in a short side direction of the pixel area.", "The applying of the common voltage may include: generating the common voltage; generating a first sync signal according to the display driving mode, and generating a first control signal according to the first sync signal; switching a first switching unit to output the common voltage according to the first sync signal; and switching a second switching unit to simultaneously apply the common voltage, outputted from the first switching unit, to the electrodes according to the first control signal.", "The applying of the touch scan signal may include: generating the touch scan signal; generating a second sync signal according to the touch driving mode, and generating a second control signal according to the second sync signal; switching a first switching unit to output the touch scan signal according to the second sync signal; and switching a second switching unit to sequentially apply the touch scan signal, outputted from the first switching unit, to the n groups according to the second control signal.", "According to an embodiment, the present invention provides a display device with integrated touch screen, including: a display panel including a plurality of pixel electrodes; a touch screen including m number of electrodes which are formed to overlap with the plurality of pixel electrodes, wherein m is a multiple of n, and n is an integer equal to or greater than two, and wherein the m electrodes are divided into n number of electrode groups; a touch driver configured to generate a touch scan signal and to supply the generated touch scan signal to a display driver; and the display driver configured to apply a common voltage or the touch scan signal to one or more of the m electrodes depending on a driving mode of the display device.", "According to an embodiment, the present invention provides a method of operating a display device, the display device including a display panel including a plurality of pixel electrodes and a touch screen including m number of electrodes which are formed to overlap with the plurality of pixel electrodes, wherein m is a multiple of n, and n is an integer equal to or greater than two, the display device further including a touch driver and a display driver, the method including: dividing the m electrodes into n number of electrode groups; generating, by the touch driver, a touch scan signal; and applying, by the display driver, a common voltage or the generated touch scan signal to one or more of the m electrodes depending on a driving mode of the display device, wherein when the generated touch scan signal is applied to the one or more of the m electrodes, the touch scan signal is selectively applied to each of the electrode groups.", "It is to be understood that both the foregoing general description and the following detailed description of the present invention are exemplary and explanatory and are intended to provide further explanation of the invention as claimed.", "BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are included to provide a further understanding of the invention and are incorporated in and constitute a part of this application, illustrate embodiments of the invention and together with the description serve to explain the principle of the invention.", "In the drawings: FIG.", "1 is a diagram illustrating a configuration of a related art display device with an integrated touch screen; FIG.", "2 is a diagram illustrating a configuration of a display device with an integrated touch screen according to an embodiment of the present invention; FIG.", "3A is a diagram illustrating a detailed configuration of each of first and second switching units in FIG.", "2; FIG.", "3B is a diagram for describing an operation of each of the first and second switching units in FIG.", "3A; FIG.", "4 is a diagram showing a first embodiment of an electrode division method in a display device with integrated touch screen according to an embodiment of the present invention; FIGS.", "5A to 5D are diagrams respectively showing an example of experiment data of touch sensitivity according to the method of FIG.", "4; FIG.", "6 is a diagram showing a second embodiment of an electrode division method in the display device with integrated touch screen according to an embodiment of the present invention; and FIG.", "7 is a diagram showing a third embodiment of an electrode division method in the display device with integrated touch screen according to an embodiment of the present invention.", "DETAILED DESCRIPTION OF THE INVENTION Reference will now be made in detail to the exemplary embodiments of the present invention, examples of which are illustrated in the accompanying drawings.", "Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.", "Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.", "In a display device with an integrated touch screen according to an embodiment of the present invention, a plurality of electrodes are divided into n number of groups, and a touch scan signal is sequentially applied to the n groups.", "However, for convenience of a description, the below description will be made on a method where the electrodes are divided into two groups (i.e., n=2).", "However, the present invention is not limited to the method where the electrodes are divided into the two groups, and the electrodes may be divided into three or more groups.", "FIG.", "2 is a diagram illustrating a configuration of a display device with an integrated touch screen according to an embodiment of the present invention.", "The display device with the integrated touch screen according to an embodiment of the present invention, as illustrated in FIG.", "2, includes: a touch screen 111 that includes m number of electrodes 112 (where m is a multiple of n, and n is an integer more than or equal to two) which are formed to respectively overlap with a plurality of pixel electrodes in a pixel area of a display panel 110; a display driver IC 120 that applies a common voltage Vcom to the electrodes 112 or sequentially applies a touch scan signal to the electrodes of each of two groups 114 into which the m electrodes 112 are divided, according to a driving mode of the panel 110; and a touch IC 130 that generates the touch scan signal and applies the touch scan signal to the display driver IC 120.The touch screen 111 detects a touch point of a user.", "Particularly, the touch screen 111 applied to the present embodiment is an in-cell type touch screen using a self-capacitive type, and a touch screen area is the same area as a pixel array area.", "The self-capacitive touch screen includes the m electrodes 112 and the same m number of lines 113, in the panel 110.Herein, the panel 110 may be configured in a structure where a liquid crystal layer is formed between two substrates.", "In this case, a lower substrate of the panel 110 can include: a plurality of data lines disposed in parallel to each other; a plurality of gate lines that cross the data lines and are disposed in parallel to each other; a plurality of Thin Film Transistors (TFTs) that are respectively formed in a plurality of intersection areas between the data lines and the gate lines; a plurality of pixel electrodes that respectively charge data voltages into the plurality of pixels; an electrode (also referred to as a common electrode) that drives liquid crystal cells injected into the liquid crystal layer, together with the operation of the pixel electrodes.", "The pixels are arranged in a matrix type corresponding to the above-described intersection structure between the date lines and the gate lines.", "The m electrodes 112 are formed to overlap with the plurality of pixel electrodes, in the pixel array area of the panel 110.For instance, the m electrodes are not formed in each pixel but are formed in an area overlapping with a plurality of pixels.", "That is, the m electrodes 112 are preferably disposed over the area where the pixel electrodes are disposed.", "The m electrodes 112 may be disposed substantially through the touch screen 111 so that a touch input thereto may be accurately detected.", "When the driving mode of the panel 110 is a display driving mode, each of the electrodes 112 operates as a common electrode that drives liquid crystal cells together with the operation of the pixel electrode(s) formed in corresponding pixel(s).", "When the driving mode of the panel 110 is a touch driving mode, each electrode 112 operates as a touch electrode that detects a touch point/input by using the touch scan signal applied from the touch IC 130.For example, as illustrated in FIG.", "2, the m electrodes 112 may be formed to be divided into two groups 114, and formed in a block type group 114 but the group can be in a different shape.", "Each of the groups 114 may be formed in a touch screen 111 area, and the number of electrodes included in each group 114 is m/2.In FIG.", "2, the number of electrodes in each of two groups into which the electrodes 112 are divided is m/2, but when the electrodes 112 are divided into n number of groups, the number of electrodes in each of the n groups is m/n, where m and n are positive integers and preferably m is a multiple of n to provide an even division.", "The m lines 113 connect the m electrodes 112 to the display driver IC 120, respectively.", "Therefore, the common voltage and the touch scan signal that are outputted from the display driver IC 120 are applied to the electrodes 112 through the respective lines 113.When the driving mode of the panel 110 is in the display driving mode, the display driver IC 120 applies the common voltage to the electrodes 112, and when the driving mode of the panel 110 is in the touch driving mode, the display driver IC 120 sequentially applies the touch scan signal to the electrodes of each of the two groups into which the electrodes 112 are divided.", "Embodiments of a group division method according to the present invention will be described below in detail.", "The display driver IC 120 drives the data lines and gate lines that are formed in the panel 110, and drives each of the m electrodes 112 as a common electrode or a touch electrode.", "For this end, the display driver IC 120 may include a common voltage generator 121, a sync signal generator 122, a switching control signal generator 123, and a switching unit 124.The common voltage generator 121 generates a common voltage Vcom and applies the common voltage Vcom to the switching unit 124.Specifically, when the driving mode of the panel 110 is in the display driving mode, the common voltage generator 121 generates the common voltage Vcom which is then applied to the m electrodes 112 for image output, and applies the common voltage Vcom to the switching unit 124.The sync signal generator 122 generates a sync signal indicating the driving mode of the panel 110.For example, as illustrated in FIG.", "2, when the driving mode of the panel 110 is in the display driving mode, the sync signal generator 122 generates a first sync signal “0” that allows the common voltage Vcom of the common voltage generator 121 to be applied to the electrodes 112 through the switching unit 124.When the driving mode of the panel 110 is in the touch driving mode, the sync signal generator 122 generates a second sync signal “1” that allows the touch scan signal of the touch IC 130 to be applied to the electrodes 112.The switching control signal generator 123 generates a control signal that allows the electrodes 112 to be simultaneously connected to the common voltage generator 121 or a control signal that allows the electrodes 112 to be sequentially connected to the touch IC 130 for each group, according to the sync signal of the sync signal generator 122.For example, as illustrated in FIG.", "2, when the sync signal of the sync signal generator 123 is the first sync signal “0”, the switching control signal generator 123 generates a first control signal “00” that allows the common voltage (received from the common voltage generator 121) to be simultaneously applied to the m electrodes 112.When the sync signal of the sync signal generator 123 is the second sync signal “1”, the switching control signal generator 123 generates a second control signal “01” or “10” that allows the touch scan signal (received from the touch IC 130) to be sequentially applied to the two electrode groups 114.The second control signal may be generated in plurality, according to the number of groups that are connected to the switching unit 124.In other words, in this example, the second control signal denotes two group selection signals (“01” and “10”) that allow the switching unit 124 to be connected to the electrodes 112 by two groups (CH1 and CH2).", "However, the electrodes 112 may be divided into two or more groups.", "In such cases, when there are n number of groups (n being greater than or equal 2), the second control signal corresponding to n number of group selection signals that are respectively and selectively applied to the n groups is generated.", "For instance, if there are 3 electrode groups 114, then the group selection signals of the second control signal may be, e.g., “001”, “010” and “011” which are used to respectively control the three different electrode groups via the channel outputs CH1, CH2 and CH3 of each of the second switching units 126.The switching unit 124 may be configured with a plurality of first switching units 125 and a plurality of second switching units 126.Each of the second switching units 126 includes channels CH1 and CH2.The switching unit 124 operates according to the sync signal of the sync signal generator 122 and the control signal of the switching control signal generator 123.For example, as illustrated in FIG.", "2, when the sync signal of the sync signal generator 122 is the first sync signal “0” and the control signal of the switching control signal generator 123 is the first control signal “00”, the common voltage Vcom generated by the common voltage generator 121 is applied to all the electrodes 122.When the sync signal of the sync signal generator 122 is the second sync signal “1” and the control signal of the switching control signal generator 123 is the second control signal “01” or “10”, the touch scan signal generated by the touch IC 130 is applied to the m electrodes 112.More specifically, when the second control signal of the switching control signal generator 123 is the second control signal “01”, the touch scan signal from the touch IC 130 is applied to the electrodes of one of the groups 114 through the channel CH1 of each of the second switching units 126.On the other hand, when the second control signal of the switching control signal generator 123 is the second control signal “10”, the touch scan signal is applied to the electrodes of the other one of the groups 114 through the channel CH2 of each of the second switching units 126.The detailed configurations and operations of the first switching units 125 and second switching units 126 of the switching unit 124 will now be described in detail with reference to FIGS.", "2, 3A and 3B according to an embodiment of the present invention.", "FIG.", "3A is a diagram illustrating a detailed configuration of each of the first and second switching units in FIG.", "2.FIG.", "3B is a diagram for describing an operation of each of the first and second switching units in FIG.", "3A.", "The first switching unit 125 may be configured with a 2:1 multiplexer.", "For example, as illustrated in FIG.", "3A, the first switching unit 125 may be configured with transistors TR1 and TR2.The transistor TR1 may be connected to the common voltage generator 121 and the second switching unit 126, and the transistor TR2 may be connected to the touch IC 130 and the second switching unit 126.Herein, each of the transistors TR1 and TR2 may be a CMOS transistor.", "When the sync signal is the first sync signal “0” indicating the display driving mode, the first switching unit 125 connects the common voltage generator 121 to the second switching unit 126.When the sync signal is the second sync signal “1” indicating the touch driving mode, the first switching unit 125 connects the touch IC 130 to the second switching unit 126.For example, when the sync signal of the sync signal generator 122 is the first sync signal “0”, the transistor TR1 of the first switching unit 125 is turned on and simultaneously the transistor TR2 is turned off, and thus, the common voltage generator 121 and the second switching unit 126 are connected to each other through the transistor TR1 of the first switching unit 125, whereupon the common voltage Vcom generated by the common voltage generator 121 is delivered to the second switching unit 126.When the sync signal of the sync signal generator 122 is the second sync signal “1”, the transistor TR2 of the first switching unit 125 is turned on and simultaneously the transistor TR1 is turned off, and thus, the touch IC 130 and the second switching unit 126 are connected to each other through the transistor TR2 of the first switching unit 125, whereupon the touch scan signal generated by the touch IC 130 is delivered to the second switching unit 126.The second switching unit 126 is a signal selector that has one input channel and a plurality of output channels CH1 and CH2.The second switching unit 126 simultaneously or sequentially outputs a signal, supplied from the first switching unit 125, through the output channels CH1 and CH2.For example, as illustrated in FIG.", "3A, the second switching unit 126 may be configured with transistors TR3 and TR4, which are connected to the first switching unit 125 and corresponding electrodes 112 through the lines 113.Herein, each of the transistors TR3 and TR4 may be a CMOS transistor.", "The second switching unit 126 is connected only to the first switching unit 125 and one electrode for each group.", "When the control signal applied to the second switching unit 126 is the first control signal conforming to the first sync signal (display mode), the second switching unit 126 connects the first switching unit 125 to all the electrodes 112.When the control signal is the second control signal conforming to the second sync signal (touch mode), the second switching unit 126 sequentially connects the first switching unit 125 to a plurality of electrodes for each group 114.For example, when the control signal of the switching control signal generator 123 is the first control signal “00” conforming to the first sync signal “0” of the sync signal generator 122, the transistors TR3 and TR4 of the second switching unit 126 are simultaneously turned on, and thus, the first switching unit 125 and all the electrodes 112 are connected to each other through the channels CH1 and CH2 of the respective transistors TR3 and TR4 in the second switching unit 126, whereupon the common voltage Vcom delivered from the first switching unit 125 is applied to all the electrodes 112 including both groups 114 simultaneously.", "When the control signal of the switching control signal generator 123 is the second control signal “01” conforming to the second sync signal “1” of the sync signal generator 122, the transistor TR3 of the second switching unit 126 is turned on and simultaneously the transistor TR4 is turned off, and thus, the first switching unit 125 and the electrodes of any one of the groups 114 are connected to each other through the channel CH1 of the transistor TR3 of the second switching unit 126, whereupon the touch scan signal delivered from the first switching unit 125 is applied to all the electrodes of that one group among the groups 114.When the control signal of the switching control signal generator 123 is the second control signal “10” conforming to the second sync signal “1” of the sync signal generator 122, the transistor TR4 of the second switching unit 126 is turned on and simultaneously the transistor TR3 is turned off, and thus, the first switching unit 125 and the electrodes of the other of the groups 114 are connected to each other through the channel CH2 of the transistor TR4 of the second switching unit 126, whereupon the touch scan signal delivered from the first switching unit 125 is applied to all the electrodes of the other group among the groups 114.Referring again to FIG.", "2, the touch IC 130 applies the touch scan signal to the electrodes 112 through display driver IC 120, and then detects the change in the capacitance of each electrode to determine whether an electrode area is touched.", "The touch IC 130 and the display driver IC 120 are connected to each other through the touch IC channels, and the total number of touch IC channels is m/2.That is, in the related art, the number of electrodes or lines is equal to the number of touch IC channels, but in the present invention, the number of touch IC channels decreases to a half of the number of electrodes 112 or lines 113, which reduces the manufacturing cost and structure configuration.", "Hereinafter, an electrode division method will now be described in detail with reference to FIGS.", "4 to 8 according to an embodiment of the present invention.", "These methods can be implemented using the devices of FIGS.", "1-3 or other suitable display devices.", "FIG.", "4 is a diagram showing a first example of an electrode division method in a display device with an integrated touch screen according to an embodiment of the present invention.", "As illustrated in FIG.", "4, 160 electrodes can be divided into two groups, namely, an odd group and an even group.", "The odd group is connected to the respective channels CH1 of the second switching units 126 in the display driver IC 120, and the even group is connected to the respective channels CH2 of the second switching units 126.When the sync signal generator 122 generates the second sync signal “1” indicating the touch driving mode and the switching control signal generator 123 generates the second control signal “01” designating the channel CH1, the respective channels CH1 of the second switching units 126 are connected to the electrodes of the odd group.", "That is, all the channels CH1 of each of the second switching units 126 are connected to the corresponding electrodes of the odd group.", "When the sync signal generator 122 generates the second sync signal “1” indicating the touch driving mode and the switching control signal generator 123 generates the second control signal “10” designating the channel CH2, the respective channels CH2 of the second switching units 126 are connected to the electrodes of the even group.", "Thus in the example of FIG.", "4, the electrodes 112 may be divided into groups having specific configurations.", "FIGS.", "5A to 5D are diagrams respectively showing experiment data of touch sensitivity detected according to the method of FIG.", "4.In the present experiment data, the X axis indicates time, and the y axis indicates raw count.", "A raw count value is a value that becomes fundamental for measuring touch sensing, and is to represent a touch sensing signal as a touch clock count value.", "A touch driving pulse is applied selectively to the odd group and even group of electrodes shown in FIG.", "4.Since the electrodes immediately neighboring the electrode numbers 39 and 40 belong to the same even group, when the touch driving pulse is applied to such electrodes, a high touch sensitivity is provided.", "On the other hand, since some electrodes immediately neighboring the electrode numbers 51 and 66 belong to different electrode groups (i.e., the odd group), the neighboring electrodes are grounded when the touch driving pulse is applied to the electrodes 51 and 66.As a result, the touch sensitivity in such area is lower than the touch sensitivity around the electrode numbers 39 and 40.This can be seen in FIGS.", "5A and 5B.", "Referring to the experiment data, a raw count difference of the electrode number 39 (highlighted in FIG.", "4) is 200, a raw count difference of the electrode number 40 is 230, a raw count difference of the electrode number 51 is 100, and a raw count difference of the electrode number 66 is 160.A large raw count difference denotes that the touch sensitivity is better in proportion thereto.", "In the present experiment data, there are no electrodes (which are connected to different channels of the second switching unit 126) respectively adjacent to the electrode number 39 and the electrode number 40, and thus, the raw count difference of each of the electrode number 39 and electrode number 40 is greater than that of each of the electrode number 51 and electrode number 66, which means that the touch sensitivity in the area of the electrode numbers 39 and 40 is better than the touch sensitivity in the area of the electrode numbers 51 and 66.Thus to enhance the touch sensitivity of the touch panel, it would be desirable to minimize the number of adjacent electrodes that belong to a different group of touch electrodes driven at a different time.", "Accordingly, FIGS.", "6 and 7 provide examples of dividing or grouping the touch electrodes according to the present invention to maximize the touch sensitivity of the display panel.", "FIGS.", "6 and 7 illustrate the examples of a group division method that enables the obtainment of high touch sensitivity, based on the experiment data of FIG.", "5.As illustrated in FIGS.", "6 and 7, a plurality of electrodes may be divided into a plurality of block type groups.", "For example, when the pixel area of the panel 110 is rectangular in shape, by dividing the electrodes 112 into a plurality of groups along a short (shorter) side direction to have a rectangular shape, RC delay is reduced between the adjacent electrodes included in different groups, thus increasing the touch sensitivity of the display device.", "FIG.", "6 is a diagram showing a second example of an electrode division method in the display device with the integrated touch screen according to an embodiment of the present invention.", "Referring to FIG.", "6, the plurality of electrodes 112 are divided into two block type groups 114 along the direction of the shorter side of the touch screen 111.One (114a) of the groups 114 is connected to the channels CH1 of the second switching units 126 in the display driver IC 120, and the other group 114b is connected to the channels CH2 of the second switching units 126.When the sync signal generator 122 generates the second sync signal “1” indicating the touch driving mode and the switching control signal generator 123 generates the second control signal “01” designating the channel CH1, the respective channels CH1 of the second switching units 126 are connected to all the electrodes of the one group 114a.", "When the sync signal generator 122 generates the second sync signal “1” indicating the touch driving mode and the switching control signal generator 123 generates the second control signal “10” designating the channel CH2, the respective channels CH2 of the second switching units 126 are connected to all the electrodes of the other group 114b.", "In the present example, when the pixel area of the panel 110 is rectangular in shape, the block type groups 114 may be formed by dividing the electrodes 112 along a short/shorter side direction of the touch screen 111 to have a rectangular shape or the like, and the numbers of electrodes in each of the divided groups may be the same.", "As in the present embodiment, by dividing the electrodes into a plurality of groups along a short/shorter side direction of the touch screen 111 to have a rectangular shape or the like, a proximal surface between the groups 114 is minimized and thus, a potential difference between the electrodes 112 connected to the respective channels of the different second switching units 126 is minimized, thereby increasing the touch sensitivity of the panel 110.In the above-described example, the pixel area is the same area as that of the touch screen 111.FIG.", "7 is a diagram showing a third example of an electrode division method in the display device with the integrated touch screen according to an embodiment of the present invention.", "Referring to FIG.", "7, the electrodes 112 are divided into three block type groups 114 (114a, 114b, 114c), and if the pixel area of the panel 110 is rectangular in shape, similarly to the example of FIG.", "6, the block type groups 114 may be formed by dividing the electrodes 112 into a plurality of groups along a short/shorter side direction of the touch screen 111 to have a rectangular shape or the like.", "In this way, by dividing the electrodes 112 into the plurality of groups 114a, 114b, 114c along the short side direction to have the rectangular or other shape, a proximal surface between the groups 114 is minimized and thus, a potential difference between the electrodes 112 connected to the respective channels of the different second switching units 126 is minimized, thereby increasing the touch sensitivity of the touch screen 111.The detailed description of this example is the same as that of the above-described second example, and thus is not provided.", "In the example of FIG.", "7, because there exist three groups 114a, 114b and 114c of the electrodes 112, each of the second switching units 126 would have one input and three outputs CH1, CH2 and CH3 which respectively control the three groups 114a, 114b and 114c.", "As such, the number of second switching units 126 needed may correspond to m/n.", "In this regard, the switching control signal generator 123 is configured to output appropriate control signals so that each of the groups 114a, 114b and 114c is selectively and independently driven by controlling the output selection among the outputs CH1, CH2 and CH3.The configuration of the first switching units 125 would be the same as that shown in FIGS.", "2 and 3.Thus, depending on the number of groups of electrodes formed, the corresponding same number of touch channels for each of the second switching units 126 may be used.", "According to the present embodiments, a plurality of electrodes have been exemplarily described as being divided into two groups or three groups, but may be divided into four or more groups according to the number of electrodes.", "A driving method of the display device with the integrated touch screen having the above-described configuration, according to an embodiment of the present invention, will be described in detail with reference to FIG.", "2.When the driving mode of the display device with the integrated touch screen according to an embodiment of the present invention is in the display driving mode, the sync signal generator 122 generates the first sync signal “0” to transfer the first sync signal “0” to the first switching units 125, and the switching control signal generator 123 generates the first control signal “00” to transfer the first control signal “00” to the second switching units 126.The first switching units 125 are switched and connect the common voltage generator 121 to the second switching units 126, according to the first sync signal “0”.", "The second switching units 126 are switched and connect the m electrodes to the channels CH1 and CH2, according to the first control signal “00”.", "The common voltage generated by the common voltage generator 121 is applied simultaneously to all the m electrodes 112 through the first switching units 125 and the second switching units 126.When the driving mode of the display device is in the touch driving mode, the sync signal generator 122 generates the second sync signal “1” to transfer the second sync signal “1” to the first switching units 125, and the switching control signal generator 123 generates the second control signal “01” or “10” to transfer the second control signal “01” or “10” to the second switching units 126.The first switching units 125 are switched and connect the touch IC 130 to the second switching units 126, according to the second sync signal “1”.", "The second switching units 126 are switched and connect one of the two groups 114 to the channels CH1 and CH2, according to the second control signal “01” or “10”.", "When the second control signal is “01”, the channels CH1 of the second switching units 126 are connected to the electrodes of one of the two groups 114.When the second control signal is “10”, the channels CH2 of the second switching units 126 are connected to the electrodes (which are not connected to the channels CH1) of the other group among the two groups 114.The touch scan signal generated from the touch IC 130 is applied sequentially to the two groups through the first switching units 125 and the second switching units 126.Some of the advantages associated with the above-described display device with the integrated touch screen according to an embodiment of the present invention will now be provided.", "In the present invention, by applying the common voltage or the touch scan signal to the electrodes of each group through the switching unit that is connected to at least two or more electrode groups of the self-capacitive touch screen, the number of touch ICs and the number of touch IC channels can be reduced which in turn reduces the manufacturing cost of the display device.", "Furthermore, in the present invention, the common voltage Vcom is applied directly from the display driver IC to the electrodes without being applied to the electrodes through the touch IC, and thus, image quality can be prevented from being degraded.", "According to the embodiments of the present invention, the switching unit is connected to at least two or more electrode groups of the self-capacitive touch screen, and the common voltage or the touch scan signal is applied to the electrodes of each group through the switching unit, thus reducing the number of touch ICs and the number of touch IC channels which in turn reduces the manufacturing cost of the display device.", "Moreover, according to the embodiments of the present invention, the common voltage is not applied to the electrodes though the touch IC, but the common voltage is applied directly from the display driver IC to the electrodes, thus preventing image quality from being degraded.", "Moreover, according to the embodiments of the present invention, the plurality of electrodes are divided into the plurality of groups, and inter-electrode RC delay is reduced by minimizing a proximal surface between the electrode groups, thus enabling more accurate touch sensing.", "It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the inventions.", "Thus, it is intended that the present invention covers the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents." ] ]
Patent_15875696
[ [ "HETEROJUNCTION BIPOLAR TRANSISTOR", "A heterojunction bipolar transistor, comprising an elongated base mesa, an elongated base electrode, two elongated emitters, an elongated collector, and two elongated collector electrodes.", "The elongated base electrode is formed on the base mesa along the long axis of the base mesa, and the base electrode has a base via hole at or near the center of the base electrode.", "The two elongated emitter are formed on the base mesa respectively at two opposite sides of the base electrode, and each of two emitters has an elongated emitter electrode formed on the emitter.", "The elongated collector is formed below the base mesa.", "The two elongated collector electrodes are formed on the collector respectively at two opposite sides of the base mesa." ], [ "1.A heterojunction bipolar transistor, comprising: an elongated base mesa having a long axis and a short axis, the base mesa having a length and a width, and the ratio of the length to width is between 4:1 and 15:1; an elongated base electrode having a long axis and a short axis, formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa, and the base electrode having a base via hole at or near the center of the base electrode; two elongated emitters formed on the base mesa respectively at two opposite sides of the base electrode along the long axis of the base electrode, each emitter having an elongated emitter electrode formed on the emitter; an elongated collector formed below the base mesa; and two elongated collector electrodes formed on the collector respectively at two opposite sides of the base mesa along the long axis of the base mesa.", "2.The heterojunction bipolar transistor according to claim 1, wherein the base electrode is formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa at or near the center of the short axis of base electrode.", "3.The heterojunction bipolar transistor according to claim 2, wherein the base mesa, the emitter electrodes, and the collector electrodes are in rectangular shapes.", "4.The heterojunction bipolar transistor according to claim 2, wherein the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape.", "5.The heterojunction bipolar transistor according to claim 1, wherein the base mesa, the emitter electrodes, and the collector electrodes are in rectangular shapes.", "6.The heterojunction bipolar transistor according to claim 1, wherein the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape.", "7.The heterojunction bipolar transistor according to claim 1, wherein the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape with a bending angle of 90°." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>In recent years, with the rapid development of mobile devices industry, the demand of high power, high power gain and high power added efficiency devices is also growing.", "The integrated circuits using compound semiconductor heterojunction bipolar transistors (HBTs) have been widely used in the power amplifier of mobile devices for their high power, high power gain, and high linearity.", "Therefore, by improving the layout design of the compound semiconductor HBT circuit, the power gain and power added efficiency of the HBT can be enhanced, and the competitiveness of the product containing the HBT can be increased.", "The output power gain of an HBT is related to the base-collector junction capacitance, and the capacitance is proportional to the base area.", "The larger the ratio of the emitter area to the base area (EA/BA) is, the smaller the base-collector junction capacitance is.", "Therefore, by increasing the ratio of the emitter area to the base area, the base-collector junction capacitance can be effectively decreased, thereby enhancing the output power gain." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The main objective of the present invention is to provide a heterojunction bipolar transistor (HBT) having the following technical features: 1.a high ratio of the emitter area to the base area (EA/BA) to enhance the power gain of the HBT; 2.a lower collector resistance to improve the power added efficiency of the HBT; 3.a better emitter current distribution to improve the high power performance of the HBT.", "To reach the objective stated above, the present invention provides a heterojunction bipolar transistor, which comprises an elongated base mesa, an elongated base electrode, two elongated emitters, an elongated collector, and two elongated collector electrodes, in which the elongated base mesa has a long axis and a short axis; the elongated base electrode has a long axis and a short axis and is formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa, and the base electrode has a base via hole at or near the center of the base electrode; the two elongated emitters are formed on the base mesa respectively at two opposite sides of the base electrode along the long axis of the base electrode, and each emitter has an elongated emitter electrode formed on the emitter; the elongated collector is formed below the base mesa; and the two elongated collector electrodes are formed on the collector respectively at two opposite sides of the base mesa along the long axis of the base mesa.", "Moreover, the present invention provides another heterojunction bipolar transistor, which comprises an elongated base mesa, an “H” shaped emitter, two base electrodes, an elongated collector, and two elongated collector electrodes, in which the elongated base mesa has a long axis and a short axis; the “H” shaped emitter is formed on the base mesa, the “H” shaped emitter has two parallel bars connected by a cross-bar, two elongated emitter electrodes are formed respectively on the two parallel bars of the “H” shaped emitter, and the “H” shaped emitter has two recesses respectively on two opposite sides of the cross-bar between the two parallel bars; the two base electrodes are formed on the base mesa respectively at the two recesses of the “H” shaped emitter, and each of the base electrode has a base via hole near a center of the base mesa; the elongated collector is formed below the base mesa; and the two elongated collector electrodes are formed on the collector respectively at two opposite sides of the base mesa along the long axis of the base mesa.", "In implementation, the base electrode is formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa at or near the center of the short axis of base electrode.", "In implementation, the base mesa having a length and a width, and the ratio of the length to width is between 1.2:1 and 15:1.In implementation, the base mesa, the emitter electrodes, and the collector electrodes are in rectangular shapes.", "In implementation, recesses of the “H” shaped emitter are provided at or near the center of the short axis of the base mesa.", "In implementation, the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape.", "In implementation, the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape with a bending angle of 90°.", "The present invention will be understood more fully by reference to the detailed description of the drawings and the preferred embodiments below." ], [ "CROSS-REFERENCE TO RELATED DOCUMENTS The present invention is a divisional application of U.S. patent application Ser.", "No 15/204,659 entitled “Heterojunction Bipolar Transistor” filed on Jul.", "7, 2016.FIELD OF THE INVENTION The present invention relates to a heterojunction bipolar transistor, and more particular to a heterojunction bipolar transistor has a high ratio of the emitter area to the base area, so that the power gain can be enhanced.", "BACKGROUND OF THE INVENTION In recent years, with the rapid development of mobile devices industry, the demand of high power, high power gain and high power added efficiency devices is also growing.", "The integrated circuits using compound semiconductor heterojunction bipolar transistors (HBTs) have been widely used in the power amplifier of mobile devices for their high power, high power gain, and high linearity.", "Therefore, by improving the layout design of the compound semiconductor HBT circuit, the power gain and power added efficiency of the HBT can be enhanced, and the competitiveness of the product containing the HBT can be increased.", "The output power gain of an HBT is related to the base-collector junction capacitance, and the capacitance is proportional to the base area.", "The larger the ratio of the emitter area to the base area (EA/BA) is, the smaller the base-collector junction capacitance is.", "Therefore, by increasing the ratio of the emitter area to the base area, the base-collector junction capacitance can be effectively decreased, thereby enhancing the output power gain.", "SUMMARY OF THE INVENTION The main objective of the present invention is to provide a heterojunction bipolar transistor (HBT) having the following technical features: 1.a high ratio of the emitter area to the base area (EA/BA) to enhance the power gain of the HBT; 2.a lower collector resistance to improve the power added efficiency of the HBT; 3.a better emitter current distribution to improve the high power performance of the HBT.", "To reach the objective stated above, the present invention provides a heterojunction bipolar transistor, which comprises an elongated base mesa, an elongated base electrode, two elongated emitters, an elongated collector, and two elongated collector electrodes, in which the elongated base mesa has a long axis and a short axis; the elongated base electrode has a long axis and a short axis and is formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa, and the base electrode has a base via hole at or near the center of the base electrode; the two elongated emitters are formed on the base mesa respectively at two opposite sides of the base electrode along the long axis of the base electrode, and each emitter has an elongated emitter electrode formed on the emitter; the elongated collector is formed below the base mesa; and the two elongated collector electrodes are formed on the collector respectively at two opposite sides of the base mesa along the long axis of the base mesa.", "Moreover, the present invention provides another heterojunction bipolar transistor, which comprises an elongated base mesa, an “H” shaped emitter, two base electrodes, an elongated collector, and two elongated collector electrodes, in which the elongated base mesa has a long axis and a short axis; the “H” shaped emitter is formed on the base mesa, the “H” shaped emitter has two parallel bars connected by a cross-bar, two elongated emitter electrodes are formed respectively on the two parallel bars of the “H” shaped emitter, and the “H” shaped emitter has two recesses respectively on two opposite sides of the cross-bar between the two parallel bars; the two base electrodes are formed on the base mesa respectively at the two recesses of the “H” shaped emitter, and each of the base electrode has a base via hole near a center of the base mesa; the elongated collector is formed below the base mesa; and the two elongated collector electrodes are formed on the collector respectively at two opposite sides of the base mesa along the long axis of the base mesa.", "In implementation, the base electrode is formed on the base mesa with the long axis of the base electrode essentially parallel to the long axis of the base mesa at or near the center of the short axis of base electrode.", "In implementation, the base mesa having a length and a width, and the ratio of the length to width is between 1.2:1 and 15:1.In implementation, the base mesa, the emitter electrodes, and the collector electrodes are in rectangular shapes.", "In implementation, recesses of the “H” shaped emitter are provided at or near the center of the short axis of the base mesa.", "In implementation, the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape.", "In implementation, the base mesa, the emitters, and the collector are in bent elongated shapes each having a bending position, and the bending position located at or near the center of the elongated shape with a bending angle of 90°.", "The present invention will be understood more fully by reference to the detailed description of the drawings and the preferred embodiments below.", "BRIEF DESCRIPTION OF DRAWINGS FIG 1A and 1B are top views of an embodiment of a heterojunction bipolar transistor provided by the present invention.", "FIG.", "2A and 2B are cross-sectional views of an embodiment of a heterojunction bipolar transistor provided by the present invention along the line A-A′ and line B-B′ in FIG.", "1A, respectively.", "FIG.", "3A and 3B are top views of another embodiment of a heterojunction bipolar transistor provided by the present invention.", "FIGS.", "4, 5, and 6 are top views of embodiments of a heterojunction bipolar transistor provided by the present invention.", "DETAILED DESCRIPTIONS OF PREFERRED EMBODIMENTS FIG.", "1A, 1B, 2A, and 2B are embodiments of an I type heterojunction bipolar transistor (HBT) provided by the present invention, which comprises a rectangular base mesa 110, a rectangular base electrode 111, two rectangular emitters 120a and 120b, a rectangular collector 130, and two rectangular collector electrodes 131a and 131b, in which the rectangular base mesa 110 has a long axis along the y direction and a short axis along the x direction; the rectangular base electrode 111 has a long axis and a short axis and is formed on the base mesa 110 with the long axis of the base electrode 111 essentially parallel to the long axis of the base mesa 110, and the base electrode 111 has a base via hole 112 at or near the center of the base electrode 111; the two rectangular emitters 120a and 120b are formed on the base mesa 110 respectively at two opposite sides of the base electrode 111 along the long axis of the base electrode 111, and emitters 120a and 120b have rectangular emitter electrodes 121a and 121b formed on emitters 120a and 120b, respectively; the rectangular collector 130 is formed below the base mesa 110; and the two rectangular collector electrodes 131a and 131b are formed on the collector 130 respectively at two opposite sides of the base mesa 110 along the long axis of the base mesa 110.In an embodiment, the abovementioned base electrode 111, emitter electrodes 121a and 121b, and collector electrodes 131a and 131b are electrically connected to the metal conduction lines (140a-140e) formed by a first metal layer, and further electrically connected to the metal conduction line 150 formed by a second metal layer through the first metal layer.", "Through the connection of the first or the second metal layers to other electronic devices, a requested circuit is constructed.", "The portion of the I type HBT 100 that does not electrically connected to the first metal layer is isolated by one or more protection layers (e.g.", "160a), and the portion of the first metal layer that does not electrically connected to the second metal layer is isolated by at least one protection layer (e.g.", "160b, 160c).", "The material forming the protective layer must be electrically insulating, and must be able to prevent the materials of the metal layers or other structure diffusing into the HBT.", "In the present embodiment, the one or more protective layers can be formed of materials of good isolation property, such as silicon nitride (SiN), polyimide, etc.", "The resistance between the collector and emitter (RCE) is related to the adjacent periphery between the collector electrode and emitter.", "The longer the adjacent periphery between the collector and emitter is, the lower the RCE is.", "In the HBT provided by the present invention, the design of the collectors located on two sides of the emitter increases the adjacent periphery between the collector and emitter, thereby reducing the collector resistance RCE and improving the power added efficiency of the HBT.", "In addition, in the design provided by the present invention, the transistor receives the input signal via the first metal layer through the base via hole.", "Since the base via hole is located at the center of the transistor, the distribution of the emitter current is symmetric or nearly symmetric, and therefore the high power performance of the HBT can be enhanced.", "In the present embodiment, to increase the ratio of the emitter area to the base area (EA/BA), the ratio of the length to width of the emitter and the base mesa should be increased.", "However, the emitter area will be increased as well, leading to a higher thermal resistance of the transistor.", "In order to achieve the largest ratio of the emitter area to the base area (EA/BA) and to keep the thermal resistance in a lower value, the ratio of the length to width of the rectangular base mesa may be any value between 1.2:1 and 15:1, such as 2:1, 3:1, 4:1, 5:1, 6:1, 7:1, 8:1, 9:1, 10:1, 11:1, 12:1, 13:1, 14:1 or 15:1, in which the ratio between 1.2:1 to 10:1 is preferred, 1.5:1 to 8:1 is more preferred, and 2:1 to 7:1 is most preferred.", "The aforementioned base, emitter, and collector and the base electrode, emitter electrode, and collector electrode provided thereon respectively may also be in any other elongated shapes, such as an oblong, as long as the long axes of the elongated base, emitter, and collector and their electrodes remain parallel to each other, as shown in FIG.", "4.The aforementioned base, emitter, and collector and the base electrode, emitter electrode, and collector electrode provided thereon respectively may also be in a bent elongated shape, which may have one or more bending positions.", "FIG.", "6 shows an embodiment of an “L” shape HBT 300 with one bending position, in which the base mesa 310, two emitters 320a and 320b, and the collector 330 are all in “L” shapes.", "The bending angle at the bending position may be in a range greater than 0° and less than 180°.", "In the embodiment shown in FIG.", "6, the bending angle of the “L” shape is about 90 °.", "FIG.", "3A and 3B are top views showing embodiments of an H type HBT 200 provided by the present invention, which comprises an rectangular base mesa 210, an “H” shaped emitter 220, two base electrodes 211a and 211b, an rectangular collector 230, and two rectangular collector electrodes 231a and 231b, in which the rectangular base mesa 210 has a long axis and a short axis; the “H” shaped emitter 220 is formed on the base mesa 210, and the “H” shaped emitter 220 has two recesses 222a and 222b respectively on two opposite sides of the “H” shape, and the emitter 220 has two elongated emitter electrodes 221a and 221b formed on the “H” shaped emitter 220; the two base electrodes 211a and 211b are formed on the base mesa 210 respectively at the two recesses 222a and 222b of the “H” shaped emitter 220, and each of the base electrode 211a and 211b has a base via hole (212a and 212b) near the center along the long axis of the base mesa 210; the rectangular collector 230 is formed below the base mesa 210; and the two rectangular collector electrodes 231a and 231b are formed on the collector 230 respectively at two opposite sides of the base mesa 210 along the long axis of the base mesa 210.In an embodiment, the abovementioned base electrode 211a and 211b, emitter electrodes 221, and collector electrodes 231a and 231b are electrically connected to the metal conduction lines (240a-240d) formed by a first metal layer, and further electrically connected to the metal conduction line 250 formed by a second metal layer through the first metal layer.", "Through the connection of the first or the second metal layers to other electronic devices, a requested circuit is constructed.", "The portion of the H type HBT 200 that does not electrically connected to the first metal layer is isolated by one or more protection layers, and the portion of the first metal layer that does not electrically connected to the second metal layer is isolated by at least one protection layer.", "The material forming the protective layer must be electrically insulating, and must be able to prevent the materials of the metal layers or other structure diffusing into the HBT.", "In the present embodiment, the one or more protective layers may be formed of materials of good isolation property, such as silicon nitride (SiN), polyimide, etc.", "In the present embodiment, in order to achieve the largest ratio of the emitter area to the base area (EA/BA) and to keep the thermal resistance in a lower value, the ratio of the length to width of the rectangular base mesa may be any value between 1.2:1 and 15:1, such as 2:1, 3:1, 4:1, 5:1, 6:1, 7:1, 8:1, 9:1, 10:1, 11:1, 12:1, 13:1, 14:1 or 15:1, in which the ratio between 1.2:1 to 10:1 is preferred, 1.5:1 to 8:1 is more preferred, and 2:1 to 7:1 is most preferred.", "The aforementioned base, emitter, and collector and the base electrode, emitter electrode, and collector electrode provided thereon respectively may also be in any other elongated shapes, such as an oblong, as long as the long axes of the elongated base, emitter, and collector and their electrodes remain parallel to each other, as shown in FIG.", "5.The present invention has the following advantages: 1.The elongated HBT provided by the present invention has a higher ratio of the emitter area to the base area (EA/BA), thus leading an enhanced power gain of the HBT.", "2.The design of disposing the collector at two opposite sides of the emitter can extend the adjacent periphery between the collector and the emitter, and the design of elongated collector and emitter further extend the adjacent periphery.", "A lower resistance between the collector and emitter RCE can thus be reached, which improve the power added efficiency of the HBT.", "3.The emitter current distribution is symmetric or nearly symmetric, and therefore the high power performance of the HBT can be enhanced.", "To sum up, the HBT provided by the present invention can indeed meet its anticipated objective to provide higher ratio of the emitter area to the base area, so that the power gain of the HBT can be enhanced.", "Moreover, the HBT provided by the present invention has a lower resistance between the collector and emitter, so that the power added efficiency of the HBT is improved, which is the major demand of the performance of a HBT in the fabrication of a power amplifier.", "The description referred to in the drawings and stated above is only for the preferred embodiments of the present invention.", "Many equivalent local variations and modifications can still be made by those skilled at the field related with the present invention and do not depart from the spirit of the present invention, so they should be regarded to fall into the scope defined by the appended claims." ] ]
Patent_15875700
[ [ "METHOD FOR DETERMINING AMPLITUDE OF STICK-SLIP ON A VALVE ASSEMBLY AND IMPLEMENTATION THEREOF", "A method for processing operating data (e.g., position, setpoint, and pressure) for a valve assembly.", "The method is configured to associate characteristics of operation for the valve assembly with a root cause and/or a contributing factor.", "In one embodiment, the method can assign a first amplitude with a value that quantifies movement or “jump” of the valve stem that results from stick-slip on the valve assembly.", "The method can also assign a second amplitude with a value that quantifies a change in the data for the setpoint.", "The method can further ascertain the relationship or “position” of the first amplitude relative to the second amplitude, or vice versa.", "The method can use the relationship between the first amplitude and the second amplitude to indicate the root cause of the operation of the valve assembly." ], [ "1.A method, comprising: calculating a metric from set point data and position data for a valve assembly; using the metric to identify a cycling condition on the valve assembly; selecting a process in response to the cycling condition; using the process to identify a contributing factor to the cycling condition; and generating an alert in response to the contributing factor, the alert comprising one or more of, instructions to change process parameters, and instructions to perform maintenance on the valve assembly.", "2.The method of claim 1, wherein the metric corresponds to a ratio of the position data to the set point data.", "3.The method of claim 1, wherein the metric corresponds to a ratio of a first p-norm function to a second p-norm function that use the position data and the set point data, respectively.", "4.The method of claim 1, further comprising: comparing the metric to a threshold criteria, wherein the threshold criteria has a value that correlates the metric with stick slip on the valve assembly.", "5.The method of claim 1, wherein the process includes, setting the contributing factor according to a relationship between the set point data and the position data.", "6.The method of claim 1, wherein the process includes, setting the contributing factor according to a relationship between amplitude of the set point data and amplitude of the position data.", "7.The method of claim 1, wherein the process includes, setting the contributing factor according to a relationship between a first amplitude of the position data and a second amplitude of the position data, wherein one of the first amplitude and the second amplitude indicates that the position data forms a square wave.", "8.An apparatus, comprising: a processor configured to access a memory that has one or more executable instructions stored thereon, the executable instruction comprising one or more instructions that configure the apparatus for, calculating a metric from set point data and position data for a valve assembly; using the metric to identify a cycling condition on the valve assembly; selecting a process in response to the cycling condition; using the process to identify a contributing factor to the cycling condition; and generating an alert in response to the contributing factor, the alert comprising one or more of, instructions to change process parameters, and instructions to perform maintenance on the valve assembly.", "9.The apparatus of claim 8, wherein the metric corresponds to a ratio of the position data to the set point data.", "10.The apparatus of claim 8, wherein the metric corresponds to a ratio of a first p-norm function to a second p-norm function that use the position data and the set point data, respectively.", "11.The apparatus of claim 8, wherein the executable instruction comprise one or more instructions that configure the processor for: comparing the metric to a threshold criteria, wherein the threshold criteria has a value that correlates the metric with stick slip on the valve assembly.", "12.The apparatus of claim 8, wherein the process includes, setting the contributing factor according to a relationship between the set point data and the position data.", "13.The apparatus of claim 8, wherein the process includes, setting the contributing factor according to a relationship between amplitude of the set point data and amplitude of the position data.", "14.The apparatus of claim 8, wherein the process includes, setting the contributing factor according to a relationship between a first amplitude of the position data and a second amplitude of the position data, wherein one of the first amplitude and the second amplitude indicates that the position data forms a square wave.", "15.A valve assembly, comprising a seat; a closure member that moves relative to the seat, an actuator coupled with the closure member; and a valve positioner coupled with the actuator, the valve positioner configured to, calculate a metric from set point data and position data; use the metric to identify a cycling condition of the closure member; select a process in response to the cycling condition; using the process to identify a contributing factor to the cycling condition; and generate an alert in response to the contributing factor, the alert comprising one or more of, instructions to change process parameters, and instructions to perform maintenance.", "16.The valve assembly of claim 15, wherein the metric corresponds to a ratio of the position data to the set point data.", "17.The valve assembly of claim 15, wherein the metric corresponds to a ratio of a first p-norm function to a second p-norm function that use the position data and the set point data, respectively.", "18.The valve assembly of claim 15, wherein the valve positioner is configured to: compare the metric to a threshold criteria, wherein the threshold criteria has a value that correlates the metric with stick slip.", "19.The valve assembly of claim 15, wherein the process includes, setting the contributing factor according to a relationship between the set point data and the position data.", "13.The valve assembly of claim 15, wherein the process includes, setting the contributing factor according to a relationship between amplitude of the set point data and amplitude of the position data.", "20.The valve assembly of claim 15, wherein the process includes, setting the contributing factor according to a relationship between a first amplitude of the position data and a second amplitude of the position data, wherein one of the first amplitude and the second amplitude indicates that the position data forms a square wave." ], [ "<SOH> BACKGROUND <EOH>The subject matter disclosed herein relates to processing of data that corresponds with operating parameters for a valve assembly, with particular discussion about methods that use this data to quantify movement of the valve stem due to stick-slip to distinguish the root cause and/or contributing factor of cycling on the valve assembly.", "Process lines may include many varieties of flow controls.", "These process lines typically transfer fluids for use in the chemical industry, refining industry, oil & gas recovery industry, and the like.", "Examples of the flow controls include pneumatic and electronic valve assemblies (collectively, “valve assemblies”) that regulate a flow of process fluid (e.g., gas and liquid).", "In conventional implementation, these valve assemblies have a number of components that work together to regulate flow of process fluid into and/or out of the process line.", "These components include a closure member, a seat, a valve stem, and an actuator.", "Examples of the closure member may embody a plug, ball, butterfly valve, and/or like implement that can contact the seat to prevent flow.", "In common construction, the actuator couples with the closure member (via the valve stem).", "The valve assembly may also incorporate a valve positioner with electrical and/or electro-pneumatic components.", "During operation, the valve positioner receives control signals from a controller that is part of a process control system (also “distributed control system” or “DCS”).", "These control signals define operating parameters for the valve assembly, namely, a position for the closure member relative to the seat.", "In response to the control signal, the valve positioner delivers a pneumatic signal that regulates instrument gas to pressurize the actuator in order to regulate this position.", "Cycling of a valve assembly can have many root causes.", "At the process level, the process control system may provide setpoint instructions that oscillate back-and-forth between various levels.", "The valve assembly will, in turn, modulate the position of the valve stem in response to these instructions.", "From the device level, the valve assembly may suffer from improperly tuned components (e.g., valve positioner) and/or have some type of physical problem that can cause cycling to occur on the valve assembly.", "Improper tuning (and calibration) of the valve positioner, for example, may translate the setpoint instructions into the pneumatic signal incorrectly and, thus, cause the valve stem to move to improper positions.", "On the other hand, physical problems can frustrate operation of the mechanical components on the valve assembly.", "Stick-slip describes a condition, for example, that results from high static friction and/or low kinetic friction between the valve stem and the valve packing material that surrounds the valve stem.", "This configuration can prevent leaks from inside the valve assembly.", "During operation, however, stick-slip can cause internal force, i.e., pressure on the closure member, to exceed normal operating levels in order to overcome the static friction that prevents movement of the valve stem.", "These internal forces, while desirable to induce movement of the valve stem, can cause the valve stem to overshoot its desired position.", "The process control system will attempt to correct this error.", "In response to overshoot, for example, the process control system often issues instructions for the valve assembly to modulate the valve stem in the opposite direction.", "However, it is likely that stick-slip will disrupt the movement in the opposite direction.", "The resulting errors will again prompt intervention from the control system to move the valve stem in the other direction.", "This process repeats itself, resulting in oscillation or cycling of the valve stem that can disrupt the stability of the process line as well as cause unnecessary wear on the valve assembly." ], [ "<SOH> BRIEF DESCRIPTION OF THE INVENTION <EOH>This disclosure describes improvements in valve diagnostics that can more accurately distinguish cycling that is induced by process and tuning issues from cycling that is induced by stick-slip.", "These improvements embody methods with steps that can quantify the amplitude of movement of the valve stem because of stick-slip.", "These embodiments compare this stick-slip amplitude to an amplitude of other operating parameters (e.g., setpoint) of the valve assembly.", "In this way, the embodiments can generate an output that indicates the contributing factor of cycling on the valve assembly.", "As noted herein, these contributing factors can identify whether cycling occurs due to stick-slip or that cycling occurs in response to a process control, namely, modulation of a control signal by the process control system to regulate process parameters on a process line." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "14/506,047, filed on Oct. 3, 2014, and entitled “METHOD FOR DETERMINING AMPLITUDE OF STICK-SLIP ON A VALVE ASSEMBLY AND IMPLEMENTATION THEREOF,” which is a continuation-in-part of U.S. patent application Ser.", "No.", "14/231,505, filed on Mar.", "31, 2014, and entitled “SYSTEM AND METHOD FOR DETECTING STICK-SLIP,” and which claims the benefit of priority to U.S.", "Provisional Application Ser.", "No.", "62/049,287, filed on Sep. 11, 2014, and entitled “METHOD FOR DETERMINING AMPLITUDE OF STICK-SLIP ON A VALVE ASSEMBLY AND IMPLEMENTATION THEREOF.” The content of these applications is incorporated by reference herein in its entirety.", "BACKGROUND The subject matter disclosed herein relates to processing of data that corresponds with operating parameters for a valve assembly, with particular discussion about methods that use this data to quantify movement of the valve stem due to stick-slip to distinguish the root cause and/or contributing factor of cycling on the valve assembly.", "Process lines may include many varieties of flow controls.", "These process lines typically transfer fluids for use in the chemical industry, refining industry, oil & gas recovery industry, and the like.", "Examples of the flow controls include pneumatic and electronic valve assemblies (collectively, “valve assemblies”) that regulate a flow of process fluid (e.g., gas and liquid).", "In conventional implementation, these valve assemblies have a number of components that work together to regulate flow of process fluid into and/or out of the process line.", "These components include a closure member, a seat, a valve stem, and an actuator.", "Examples of the closure member may embody a plug, ball, butterfly valve, and/or like implement that can contact the seat to prevent flow.", "In common construction, the actuator couples with the closure member (via the valve stem).", "The valve assembly may also incorporate a valve positioner with electrical and/or electro-pneumatic components.", "During operation, the valve positioner receives control signals from a controller that is part of a process control system (also “distributed control system” or “DCS”).", "These control signals define operating parameters for the valve assembly, namely, a position for the closure member relative to the seat.", "In response to the control signal, the valve positioner delivers a pneumatic signal that regulates instrument gas to pressurize the actuator in order to regulate this position.", "Cycling of a valve assembly can have many root causes.", "At the process level, the process control system may provide setpoint instructions that oscillate back-and-forth between various levels.", "The valve assembly will, in turn, modulate the position of the valve stem in response to these instructions.", "From the device level, the valve assembly may suffer from improperly tuned components (e.g., valve positioner) and/or have some type of physical problem that can cause cycling to occur on the valve assembly.", "Improper tuning (and calibration) of the valve positioner, for example, may translate the setpoint instructions into the pneumatic signal incorrectly and, thus, cause the valve stem to move to improper positions.", "On the other hand, physical problems can frustrate operation of the mechanical components on the valve assembly.", "Stick-slip describes a condition, for example, that results from high static friction and/or low kinetic friction between the valve stem and the valve packing material that surrounds the valve stem.", "This configuration can prevent leaks from inside the valve assembly.", "During operation, however, stick-slip can cause internal force, i.e., pressure on the closure member, to exceed normal operating levels in order to overcome the static friction that prevents movement of the valve stem.", "These internal forces, while desirable to induce movement of the valve stem, can cause the valve stem to overshoot its desired position.", "The process control system will attempt to correct this error.", "In response to overshoot, for example, the process control system often issues instructions for the valve assembly to modulate the valve stem in the opposite direction.", "However, it is likely that stick-slip will disrupt the movement in the opposite direction.", "The resulting errors will again prompt intervention from the control system to move the valve stem in the other direction.", "This process repeats itself, resulting in oscillation or cycling of the valve stem that can disrupt the stability of the process line as well as cause unnecessary wear on the valve assembly.", "BRIEF DESCRIPTION OF THE INVENTION This disclosure describes improvements in valve diagnostics that can more accurately distinguish cycling that is induced by process and tuning issues from cycling that is induced by stick-slip.", "These improvements embody methods with steps that can quantify the amplitude of movement of the valve stem because of stick-slip.", "These embodiments compare this stick-slip amplitude to an amplitude of other operating parameters (e.g., setpoint) of the valve assembly.", "In this way, the embodiments can generate an output that indicates the contributing factor of cycling on the valve assembly.", "As noted herein, these contributing factors can identify whether cycling occurs due to stick-slip or that cycling occurs in response to a process control, namely, modulation of a control signal by the process control system to regulate process parameters on a process line.", "BRIEF DESCRIPTION OF THE DRAWINGS Reference is now made briefly to the accompanying drawings, in which: FIG.", "1 depicts a flow diagram of an exemplary embodiment of a method for determining a contributing factor for cycling on a valve assembly; FIG.", "2 depicts a plot of data that exemplifies operation of a valve assembly that exhibits cycling due to stick-slip; FIG.", "3 depicts a plot of data that exemplifies operation of a valve assembly that exhibits cycling due to process controls; FIG.", "4 depicts a flow diagram of an exemplary embodiment of a method for determining a contributing factor for cycling on a valve assembly; FIG.", "5 depicts a flow diagram of an exemplary embodiment of a method for determining an amplitude that defines a change in position from a first position to a second position; FIG.", "6 depicts a flow diagram of an exemplary embodiment of a method for determining an amplitude that defines a change in setpoint from a first setpoint to a second setpoint; FIG.", "7.depicts a perspective view of an example of a valve assembly; FIG.", "8 depicts an exploded assembly view of an example of a valve positioner for use on the valve assembly of FIG.", "7; and FIG.", "9 depicts a schematic diagram of the valve assembly of FIG.", "7 as part of a process control system.", "Where applicable like reference characters designate identical or corresponding components and units throughout the several views, which are not to scale unless otherwise indicated.", "Moreover, the embodiments disclosed herein may include elements that appear in one or more of the several views or in combinations of the several views.", "DETAILED DESCRIPTION The discussion below offers a solution to determine the root cause of operating conditions on a valve assembly.", "Unlike previous techniques, which for the most part only detect or establish the “presence” of the operating condition, the embodiments herein can readily identify what is causing the operating condition to occur on the valve assembly.", "This information can enlighten the process owner/operator to better address the operating condition, effectively saving time and money by avoiding unnecessary repairs to valve assemblies that would not otherwise require maintenance.", "FIG.", "1 depicts a flow diagram of an exemplary embodiment of a method 100 for use on and/or as part of a valve assembly and a process control system.", "This diagram outlines steps that may embody instructions for one or more computer-implemented methods and/or programs.", "In FIG.", "1, the embodiment includes, at step 102, receiving an input with a sample set of data, the sample set comprising data samples collected and/or gathered from, e.g., the valve assembly.", "The embodiment also includes, at step 104, determining a first amplitude for the operating parameters and, at step 106, comparing the first amplitude to a second amplitude for the operating parameters.", "In one implementation, the embodiment can include, at step 108, generating an output that relates to a position of the first amplitude relative to the second amplitude, namely, a relative position of the first amplitude with respect to the second amplitude.", "As discussed more below, in one example the first amplitude has a value that quantifies an amount of stick-slip on the valve assembly.", "Broadly, the method 100 can configure the valve assembly and/or the process control system, generally, to process data in a way that associates characteristics of operation of the valve assembly with a root cause and/or a contributing factor.", "The data samples may correspond with operating parameters, for example, values for position, setpoint, and pressure for the valve assembly.", "These values are readily available and stored as part of normal operation and control of the valve assembly.", "In use, the method 100 can assign the first amplitude with a value that quantifies movement or “jump” of the valve stem that results from stick-slip on the valve assembly.", "This movement typically occurs between a first position and a second position.", "The method 100 can assign the second amplitude with a value that quantifies a change in the data for the setpoint and/or the position, for example, as between a first setpoint and a second setpoint.", "As noted above, the method 100 can ascertain the relationship or “relative position” of the first amplitude relative to the second amplitude, or vice versa.", "This relative position may convey that the first amplitude is greater than the second amplitude, that the first amplitude is less than the second amplitude, and/or that the first amplitude is the same as (also equal to) the second amplitude.", "Notably, the present disclosure contemplates that the method 100 can use the relationship between the first amplitude and the second amplitude to indicate the root cause of the operation of the valve assembly.", "This relationship may, for example, indicate that stick slip is the root cause of repeated up-and-down travel of the valve stem (also, “valve stem cycling” and/or “valve cycling”).", "On the other hand, the relationship may indicate that abnormal or “out-of-control” process conditions on the process line are the root cause of the valve stem cycling.", "Such process conditions often prompt the process control system to issue the command signal in a manner that is the root cause of the valve stem cycling.", "The method 100 can tailor the output to provide an alert or like indicator that instructs as to the root cause.", "This indicator can focus the response of an end user (e.g., process owner/operator) on the problem, e.g., to avoid unnecessary repairs to the valve assembly in the event that the root cause relates to abnormal process conditions.", "FIGS.", "2 and 3 depict plots of data for operating parameters of a valve assembly.", "The data reflects position POS, setpoint SP, and actuator pressure P (FIG.", "2), each collected over a time scale T. In each of the plots, the valve assembly exhibits cycling of the position POS.", "The plot of FIG.", "2 indicates operation of the valve assembly under stick-slip conditions.", "On the other hand, the plot of FIG.", "3 indicates operation of the valve assembly that shows signs of stick-slip (as identified, generally, by SLIP), but otherwise is cycling in response to abnormal conditions on the process line.", "The embodiments herein can process the data in each of FIGS.", "2 and 3 to identify the root cause of operation.", "These embodiments can, in one example, discern stick-slip cycling that corresponds with the distinctive pattern of the position POS data in the plot of FIG.", "2.This pattern has abrupt changes, or jumps, that have an amplitude that is sufficient to indicate the build-up of pressure and overshoot that occurs due to the inherent friction in the valve stem/packing interface.", "The embodiments can also discern that process cycling is the root cause of the pattern of position POS data in the plot of FIG.", "3.In this example, while the position POS data shows some areas of stick-slip (e.g., areas SLIP), the amplitude of the jump in the position POS data is not sufficient to associate the cycling issues with stick-slip.", "Rather, the pattern of the position POS data overwhelmingly follows the cycling of the setpoint SP, which may occur in response to process-related issues.", "FIG.", "4 depicts a flow diagram for an exemplary embodiment of a method 200 that can use the stick-slip amplitude to arrive at the root cause and/or contributing factors of valve stem cycling.", "The method 200 includes, at step 210, identifying a cycling condition on the valve assembly, which can instruct the method 200 (to return to step 202) to continue to process data until such condition exists on the valve assembly.", "The method 200 also includes, at step 212, determining an amplitude of one or more of the operating parameters that exhibit the cycling condition.", "The method 200 further includes, at step 214, identifying a stick-slip condition on the valve assembly and/or whether a stick-slip condition is present on the valve assembly.", "If the stick slip condition is not present, then the method 200 continues, at step 216, comparing an amplitude for a first operating parameter (A1) to an amplitude for a second operating parameter (A2).", "On the other hand, if the stick slip condition is present, then the method 200 continues, at step 204, determining a stick-slip amplitude (as noted, for example, in the method 300 below) and, at step 206, comparing the stick-slip amplitude to the amplitude of the first operating parameter and/or the amplitude for the second operating parameter.", "The generated output (e.g., at step 208) can reflect the relative position of the stick-slip amplitude, the amplitude of the first operating parameter, and the amplitude of the second operating parameter, as compared to one another in context of the steps outlined above and in Table 1 below.", "TABLE 1 Stick-Slip Relative Contributing Example Present Position Factor 1 No A1 > F1 × A2 Positioner Tuning 2 No A1 < F2 × A2 Process Control 3 Yes A2 > F3 × AST Process Control 4 Yes A2 < F4 × AST Stick-Slip As noted above, the method 200 is configured to identify one or more contributing factors that cause cycling on the valve assembly.", "In Table 1, the amplitudes A1, A2, and AST correspond with, respectively, the amplitude of position POS (FIGS.", "2 and 3), the amplitude of setpoint SP (FIGS.", "2 and 3), and the stick-slip amplitude of position POS (FIGS.", "2 and 3).", "The configurations of the method 200 may employ one or more factors, noted in the example of Table 1 above as a first factor F1, a second factor F2, a third factor F3, and a fourth factor F4.Values for the factors F1, F2, F3, and F4 can vary as to their effect within the processing of the data, as desired.", "In one implementation, the factors serve to increase and/or decrease the relative value of the amplitude A2 and/or AST, e.g., by a factor of 2, 4, 6, etc.", "In one example, the stick-slip amplitude is calculated in accordance with the steps outlined in the method 300 below (FIG.", "5).", "The steps of determining whether the stick-slip condition is present (e.g., at step 214) also analyze the data for the position POS and the setpoint SP.", "These steps may include, for example, determining a stick-slip condition metric, an example of which is noted in Equation (1) below, M ST = M P M S , Equation   ( 1 ) in which MST is the stick-slip condition metric, MP is a position metric, and MS is a setpoint metric.", "The method 300 can include steps for comparing the stick-slip condition metric MST to a threshold criteria, which may identify a value for the ratio of the position metric MP to the setpoint metric MS that corresponds with and/or relates to stick-slip on the valve assembly.", "Examples of the value for the threshold criteria may be in a range from about 1 to about 3.In one implementation, if the stick-slip condition metric MST does not satisfy (e.g., is greater than, less than, and/or equal to) this threshold criteria, then the stick-slip condition is not present on the valve assembly.", "The output can convey that stick-slip is present and/or not present on the valve assembly.", "In one example, the value for the first amplitude corresponds with the stick-slip condition metric being less than or equal to the value for the threshold criteria.", "The method 300 can also include steps for calculating the position metric and/or the setpoint metric using “p-norm” functions, as set forth in Equations (2) and (3) below, M P = ∑  ( P i - P i - 1 ) X N X , Equation   ( 2 ) M S = ∑  ( S i - S i - 1 ) X N X , Equation   ( 3 ) in which Pi-1 is a first data sample for the position, Pi is a second data sample for the position that is adjacent the first data sample in the sample set, Si-1 is a first data sample for the setpoint, Si is a second data sample for the setpoint that is adjacent the first data sample in the sample set, N is a number of data samples in the sample set, and X is a p-norm parameter.", "Examples of the p-norm parameter can be in a range of from about 2 to about 6, but this disclosure does contemplate certain configurations of the methods herein in which the p-norm parameter falls outside of this range.", "FIG.", "5 illustrates a flow diagram of an exemplary embodiment of a method 300 that includes exemplary steps to arrive at values that quantify the amplitude of the “jump” shown in position (e.g., position POS of FIGS.", "2 and 3).", "This amplitude is also referred to herein (e.g., in FIG.", "4 above) as the stick-slip amplitude.", "These steps process the data samples in the data set; in one example, this process starts with the second data sample in the data set and ends with the last data sample in the data set.", "In FIG.", "5, the method 300 includes, at step 302, receiving an input with the sample set of data and, at step 304, selecting a data sample from the data set.", "The method 300 also includes, at step 306, determining a first sample interval and, at step 308, comparing the first sample interval to a first threshold value.", "If the first sample interval exceeds the first threshold value, then the method 300 can include, at step 310, incrementing a cycle variable and, at step 312, determining a total interval value.", "In one embodiment, the method 300 includes, at step 314, determining whether the last data sample has been processed and, if so, then the method 300 can include, at step 316, calculating the first amplitude (e.g., the stick-slip amplitude (AST)) using the values for the total interval value and/or the count variable.", "This disclosure contemplates implementation of the method 300 as an iterative process to process a plurality of data samples.", "In this connection, the method 300 can include steps for calculating one or more sample intervals, for example, one that occurs between data samples that are adjacent to one another in the sample set of data.", "The steps can also include steps for comparing each of the sample intervals to the first threshold value that relates to the position.", "Examples of the total interval value may be calculated by steps for adding together (and/or summing and/or aggregating) the one or more sample intervals to arrive at the total interval value.", "In one example, the total interval value may only include the one or more sample intervals that satisfy (also, where applicable, deviate from) the first threshold value for the data samples in the data set.", "This criteria may indicate that the one or more sample intervals are each greater than, less than, and/or equal to the first threshold value, as desired.", "In another example, the steps may include steps for incrementing the cycle variable for each of the one or more sample intervals that exceed the first threshold value.", "The steps may also arrive at the value for the first amplitude by incorporating one or both of the cycle variable and the total interval value, for example, where the value for the first amplitude is equal to the ratio of the total interval value to the cycle count.", "The method 300 can include steps that provide a value for the first sample interval as positive and/or non-negative (and/or greater than or equal to zero).", "This feature is useful to calculate the amplitude of the “jump” independent of the direction of movement of the valve stem—i.e., in both the positive direction and the negative direction.", "In one embodiment, the method 300 may include steps to calculate the first sample interval in accordance with Equation (4) below, I=|P2−P1|, Equation (4) in which I is a first sample interval among the one or more sample intervals, P1 is a previously-stored data sample, and P2 is a data sample that is adjacent the previously-stored data sample P1 in the sample set of data.", "The method 300 can also include steps to generate a value for the first threshold value that, like the value for the first sample interval, is also positive and/or non-negative.", "This value may reflect use of a “p-norm” function.", "In one embodiment, the method 300 may include steps to calculate the first threshold value in accordance with Equation (5) below, RMP position = ∑  ( P i - P i - 1 ) X N X , Equation   ( 5 ) in which RMPPosition is the first threshold value, Pi-1 is a first data sample for the position in the sample set, Pi is a second data sample for the position that is adjacent the first data sample in the sample set, N is a number of data samples in the sample set, and X is a p-norm parameter.", "Examples of the p-norm parameter can be in a range of from about 2 to about 6, but this disclosure does contemplate certain configurations of the methods herein in which the p-norm parameters falls outside of this range.", "FIG.", "6 depicts a flow diagram of an exemplary embodiment of a method 400 with exemplary steps to determine a value for the position amplitude and the setpoint amplitude.", "The method 400 includes, at step 402, receiving an input with the sample set of data and, at step 404, selecting a first data sample (also “starting data sample”), for example, the first data sample among the data samples in the data set.", "The method 400 also includes, at step 406, identifying the starting data sample as a first vertex in the data set and, at step 408, comparing a first deviation with a second threshold value.", "The first deviation measures the difference between the value of the starting data sample and the value of other data samples in the data set.", "Notably, in one implementation, if no deviation is found in excess of the second threshold value, then the valve assembly is considered to be operating normally—i.e., the valve assembly is not cycling.", "On the other hand, if the first deviation is found in excess of the second threshold value, then the method 400 continues to include, at step 410, determining a direction of travel for the valve stem.", "The direction of travel is, typically, positive (or “increasing”) for situations in which the value of the first vertex is greater than the value of the starting data sample or negative (or “decreasing”) for situations in which the value of the first vertex is less than the value of the starting data sample.", "The direction of travel can influence further processing of the data samples.", "As also shown in FIG.", "6, the method 400 can include, at step 412, selecting a second data sample from the data set, often starting with a data sample that is adjacent or consecutive with the first vertex and ending with the last data sample in the data set.", "When the direction of travel is “increasing,” the method 400 continues, at step 414, comparing the selected data sample to the first vertex.", "If the selected data sample is greater than the first vertex, then the method 400 includes, at step 416, storing the selected data sample as the first vertex and continues back to step 412 to select a different data sample.", "If the selected data sample is less than the first vertex, then the method 400 can include, at step 418, comparing a second deviation between the selected data sample and the first vertex to the second threshold value.", "If the second deviation is less than the second threshold value, then the method continues to include, at step 420, incrementing a vertex count that identifies each occurrence of a vertex in the sample set of data, at step 422, identifying the selected data sample as the second vertex, and, at step 424, changing the direction of travel to decreasing.", "In one example, the vertex corresponds with a data sample from the sample set of data that has a relative position with respect to a previously-stored data sample from the sample set of data that exceeds the second threshold value.", "The method 400 can continue, at step 426, determining whether the selected data sample is the last data sample in the data set.", "If the selected data sample is the last data sample in the data set, then the method 400 can continue to include, at step 428 and/or step 430, determining a cycle count and/or a cycle amplitude, as set forth herein.", "In one embodiment, the cycle amplitude corresponds with the second amplitude, which in turn may correspond with an average of the relative positions from one vertex to another vertex for the sample set of data.", "Thus, the method 400 may include one or more steps for storing each of the deviations (e.g., the second deviation) between the adjacent data samples.", "When the direction of travel is “decreasing,” then the method 400 continues, at step 414, comparing the selected data sample to the first vertex.", "If the selected data sample is less than the first vertex, then the method 400 includes, at step 416, storing the selected data sample as the first vertex and continues back to step 412 to select a different data sample.", "If the selected data sample is greater than the first vertex, the method 400 can include, at step 418, comparing the second deviation between the selected data sample and the first vertex to the second threshold.", "If the second deviation is greater than the second threshold value, then the method continues to include, at step 420, incrementing a vertex count that identifies each occurrence of a vertex in the sample set of data.", "The method 400 also includes, at step 422, identifying the selected data sample as the first vertex, and, at step 424, changing the direction of travel to increasing.", "The method 400 can continue to include, at step 426, determining whether the selected data sample is the last data sample in the data set.", "If the selected data sample is the last data sample in the data set, then the method 400 can continue to include, at step 428 and/or step 430, determining a cycle count and/or determining a cycle amplitude, as set forth herein.", "FIGS.", "7, 8, and 9 depict an example of a valve assembly and a process control system (FIG.", "9) that can employ the embodiments disclosed herein.", "These examples are useful to regulate process fluids in industrial process lines typical of industries that focus on chemical production, refining production, and resource extraction.", "FIG.", "7 shows a perspective view of a valve positioner.", "FIG.", "8 provides an exploded assembly view of components of the valve assembly.", "FIG.", "9 illustrates a schematic diagram of the valve assembly 10 as part of a process control system.", "In FIG.", "7, the valve assembly 10 includes a valve positioner 12, an actuator 14, and a fluid coupling 16 with a body 18 that has a first inlet/outlet 20 and a second inlet/outlet 22.The fluid coupling 16 can also include a valve stem 24, which couples the actuator 14 with other valve members (e.g., a closure member) that is found in the interior to the body 18 and, thus, not shown in the present view.", "This structure can modulate a flow of process fluid FP between the inlet/outlets 20, 22.During operation, the valve positioner 12 regulates instrument gas (also, “pneumatic signal”) into the actuator 16 to manage the position of the valve members disposed in the body 18.The change in position modulates flow of the process fluid FP across the inlet/outlets 20, 22.FIG.", "8 depicts the valve positioner 12 in exploded form.", "As noted above, the valve positioner 12 has components that generate the pneumatic signal to the actuator 14 (FIG.", "7).", "The valve positioner 12 can have a plurality of positioner components (e.g., a converter component 26, a relay component 28, a processing component 30).", "The valve positioner 12 can also have a housing 32.One or more covers (e.g., a first cover 34 and a second cover 36) can secure with the housing 32 to form an enclosure about the positioner components 26, 28, 30.This enclosure protects the positioner components from conditions that prevail in the environment surrounding the valve assembly 10.The valve positioner 12 also includes one or more gauges (e.g., a first gauge 38 and a second gauge 40) that can provide an indication of the flow conditions (e.g., pressure, flow rate, etc.)", "of the instrument gas that the valve positioner 12 uses to operate the valve in the valve assembly 10.In one example, operation of the positioner components 26, 28, 30 maintain the position of the valve members in the body 18 (FIG.", "7) to modulate flow of the process fluid FP across the inlet/outlets 20, 22 (FIG.", "7).", "FIG.", "9 depicts a schematic diagram of the valve assembly 10.Examples of the valve members can include a closure member 42 that can move relative to a seat 44.As also shown in FIG.", "9, the valve assembly 10 integrates as part of a control system 46, typically by way of communication between the valve positioner 12 and a network system 48 via a network 50.Examples of the network 50 can transfer data, information, and signals by way of wired protocols (e.g., 4-20 mA, Foundation Fieldbus, etc.)", "and/or wireless protocols, many of which find use in a plant or factory automation environment.", "These protocols facilitate communication over the network 50 between the valve positioner 12, a process management server 52 (and like “apparatus”) that can run certain diagnostic and/or operative software and programs for data analysis, a process controller 53, a terminal 54, and/or an external server 56.During operation, the process controller 53 can execute one or more computer programs to deliver a command signal to the valve positioner 12.The command signal identifies a commanded position for the closure member 42.The valve positioner 12 uses the commanded position to modulate the instrument gas to the actuator 14 and, effectively, allow the closure member 42 to move relative to the seat 44.In one example, feedback in the assembly can provide the valve positioner 12 with a position of the valve stem 14.The position corresponds with the location and/or position of the closure member 42 relative to the seat 44.In one embodiment, the process management server 52 can comprise a processor configured to access a memory that has one or more executable instructions stored thereon, the executable instructions comprising one or more instructions that configure the server 52 (also, “apparatus”) and that may embody one or more of the method steps as set forth herein.", "The memory may be incorporated as part of the process management server 52 or, in one example, separate from the process management server 52.The data may reside on a data source, often locally in one or more memories on the valve positioner 12 (FIG.", "7), although this disclosure also contemplates configurations in which the data resides on the control system 46 (FIG.", "9).", "For example, the data source may integrate with the process management server 52 (FIG.", "9) and/or as part of the external server 56 (FIG.", "9).", "At the data source, the data may be arranged as one or more data sets that include one or more data samples.", "The data sets may be identified by an indicator (e.g., a date stamp, a time stamp, a date/time stamp, etc.)", "that relates to the chronological time at which the data samples in the data set were gathered and/or stored, e.g., in memory.", "For real-time use of the methods, the data samples may be read into a buffer and/or like configured storage medium that allows for ready access to the data samples to afford the methods with chronologically relevant data, taking into consideration necessary data processing time-lag.", "In one embodiment, the methods may include one or more steps for obtaining and/or retrieving the data from the data source.", "In view of the foregoing, the embodiments above deploy features that can determine the amplitude of jump that results from stick-slip during operation of the valve assembly.", "The embodiments are also configured to use this amplitude to identify the root cause or contributing factor to cycling of the valve stem.", "A technical effect is to trigger an alarm or an output, generally, that can alert the process owner/operator to the root cause and, thus, direct attention to specific solutions (i.e., process solutions) that can avoid unnecessary repairs and maintenance on the valve assemblies of the process line.", "The embodiments may be implemented on any device where relevant data is present and/or otherwise accessible.", "For example, the embodiments can be implemented as executable instructions (e.g., firmware, hardware, software, etc.)", "on the valve positioner.", "The valve positioner can transmit the output of the embodiments to a distributed control system, asset management system, independent monitoring computing device (e.g., a desktop computer, laptop computer, tablet, smartphone, mobile device, etc.).", "In another embodiment, the embodiments can obtain data from a historian (e.g., a repository, memory, etc.", "), and send to an independent diagnostic computing device.", "The historian is conventionally connected to the asset management system or distributed control system.", "The diagnostic computing device has all the capabilities of the monitoring computer and, often, the additional capability to execute executable instructions for the embodiment to process the given data.", "In another embodiment, the valve positioner is configured to send data by wires or wirelessly to the diagnostic computing device, as well as through peripheral and complimentary channels (e.g., through intermediate devices such as a DCS or may be connected directly to the diagnostic computer).", "One or more of the steps of the methods can be coded as one or more executable instructions (e.g., hardware, firmware, software, software programs, etc.).", "These executable instructions can be part of a computer-implemented method and/or program, which can be executed by a processor and/or processing device.", "The processor may be configured to execute these executable instructions, as well as to process inputs and to generate outputs, as set forth herein.", "For example, the software can run on the process device, the diagnostics server, and/or as software, application, or other aggregation of executable instructions on a separate computer, tablet, laptop, smart phone, wearable device, and like computing device.", "These devices can display the user interface (also, a “graphical user interface”) that allows the end user to interact with the software to view and input information and data as contemplated herein.", "The computing components (e.g., memory and processor) can embody hardware that incorporates with other hardware (e.g., circuitry) to form a unitary and/or monolithic unit devised to execute computer programs and/or executable instructions (e.g., in the form of firmware and software).", "Exemplary circuits of this type include discrete elements such as resistors, transistors, diodes, switches, and capacitors.", "Examples of a processor include microprocessors and other logic devices such as field programmable gate arrays (“FPGAs”) and application specific integrated circuits (“ASICs”).", "Memory includes volatile and non-volatile memory and can store executable instructions in the form of and/or including software (or firmware) instructions and configuration settings.", "Although all of the discrete elements, circuits, and devices function individually in a manner that is generally understood by those artisans that have ordinary skill in the electrical arts, it is their combination and integration into functional electrical groups and circuits that generally provide for the concepts that are disclosed and described herein.", "Aspects of the present disclosure may be embodied as a system, method, or computer program product.", "The embodiments may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, software, etc.)", "or an embodiment combining software and hardware aspects that may all generally be referred to herein as a “circuit,” “module” or “system.” The computer program product may embody one or more non-transitory computer readable medium(s) having computer readable program code embodied thereon.", "Computer program code for carrying out operations for aspects of the present invention may be written in any combination of one or more programming languages, including an object oriented programming language and conventional procedural programming languages.", "Program code embodied on a computer readable medium may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc., or any suitable combination of the foregoing.", "As used herein, an element or function recited in the singular and proceeded with the word “a” or “an” should be understood as not excluding plural said elements or functions, unless such exclusion is explicitly recited.", "Furthermore, references to “one embodiment” of the claimed invention should not be interpreted as excluding the existence of additional embodiments that also incorporate the recited features.", "This written description uses examples to disclose the invention, including the best mode, and also to enable any person skilled in the art to practice the invention, including making and using any devices or systems and performing any incorporated methods.", "The patentable scope of the invention is defined by the claims, and may include other examples that occur to those skilled in the art.", "Such other examples are intended to be within the scope of the claims if they have structural elements that do not differ from the literal language of the claims, or if they include equivalent structural elements with insubstantial differences from the literal language of the claims." ] ]
Patent_15875702
[ [ "TREATMENT INSTRUMENT FOR ENDOSCOPE", "A treatment instrument for an endoscope is equipped with a sheath; and a balloon which is provided at the sheath, the balloon being configured to be expandable to an unfolded inflated shape from a folded initial shape by supplying a fluid, the balloon having a first region and a second region provided at both end portions in a longitudinal direction, and an intermediate section provided between the first region and the second region.", "In the initial shape of the balloon, an amount of residual strain of the intermediate section is larger than the amount of residual strain of the first region and the amount of residual strain of the second region.", "When an internal pressure of the balloon has a first internal pressure value, the first region and the second region are unfolded to be faster than the intermediate section, thereby having a greater diameter than the intermediate section." ], [ "1.A treatment instrument for an endoscope, comprising: a sheath; and a balloon which is provided at the sheath, the balloon being configured to be expandable to an unfolded inflated shape from a folded initial shape by supplying a fluid, the balloon having a first region and a second region provided at both end portions in a longitudinal direction, and an intermediate section provided between the first region and the second region, wherein, in the initial shape of the balloon, an amount of residual strain of the intermediate section is larger than the amount of residual strain of the first region and the amount of residual strain of the second region, when an internal pressure of the balloon has a first internal pressure value, the first region and the second region are unfolded to be faster than the intermediate section, thereby having a greater diameter than the intermediate section, when the internal pressure of the balloon has a second internal pressure value greater than the first internal pressure value, the first region, the second region, and the intermediate section are unfolded to have the inflated shape, and when the internal pressure of the balloon is greater than a third internal pressure value greater than the second internal pressure value, a material forming the balloon stretches and expands to be greater than the diameter at the second internal pressure value.", "2.The treatment instrument for the endoscope according to claim 1, wherein the balloon is folded to have a plurality of wing sections protruding radially outward in the initial shape, and the wing sections are folded by being wound around an axis of the balloon.", "3.The treatment instrument for the endoscope according to claim 1, wherein the balloon is configured such that an outer diameter increases up to the second internal pressure value, depending on the inflation due to the progress of the unfolding rather than the expansion due to the stretching of the balloon material, and at an internal pressure greater than the third internal pressure value, the outer diameter increases, depending on the expansion due to the stretching of the balloon material rather than the inflation due to the progress of the unfolding.", "4.The treatment instrument for the endoscope according to claim 1, wherein, in the initial shape, the outer diameter of the intermediate section is smaller than the outer diameters of the first region and the second region.", "5.The treatment instrument for the endoscope according to claim 1, further comprising: a marker provided at a boundary between the intermediate section, the first region, and the second region.", "6.The treatment instrument for the endoscope according to claim 5, wherein the marker is configured to be visible under an endoscope or X-ray fluoroscopy." ], [ "<SOH> FIELD OF THE INVENTION <EOH>The present invention relates to a treatment instrument for an endoscope used when performing a dilation treatment on a stenosed part or an occluded part in a luminal organ of a living body." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>A treatment instrument for an endoscope according to a first aspect of the present invention is equipped with a sheath; and a balloon which is provided at the sheath, the balloon being configured to be expandable to an unfolded inflated shape from a folded initial shape by supplying a fluid, the balloon having a first region and a second region provided at both end portions in a longitudinal direction, and an intermediate section provided between the first region and the second region.", "In the initial shape of the balloon, an amount of residual strain of the intermediate section is larger than the amount of residual strain of the first region and the amount of residual strain of the second region.", "When an internal pressure of the balloon has a first internal pressure value, the first region and the second region are unfolded faster than the intermediate section, thereby having a greater diameter than the intermediate section.", "When the internal pressure of the balloon has a second internal pressure value greater than the first internal pressure value, the first region, the second region, and the intermediate section are unfolded to have the inflated shape.", "When the internal pressure of the balloon is greater than a third internal pressure value greater than the second internal pressure value, a material forming the balloon stretches and expands to be greater than the diameter at the second internal pressure value.", "According to a second aspect of the present invention, in the treatment instrument for an endoscope according to the first aspect, the balloon may be folded to have a plurality of wing sections protruding radially outward in the initial shape, and the wing sections may be folded by being wound around an axis of the balloon.", "According to a third aspect of the present invention, in the treatment instrument for the endoscope according to the first aspect, the balloon may be configured such that an outer diameter increases up to the second internal pressure value, according to the inflation due to the progress of the unfolding rather than the expansion due to the stretching of the balloon material, and at an internal pressure greater than the third internal pressure value, the outer diameter increases, according to the expansion due to the stretching of the balloon material rather than the inflation due to the progress of the unfolding.", "According to a fourth aspect of the present invention, in the treatment instrument for the endoscope according to any one of the first to third aspects, in the initial shape, the outer diameter of the intermediate section may be smaller than the outer diameters of the first region and the second region.", "According to a fifth aspect of the present invention, the treatment instrument for the endoscope according to any one of the first to fourth aspects may further include markers provided at boundaries between the intermediate section, the first region, and the second region.", "According to a fifth aspect of the present invention, in the treatment instrument for the endoscope according to the fourth aspect, the marker may be configured to be visible under an endoscope or X-ray fluoroscopy." ], [ "This application is a continuation application based on a PCT International Application No.", "PCT/JP2016/063985, filed on May 11, 2016, whose priority is claimed on Japanese Patent Application No.", "2015-148480, filed Jul.", "28, 2015.Both of the content of the PCT International Application and the Japanese Application are incorporated herein by reference.", "FIELD OF THE INVENTION The present invention relates to a treatment instrument for an endoscope used when performing a dilation treatment on a stenosed part or an occluded part in a luminal organ of a living body.", "DESCRIPTION OF RELATED ART Conventionally, a procedure for performing a dilation treatment or the like of a stenosed part or an occluded part (hereinafter referred to as “stenosed part or the like”) of the digestive tract while using an endoscope is performed.", "In such a procedure, for example, a treatment instrument for an endoscope equipped with a balloon is used.", "Specifically, the endoscope and the treatment instrument for the endoscope are inserted into the luminal organ of the living body together, and the balloon is inflated while the balloon is inserted into the stenosed part or the like to dilate the stenosed part or the like.", "When the treatment instrument for an endoscope is disposed to dilate the stenosed part in the luminal organ of the living body in this manner, the balloon slides against the stenosed part or the like while the balloon is inflated, and the balloon may become detached from the part to be dilated.", "In this case, it is necessary for a surgeon to temporarily deflate the balloon and perform positioning of the balloon again, which makes the operation complicated.", "Therefore, in order to prevent the balloon from slipping with respect to the stenosed part or the like in a state of being inflated, a treatment instrument for an endoscope using a balloon having a small-diameter portion between a distal end portion and a proximal end portion in a state of being inflated has been proposed (see, for example, PCT International Publication No.", "WO2010/042869, Japanese Unexamined Patent Application, First Publication No.", "2010-4915, PCT International Publication No.", "WO00/057945).", "SUMMARY OF THE INVENTION A treatment instrument for an endoscope according to a first aspect of the present invention is equipped with a sheath; and a balloon which is provided at the sheath, the balloon being configured to be expandable to an unfolded inflated shape from a folded initial shape by supplying a fluid, the balloon having a first region and a second region provided at both end portions in a longitudinal direction, and an intermediate section provided between the first region and the second region.", "In the initial shape of the balloon, an amount of residual strain of the intermediate section is larger than the amount of residual strain of the first region and the amount of residual strain of the second region.", "When an internal pressure of the balloon has a first internal pressure value, the first region and the second region are unfolded faster than the intermediate section, thereby having a greater diameter than the intermediate section.", "When the internal pressure of the balloon has a second internal pressure value greater than the first internal pressure value, the first region, the second region, and the intermediate section are unfolded to have the inflated shape.", "When the internal pressure of the balloon is greater than a third internal pressure value greater than the second internal pressure value, a material forming the balloon stretches and expands to be greater than the diameter at the second internal pressure value.", "According to a second aspect of the present invention, in the treatment instrument for an endoscope according to the first aspect, the balloon may be folded to have a plurality of wing sections protruding radially outward in the initial shape, and the wing sections may be folded by being wound around an axis of the balloon.", "According to a third aspect of the present invention, in the treatment instrument for the endoscope according to the first aspect, the balloon may be configured such that an outer diameter increases up to the second internal pressure value, according to the inflation due to the progress of the unfolding rather than the expansion due to the stretching of the balloon material, and at an internal pressure greater than the third internal pressure value, the outer diameter increases, according to the expansion due to the stretching of the balloon material rather than the inflation due to the progress of the unfolding.", "According to a fourth aspect of the present invention, in the treatment instrument for the endoscope according to any one of the first to third aspects, in the initial shape, the outer diameter of the intermediate section may be smaller than the outer diameters of the first region and the second region.", "According to a fifth aspect of the present invention, the treatment instrument for the endoscope according to any one of the first to fourth aspects may further include markers provided at boundaries between the intermediate section, the first region, and the second region.", "According to a fifth aspect of the present invention, in the treatment instrument for the endoscope according to the fourth aspect, the marker may be configured to be visible under an endoscope or X-ray fluoroscopy.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a cross-sectional view illustrating a treatment instrument for an endoscope according to an embodiment of the present invention.", "FIG.", "2 is a diagram illustrating an initial shape of a balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "3 is a diagram illustrating an example of a procedure of forming the initial shape of the balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "4 is a diagram illustrating an example of a procedure of forming an initial shape of the balloon in a treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "5 is a diagram illustrating an example of a procedure of forming the initial shape of the balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "6 is a diagram illustrating an example of a procedure of forming the initial shape of the balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "7 is a diagram illustrating one operation at the time of use of the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "8 is a graph illustrating a relationship between an inner pressure and an outer diameter of the balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "9 is a diagram illustrating a balloon at the first internal pressure value of the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "10 is a diagram illustrating a balloon at a second internal pressure value of the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "11 is an enlarged cross-sectional view illustrating part of the balloon in the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "12 is a diagram illustrating a modified example of a distal end portion of the treatment instrument for the endoscope according to an embodiment of the present invention.", "FIG.", "13 is a diagram illustrating a modified example of the distal end portion of the treatment instrument for the endoscope according to an embodiment of the present invention.", "DETAILED DESCRIPTION OF THE INVENTION An embodiment of the present invention will be described with reference to FIGS.", "1 to 10.FIG.", "1 is a cross-sectional view illustrating a treatment instrument for the endoscope 1 according to this embodiment.", "The treatment instrument for the endoscope 1 is equipped with a sheath 2, a balloon 3, a connecting section 4, a distal end tip 5, and a stylet 6.The sheath 2 is a member which has a lumen 21, is long in a direction of a longitudinal axis L, and has flexibility.", "A proximal end portion of the balloon 3 is tightly connected to the distal end portion of the sheath 2.The connecting section 4 is provided at the proximal end portion of the sheath 2.The connecting section 4 has a communication passage 41, which allows communication from the distal end to the proximal end along the longitudinal axis L, formed therein.", "A lumen 21 of the sheath 2 communicates with the interior of the balloon 3 and a communication passage 41 of the connecting section 4.Therefore, the balloon 3 can be inflated, by supplying fluid to the balloon 3 via the communication passage 41 and the lumen 21, using a syringe or the like connected to the connecting section 4 or the like.", "At the distal end portion of the sheath 2, a marker 22 capable of being checked under X-ray fluoroscopy is provided.", "The balloon 3 is a bag-like member made up of, for example, a transparent film (for example, PEBAX (registered trademark) manufactured by ARKEMA Co.) made of polyamide resin.", "The balloon 3 is folded by forming folds such that a plurality of wing sections extending in the longitudinal direction are formed after forming the material into a substantially cylindrical shape.", "Hereinafter, a shape in which a diameter is reduced by this folding is referred to as an “initial shape” of the balloon 3.Details of the initial shape will be described later.", "When the fluid is injected, the balloon 3 is deployed while unfolding by the wing section spreading, and inflates to a substantially cylindrical shape.", "In this way, a shape after inflating substantially only by unfolding is hereinafter referred to as the “inflated shape” of the balloon 3.By removing the fluid injected into the inflated balloon 3, the balloon 3 can fold again and deflate in a dimension in a radial direction.", "When the internal pressure of the balloon 3 having the inflated shape becomes a predetermined value or more, the balloon 3 expands to increase in diameter, while the constituent film stretches.", "That is, the balloon 3 is a so-called semi-compliant balloon.", "The distal end tip 5 is provided at the distal end of the treatment instrument for the endoscope 1.The distal end tip 5 is a substantially conical member extending in the direction of the longitudinal axis L, and its distal end portion is formed in a spherical shape in order to prevent damage to tissue when inserted into a body cavity.", "The distal end portion of the balloon 3 is tightly fixed to the proximal end portion of the distal end tip 5.The stylet 6 is a shaft member, is inserted through the inside of the balloon 3, and extends along the longitudinal axis L from the distal end to the proximal end of the balloon 3.The distal end portion of the stylet 6 is connected to the proximal end of the distal end tip 5.The stylet 6 extends through the interior of the balloon 3, the lumen 21 of the sheath 2, and the communication passage 41 of the connecting section 4 and is fixed to the inner wall of the communication passage 41 of the connecting section 4.The stylet 6 is made of, for example, stainless steel, a nickel-titanium alloy or the like.", "FIG.", "2 illustrates the initial shape which is the shape of the balloon 3 before inflation.", "In the initial configuration, the balloon 3 has a first region 31 adjacent to the distal end tip 5, a second region 32 adjacent to the sheath 2, and an intermediate section 33 between the first region 31 and the second region 32.An outer diameter D1 of the first region 31 and the second region 32 located at both ends in the longitudinal direction (the same as an axial direction of the balloon 3) of the balloon 3 is larger than an outer diameter D2 of the intermediate section 33.A method of a folding process for forming the aforementioned initial shape will be described.", "The folding process of the balloon 3 is performed by combining the folding process illustrated in FIGS.", "3 and 4 and the winding process illustrated in FIGS.", "5 and 6.First, as illustrated in FIG.", "3, a plurality of folding members 100 are brought into close contact with each other from the outer side of the balloon 3 in the radial direction.", "Then, part of the balloon 3 is sandwiched between the folding members 100 and bent.", "Thus, as illustrated in FIG.", "4, a folding line 35 extending in the longitudinal direction of the balloon 3 is formed, and the balloon 3 has a plurality of wing sections 36 formed to protrude radially outward with the folding line 35 as a ridge line.", "The number of wing sections 36 to be formed can be appropriately set by changing the number of folding members 100.Next, as illustrated in FIG.", "5, a plurality of diaphragm members 101 are brought into close contact with each other from the radially outer side of the balloon 3 having the wing section 36 formed thereon.", "By combining the plurality of the diaphragm members 101, a columnar internal space can be formed at the center portion thereof, and by appropriately relatively moving the plurality of diaphragm members 101, it is possible to change the dimension of the internal space in the radial direction.", "When the plurality of diaphragm members 101 are relatively moved in the state of the balloon 3 being disposed in the internal space and the radial dimension of the internal space is gradually reduced, the diaphragm member 101 and the ridge line of the wing section 36 are first brought into contact with each other.", "Thereafter, due to friction between the diaphragm member 101 and the wing section 36, the ridge line of the wing section 36 moves in the circumferential direction of the balloon 3, and the protruding direction of the wing section 36 is inclined in the circumferential direction.", "Thereafter, as the radial dimension of the internal space is reduced, as illustrated in FIG.", "6, the wing section 36 is wound around the axis of the balloon 3 about the stylet 6 to provide curling.", "At this time, a plurality of valley sections 37 are formed to protrude radially inward at a base side of the wing section 36.The outer diameter of the curled balloon 3 is substantially the same as the inner diameter of the internal space formed by the diaphragm member 101.Accordingly, a pair of diaphragm members 101 having sizes corresponding to the first region 31, the second region 32, and the intermediate section 33 are prepared, respectively, and the diaphragm member 101 disposed around the first region 31 and the second region 32 is relatively moved until the inner diameter of the internal space becomes D1, and the diaphragm member 101 disposed around the intermediate section 33 is relatively moved until the inner diameter of the internal space becomes D2.Accordingly, it is possible to form the balloon 3 having the initial shape illustrated in FIG.", "2.The above-described forming method of the initial shape is an example, and the method for forming the balloon according to the present embodiment is not limited thereto.", "In the balloon 3 having this initial shape, the amount of residual strain differs between the first region 31, the second region 32 and the intermediate section 33, due to a difference in amount of deformation of the film caused by the folding process.", "In the present specification, the “amount of residual strain” means the total amount of residual strain within a predetermined unit length range in the axial direction of the balloon.", "When a certain region has a length equal to or longer than the unit length in the axial direction, the amount of residual strain per unit length calculated by averaging the amount of residual strain of each part is taken as the amount of residual strain in the region.", "In the balloon 3 having the initial shape, the residual strain exclusively occurs in the portion of the folding line 35, which is the top portion of the wing section 36, and the valley section 37 bent so as to be convex toward the stylet 6 at the middle between two adjacent wing sections 36.As the amount of deformation occurring in the film constituting the balloon 3 due to the folding process increases, the residual strain generated in the folding line 35 and the valley section 37 increases.", "Accordingly, the balloon 3 according to the present embodiment is configured so that the amount of residual strain is larger in the intermediate section 33 than in the first region 31 and the second region 32.In the aforementioned method for forming the initial shape, it is possible to adjust the amount of deformation of the balloon 3, for example, by adjusting the movement distance of the diaphragm member 101 inward in the radial direction of the balloon at the time of the winding process.", "Therefore, in the balloon 3 according to the present embodiment, at the time of forming the initial shape, by setting the movement distance of the diaphragm member 101 disposed around the intermediate section 33 to be larger than the movement distance of the diaphragm member 101 disposed around the first region 31 and the second region 32, the amount of deformation of the intermediate section 33 is set to be larger than the amounts of deformation of the first region 31 and the second region 32.Therefore, the amount of residual strain in the intermediate section 33 can be set to be larger than the amount of residual strain in the first region 31 and the second region 32.The method for adjusting the amount of residual strain is not limited thereto, and for example, by setting the amount of driving force of the diaphragm member 101 at the time of winding process, or the amount of driving force or movement distance of the folding member 100 at the time of folding process, the amount of residual strain may be set to be larger at the intermediate section 33 than at the first region 31 and the second region 32.Further, the amount of residual strain may be adjusted by performing a heat treatment on the balloon 3 at the time of winding process or the folding processing.", "An operation at the time of use of the treatment instrument for the endoscope 1 configured as described above will be described.", "The treatment instrument for the endoscope 1 is introduced into the body of the patient P via a channel provided in the insertion portion 151 of the endoscope 150.As illustrated in FIG.", "7, the user connects an inflator 200 to the connecting section 4, and inserts the inflator into the insertion portion 151 from a forceps port 152 of the endoscope 150.Thereafter, the endoscope 150 is inserted into the body of the patient P, and the distal end of the endoscope 150 is moved forward to a region in which the dilation procedure is performed, for example, to the vicinity of a predetermined part of the esophagus.", "Connection between the treatment instrument for the endoscope 1 and the inflator 200 or insertion of the treatment instrument for the endoscope 1 into the endoscope 150 may be performed after the endoscope 150 is inserted into the body of the patient P. While observing a target site to be subjected to the dilation procedure with the endoscope 150, the user causes the instrument for an endoscope 1 to protrude from the endoscope 150 and inserts the distal end tip 5 into the target site.", "The user further moves the treatment instrument for the endoscope 1 forward and holds the treatment instrument for the endoscope 1 so that the balloon 3 breaks through the target site, that is, the distal end portion and the proximal end portion of the balloon 3 are located on the distal side and the proximal side with respect to the target site, respectively.", "The user actuates the inflator 200 to supply a fluid such as water or air to the balloon 3.The balloon 3 inflates while the internal pressure is raised by the supplied fluid.", "Since the amount of residual strain of the intermediate section 33 is larger than that of the first region 31 and the second region 32, a larger force is required such that the folding line 35 and the valley section 37 linearly stretch and the wing section 36 spreads.", "FIG.", "8 is a graph illustrating a relationship between the internal pressure of the balloon 3 and the outer diameters of the first region 31, the second region 32, and the intermediate section 33.In a state in which the internal pressure of the balloon 3 has reached a predetermined first internal pressure value P1 due to the supply of the fluid, in the first region 31 and the second region 32, unfolding has progressed faster than at the intermediate section 33.On the other hand, since the progress of unfolding of the intermediate section 33 is slow, the diameter of the intermediate section 33 is smaller than that of the first region 31 and the second region 32, and the balloon 3 overall has a dumbbell shape as illustrated in FIG.", "9.Therefore, even if the balloon 3 slips due to mucus or the like on the surface of the luminal organ, the first region 31 and the second region 32 serve as an anchor to suppress the movement, and thereby a situation such as detachment of the balloon 3 from the target site St is suitably prevented.", "In FIG.", "9, the shape of the intermediate section 33 illustrates the same state as the initial shape, but this is an example, and it is obvious that the shape may be a state when the intermediate section starts to inflate.", "When the internal pressure of the balloon 3 reaches the second internal pressure value P2, which is higher than the first internal pressure value, the folding is released at all of the first region 31, the second region 32, and the intermediate section 33, and the balloon 3 is restored to almost a substantially cylindrical shape (inflated shape) before the folding process as illustrated in FIG.", "10.At this time, the radial dimensions of the first region 31, the second region 32, and the intermediate section 33 are the same or substantially the same.", "Since the intermediate section 33 inflates to substantially the same diameter as the first region 31 and the second region 32, the target site St can be sufficiently dilated.", "At the second internal pressure value P2, the film itself forming the balloon 3 is hardly stretched.", "Here, since the balloon 3 is a semi-compliant type, by setting the internal pressure to be larger than a third internal pressure value P3 which is higher than the second internal pressure value as necessary, the whole of the balloon 3 is further inflated, while stretching the material, and a larger dilation force can be applied to the target site St.", "In more detail, since the material forming the balloon 3 itself hardly stretches until the internal pressure of the balloon 3 reaches the second internal pressure value P2, the balloon 3 inflates exclusively depending on the progress of unfolding, and the outer diameters of the first region 31, the second region 32, and the intermediate section 33 increase.", "Since the folding is almost released after the internal pressure of the balloon 3 reaches the second internal pressure value P2, even if the internal pressure rises, almost no increase in the external diameter occurs.", "When the internal pressure of the balloon 3 rises further and becomes larger than the third internal pressure value P3, the film material forming the balloon 3 starts to stretch.", "However, since expansion due to the progress of unfolding hardly occurs, the outer diameters of the first region 31, the second region 32, and the intermediate section 33 increase exclusively depending on the stretching of the film material.", "As described above, according to the treatment instrument for the endoscope 1 of the present embodiment, the amount of residual strain of the intermediate section 33 in the balloon 3 is set to be larger than the amount of residual strain of the first region 31 and the second region 32 disposed with the intermediate section 33 interposed therebetween.", "As a result, at the first internal pressure value P1, a dumbbell shape in which the first region 31 and the second region 32 have inflated to have an outer diameter larger than that of the intermediate section 33 is obtained, and it is possible to suitably prevent the balloon from being detached or displaced from the target site St during the treatment process on the target site St. Further, at the second internal pressure value P2, the intermediate section 33 can be inflated to substantially the same diameter as the first region 31 and the second region 32, and the target site St can be sufficiently dilated.", "As a result, prevention of misalignment with respect to the target site and sufficient expansion of the target site are compatible, and it is possible to perform an appropriate expansion treatment at the target site such as a stenosed part.", "In the present embodiment, the first internal pressure value and the second internal pressure value can be set to desired values, by appropriately setting the amounts of residual strain of the first region 31, the second region 32, and the intermediate section 33.The second internal pressure value may be set on the basis of the pressure intended to act on the target site, and may be, for example, 3 atmospheres (atm).", "It is preferable to set the first internal pressure value to be sufficiently lower than the second internal pressure value, for example, 0.5 atm, so that the positional deviation prevention effect can be exhibited at an early stage.", "Further, in the aforementioned example, the description has been given of a case where the outer diameter in the initial shape is set to be different between the first region 31, the second region 32, and the intermediate section to set amounts of residual strain of both regions different from each other.", "However, the method for setting different amounts of residual strain for both is not limited thereto.", "Several methods for generating different amounts of residual strain in the first region 31, the second region 32 and the intermediate section 33 will be described below.", "First, by changing an angle formed by the material of the balloon with the folding line 35 between the intermediate section and the other region, the amount of residual strain can be adjusted.", "That is, in the folding process, as an angle θ1 illustrated in FIG.", "11 decreases, the amount of residual strain increases.", "The angle θ1 can be changed, for example, by changing the shape of the surface in contact with the balloon 3 in the folding member 100 described above.", "Further, when the radius of curvature of the top of the wing section increases, the amount of residual strain decreases.", "Therefore, by forming the folding line 35 at the intermediate section and increasing the radius of curvature of the top of the wing section to such an extent that no ridge line is formed which is clear in the other region, the amount of residual strain at the intermediate section can be relatively increased.", "Also, by changing the number of wing sections in the intermediate section and the other region, the amount of residual strain can be adjusted.", "As the number of wing sections increases, since the number of folding lines 35 and valley sections 37 increases, the amount of residual strain increases.", "In addition, when forming the initial shape, if the diameter is reduced without forming the wing sections, a large number of irregular folding lines folded to be weaker than the folding line 35 are formed.", "Thus, the amount of residual strain decreases.", "Therefore, it is possible to relatively increase the amount of residual strain in the intermediate section, also by forming the wing section only in the intermediate section and not forming the wing section in the other regions.", "Further, when forming the initial shape, if the wing section is pulled and wound while applying tension, the valley section is strongly bent and the amount of residual strain increases.", "Therefore, by applying a tension only to the intermediate section or by applying a larger tension to the intermediate section, the amount of residual strain at the intermediate section can be relatively increased.", "Furthermore, when incorporating heat treatment at the time of forming the initial shape, the amount of residual strain can be changed, by switching between the presence or absence of heat treatment and the temperature conditions.", "In general, the amount of residual strain is higher when the heat treatment is performed, and the amount of residual strain is higher when the heat treatment is performed at a higher temperature.", "Therefore, by applying a heat treatment only to the intermediate section or by setting the temperature of the heat treatment at the intermediate section to be higher than at other regions, the amount of residual strain at the intermediate section can be relatively increased.", "Further, when the material of the balloon 3 is partially modified, the amount of residual strain at the intermediate section can be set to be relatively large without changing the process at the time of forming the initial shape for each region.", "For example, by forming a balloon with a crosslinked polymer and accelerating crosslinking by irradiating only the intermediate section with an electron beam, the rigidity of the intermediate section is relatively enhanced.", "When a uniform initial shape forming process is performed on this balloon, since the degree of plastic deformation becomes strong at the intermediate section, the amount of residual strain increases.", "Each of the above-described methods can be appropriately combined, respectively.", "Since the amounts of residual strain of each region change complicatedly in combination, for example, it is also possible to set the amount of residual strain of the intermediate section 33 to be relatively large, while setting the outer diameters of the first region 31, the second region 32, and the intermediate section 33 in the initial shape to be the same or substantially the same.", "Although the embodiment of the present invention has been described in detail with reference to the drawings, the specific configuration is not limited to this embodiment, and changes in design and the like within the scope not departing from the gist of the present invention are also included.", "Further, the constituent elements described in each of the embodiments and each of the modified examples described above can be configured by being appropriately combined.", "For example, in the above-described embodiment, the example in which the stylet 6 is inserted into the balloon 3 has been described.", "However, a configuration in which a sheath having a guide wire lumen and a fluid supply lumen is inserted through the balloon instead of the stylet may be provided.", "In this case, the guide wire inserted into the guide wire lumen can be made to protrude to the distal end of the balloon, and can be used as a guide for breaking through a site in which a strong constriction occurs, an occlusion part or the like.", "Further, as in the modified example illustrated in FIG.", "12, a marker 40 that is visible under endoscope observation or under X-ray fluoroscopy may be provided at a boundary between the first region 31, the second region 32, and the intermediate section 33.With this configuration, the balloon can be disposed at a more appropriate position with respect to the target site, and the positional deviation prevention effect can be reliably exerted.", "As long as the boundary between the intermediate section and another region can be recognized, the marker 40 may be provided in either the intermediate section or the other region.", "Further, in the initial shape of the balloon, when the outer diameter difference between the intermediate section and another region is large, a step caused by the outer diameter difference can be used as a marker that can be visually recognized under endoscope observation.", "Furthermore, at the time of shipping of the treatment instrument for the endoscope of the present invention, as illustrated in a modified example illustrated in FIG.", "13, the balloon 3 may be covered with a cover 70 having an internal space corresponding to the initial shape.", "In this way, it is possible to suitably maintain the initial shape until usage and to suppress change in amount of residual strain or the like.", "Further, in the above embodiment, an example in which the balloon is a semi-compliant type has been described.", "However, a so-called non-compliant type balloon in which, even if the internal pressure is equal to or higher than the second internal pressure value, the material forming the balloon does not substantially stretch, may be used." ] ]
Patent_15875707
[ [ "ENGINE GOVERNOR", "An electronic governor system includes a motor, a transmission coupled to the motor, a throttle plate coupled to the transmission, the throttle plate movable to multiple positions between closed and wide-open, wherein power is supplied to the motor to move the throttle pate to a desired position and wherein power is not supplied to the motor to maintain the throttle plate in the desired position." ], [ "1.An electronic governor system, comprising: a motor; a transmission coupled to the motor; a throttle plate coupled to the transmission, the throttle plate movable to a plurality of positions between closed and wide-open; an engine speed sensor; and a controller including a feedback control module and an adaptive control module; wherein the feedback control module is configured to: determine an engine speed error based on a comparison of a current engine speed input signal from the engine speed sensor and a desired engine speed; and provide an engine speed output signal to the motor to control the position of the throttle plate to correct the engine speed error, wherein the engine speed output signal is determined by a control algorithm using the engine speed error as an input; wherein the adaptive control module is configured to: determine an expected engine speed error correction based on the engine speed output signal provided by the feedback control module; determine an actual engine speed error correction based on a current engine speed input signal from the engine speed sensor and a previous engine speed input signal from the engine speed sensor; determine a correction error based on the expected engine speed error correction and the actual engine speed correction; and adjust a parameter of the control algorithm of the feedback control module when the correction error is within a predetermined range or outside of a predetermined range.", "2.The electronic governor system of claim 1, wherein the feedback control module utilizes a proportional-integral-derivative control algorithm to provide the engine speed output signal and the parameter adjusted by the adaptive control module is at least one of a proportional parameter, an integral parameter, and a derivative parameter.", "The electronic governor system of claim 2, wherein the proportional-integral-derivative control algorithm utilizes a plurality of parameter sets, each parameter set including a proportional parameter, an integral parameter, and a derivative parameter, and wherein the feedback control module is configured to utilize a specific parameter set based on the position of the throttle plate.", "3.The electronic governor system of claim 3, wherein a first parameter set is utilized by the proportional-integral-derivative control algorithm when the throttle plate is near the closed position and a second parameter set is utilized by the proportional-integral-derivative control algorithm when the throttle plate is near the wide-open position.", "4.The electronic governor system of claim 3, further comprising a temperature sensor configured to detect a temperature, wherein a first parameter set is utilized by the proportional-integral-derivative control algorithm when the detected temperature is below a threshold and a second parameter set is utilized by the proportional-integral-derivative control algorithm when the detected temperature is above the threshold.", "5.The electronic governor system of claim 1, wherein the feedback control module utilizes a fuzzy logic control algorithm to provide the engine speed output signal and the parameter adjusted by the adaptive control module is at least one parameter of at least one rule of the fuzzy logic control algorithm.", "6.The electronic governor system of claim 6, further comprising a temperature sensor configured to detect a temperature, wherein the detected temperature is an input to the fuzzy logic control algorithm.", "7.The electronic governor system of claim 1, further comprising an ignition system, wherein excess energy from the ignition system is used to power the motor.", "8.The electronic governor system of claim 1, wherein power is not supplied to the motor when the throttle plate position is not changing.", "9.The electronic governor system of claim 1, wherein the adaptive control module is configured to adjust a parameter of the feedback control module such that a subsequent engine speed output signal is more aggressive when the expected engine speed error correction is less than the actual engine speed correction.", "10.The electronic governor system of claim 1, wherein the adaptive control module is configured to adjust a parameter of the feedback control module such that a subsequent engine speed output signal is less aggressive when the expected engine speed error correction is greater than the actual engine speed correction.", "11.A four-cycle small internal combustion engine, comprising: an engine speed sensor configured to detect an engine speed; an electronic governor system configured to change an engine operating speed in response to a load input; and a load sensing system configured to determine an engine load based on changes in the detected engine speed and provide the load input to the electronic governor system based on the determined engine load.", "12.The engine of claim 12, wherein the electronic governor system is configured to provide at least two operating modes, wherein the electronic governor system is configured to set the engine operating speed to a first speed in the first operating mode and set the engine operating speed to a second speed greater than the first speed in the second operating mode.", "13.The engine of claim 13, wherein the electronic governor system is configured to implement the first operating mode when the load input is below a first predetermined threshold value and implement the second operating mode when the load input is above a second predetermined threshold value.", "14.The engine of claim 14, wherein the first predetermined threshold value and the second predetermined threshold value are the same." ], [ "<SOH> BACKGROUND <EOH>The present invention relates generally to the field of electronic governors, and more particularly to electronic governors for small engines.", "A typical electronic governor controls engine speed by controlling throttle plate position with a stepper motor." ], [ "<SOH> SUMMARY <EOH>One embodiment of the invention relates to an electronic governor system including a motor, a transmission coupled to the motor, a throttle plate coupled to the transmission, an engine speed sensor, and a controller including a feedback control module and an adaptive control module.", "The throttle plate is movable to a plurality of positions between closed and wide-open.", "The feedback control module is configured to determine an engine speed error based on a comparison of a current engine speed input signal from the engine speed sensor and a desired engine speed and provide an engine speed output signal to the motor to control the position of the throttle plate to correct the engine speed error.", "The engine speed output signal is determined by a control algorithm using the engine speed error as an input.", "The adaptive control module is configured to determine an expected engine speed error correction based on the engine speed output signal provided by the feedback control module, determine an actual engine speed error correction based on a current engine speed input signal from the engine speed sensor and a previous engine speed input signal from the engine speed sensor, determine a correction error based on the expected engine speed error correction and the actual engine speed correction, and adjust a parameter of the control algorithm of the feedback control module when the correction error is within a predetermined range or outside of a predetermined range.", "Another embodiment of the invention relates to a four-cycle small internal combustion engine including an engine speed sensor configured to detect an engine speed, an electronic governor system configured to change an engine operating speed in response to a load input, and a load sensing system configured to determine an engine load based on changes in the detected engine speed and provide the load input to the electronic governor system based on the determined engine load.", "Alternative exemplary embodiments relate to other features and combinations of features as may be generally recited in the claims." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a divisional of U.S. patent application Ser.", "No.", "14/702,435 filed May 1, 2015, which claims the benefit of U.S.", "Provisional Application No.", "62/081,221, filed Nov. 18, 2014 and U.S.", "Provisional Application No.", "61/987,350, filed May 1, 2014, all of which are incorporated herein by reference in their entireties.", "BACKGROUND The present invention relates generally to the field of electronic governors, and more particularly to electronic governors for small engines.", "A typical electronic governor controls engine speed by controlling throttle plate position with a stepper motor.", "SUMMARY One embodiment of the invention relates to an electronic governor system including a motor, a transmission coupled to the motor, a throttle plate coupled to the transmission, an engine speed sensor, and a controller including a feedback control module and an adaptive control module.", "The throttle plate is movable to a plurality of positions between closed and wide-open.", "The feedback control module is configured to determine an engine speed error based on a comparison of a current engine speed input signal from the engine speed sensor and a desired engine speed and provide an engine speed output signal to the motor to control the position of the throttle plate to correct the engine speed error.", "The engine speed output signal is determined by a control algorithm using the engine speed error as an input.", "The adaptive control module is configured to determine an expected engine speed error correction based on the engine speed output signal provided by the feedback control module, determine an actual engine speed error correction based on a current engine speed input signal from the engine speed sensor and a previous engine speed input signal from the engine speed sensor, determine a correction error based on the expected engine speed error correction and the actual engine speed correction, and adjust a parameter of the control algorithm of the feedback control module when the correction error is within a predetermined range or outside of a predetermined range.", "Another embodiment of the invention relates to a four-cycle small internal combustion engine including an engine speed sensor configured to detect an engine speed, an electronic governor system configured to change an engine operating speed in response to a load input, and a load sensing system configured to determine an engine load based on changes in the detected engine speed and provide the load input to the electronic governor system based on the determined engine load.", "Alternative exemplary embodiments relate to other features and combinations of features as may be generally recited in the claims.", "BRIEF DESCRIPTION OF THE DRAWINGS The invention will become more fully understood from the following detailed description, taken in conjunction with the accompanying drawings, in which: FIG.", "1 is a perspective view of a portion of an internal combustion engine including an electronic governor system according to an exemplary embodiment; FIG.", "2 is a schematic representation of an electronic governor system according to an exemplary embodiment; FIG.", "3 is a block diagram of the controller of an electronic governor system according to an exemplary embodiment; and FIG.", "4 is a perspective view of a portion of the internal combustion engine of FIG.", "1 including the electronic governor system.", "FIG.", "5 is a perspective view of the internal combustion engine of FIG.", "1 including the electronic governor system.", "FIG.", "6 is a schematic representation of a portion of an electronic governor system, according to an exemplary embodiment, with an elliptical gear set in a first operating position.", "FIG.", "7 is a schematic representation of the portion of the electronic governor system of FIG.", "6 with the elliptical gear set in a second operating position.", "DETAILED DESCRIPTION Before turning to the figures, which illustrate the exemplary embodiments in detail, it should be understood that the application is not limited to the details or methodology set forth in the description or illustrated in the figures.", "It should also be understood that the terminology is for the purpose of description only and should not be regarded as limiting.", "Referring to FIGS.", "1-2 and 4-5, an electronic governor system is illustrated according to an exemplary embodiment.", "The electronic governor system 100 is shown in use on a small engine 105.The electronic governor system 100 includes a carburetor 110, a motor 115 (e.g., a direct current (“DC”) motor), a transmission 120, a throttle plate 125, a throttle lever 130 and a linkage 135 connecting the throttle lever to the transmission.", "In the carburetor 110, fuel is mixed with air to produce an air/fuel mixture for combustion in one or more cylinders of the engine 105.The throttle plate 125 controls the flow of the air/fuel mixture out of the carburetor 110 and in doing so controls the speed of the engine 105.As illustrated, the linkage 135 includes a link 140 and a crank arm 145.In some embodiments the electronic governor system 100 also includes an encoder 150 that is coupled to the motor 115 and the transmission 120.The motor assembly (i.e., the motor 115 and the transmission 120) is used to control the position of the throttle plate 125, thereby controlling the engine speed.", "The throttle plate 125 is movable between a closed position and a wide-open position.", "The position of the throttle plate 125 is adjusted so that the engine speed is maintained at a desired engine speed.", "The desired engine speed can be a constant or can be varied by a user or a controller in response to inputs from the engine (e.g., inputs related to engine load, desired output, or other engine operating conditions or objectives).", "The motor 115 is relatively low cost, particularly with respect to the stepper motor typically used in other electronic governor systems.", "The motor 115 does not track steps of the motor's rotation like a stepper motor.", "In some embodiments, the motor 115 is a brushed motor.", "The transmission 120 provides a large reduction ratio (i.e., input speed/output speed).", "For example, the motor 115 may have a top speed of 4,000 revolutions per minute (rpm).", "The transmission 120 may provide a reduction so that the output from the transmission turns at 100 rpm (i.e., a 40:1 reduction ratio).", "This will result in a transmission output speed that is relatively fast as compared to the amount of rotation necessary to adjust the throttle plate position (e.g., 75 to 100 rpm), with the throttle plate's range of motion (i.e., between the closed position and the wide-open position) being less than a full revolution, and produces a relatively large amount of torque.", "At this relatively fast transmission output speed the throttle plate 125 is able to move from closed to wide open in about 40 milliseconds.", "As another example, the motor 115 may have an output speed of at about 10,000 rpm and the transmission may provide a gear reduction such that the output of the transmission is about 60 rpm (i.e.", "a reduction ratio of about 167:1).", "In some embodiments, the transmission 120 is a series of gears that provides a reduction from the input of the motor to the output of the transmission.", "The transmission 120 providing a large reduction ratio produces an output from the transmission 120 with a relatively large amount of torque.", "The output shaft of the transmission 120 only needs to rotate a small amount to adjust the throttle plate position within the throttle plate's range of motion and in doing so is able to produce a lot of torque.", "Another advantage of this large reduction ratio is that power only needs to be supplied to the motor 115 when moving the output shaft of the transmission 120 to the desired position.", "This position corresponds with the desired position of the throttle plate 125.After the output shaft of the transmission 120, and therefore the throttle plate 125, has reached the desired position, the motor 115 is no longer powered (i.e., the motor 115 is turned off).", "In this way, power is not needed to maintain the position of the output shaft of the transmission 120 and of the throttle plate 125.The relatively large reduction ratio provided by the transmission 120 is able to maintain or hold the throttle plate 125 in position without power being supplied to the motor 115 to maintain the desired position of the throttle plate 125.In some embodiments several components of the electronic governor system 100 are included within a single housing.", "For example, the motor 115 and the transmission 120 could form a single unit.", "This unit may be combined with the controller 155 and its associated circuit board.", "As shown in FIG.", "1, the linkage 135 connects the output shaft of the transmission 120 to the throttle lever 130.The throttle lever 130 is connected to the throttle plate 125, such that the motor 115 drives the transmission 120 which moves the linkage 135 which moves the throttle lever 130 which moves the throttle plate 125.In this way, powering the motor 115 causes the throttle plate 125 to change position.", "Though the linkage 135 is shown as a two-piece linkage, other appropriate mechanisms for connecting the output shaft of the transmission 120 to the throttle plate 125 may be utilized.", "For example, the output shaft of the transmission 120 could be directly connected to the throttle plate 125 or one or more components could be used to connect the output shaft of the transmission 120 to the throttle plate 125.In some embodiments, one or more gears are used to connect the output shaft of the transmission 120 to the throttle plate 125.In some embodiments, the encoder 150 is connected to the motor 115 and the transmission 120 to determine the position of the output shaft of the transmission 120.In some embodiments, the encoder 150 is an absolute encoder and can be used to keep track of the position of the output shaft of the transmission 120 and therefore the position of the throttle plate 125.As shown in FIG.", "2, the electronic governor system 100 includes a controller 155 that controls the operation of the motor 115.In some embodiments, the controller 155 also controls the operation of other components of the electronic governor system 100 that will be described in more detail below.", "These components may include an engine speed sensor 160, a user interface 165, a temperature sensor 170, a current sensor 175, an ignition system 180, and a rev limiter 185.Different embodiments of the electronic governor system 100 may include some, none or all of these additional components.", "As shown in FIG.", "3, the controller 155 includes a processing circuit 190, an input interface 195, and an output interface 200.The processing circuit 190 includes a processor 205 and memory 210.The processing circuit 190 and processor 205 are configured to receive inputs from input interface 195 (e.g., via a wired or wireless communication link with other components of the engine and/or electronic governor system) and to provide an output (e.g., a control signal, an actuator output, etc.)", "via output interface 200 (e.g., via a wired or wireless communication link to the motor 115, other components of the engine, and/or other components of the electronic governor system).", "The processing circuit 190 can be a circuit containing one or more processing components (e.g., the processor 205) or a group of distributed processing components.", "The processor 205 may be a general purpose or specific purpose processor configured to execute computer code or instructions stored in the memory or received from other computer readable media (e.g., CD-ROM, network storage, a remote server, etc.).", "The processing circuit 190 is also shown to include the memory 210.Memory 210 may be RAM, hard drive storage, temporary storage, non-volatile memory, flash memory, optical memory, or any other suitable memory for storing software objects and/or computer instructions.", "When the processor 205 executes instructions stored in the memory 210 for completing the various activities described herein, the processor 205 generally configures the computer system and more particularly the processing circuit 190 to complete such activities.", "The memory 210 may include database components, object code components, script components, and/or any other type of information structure for supporting the various activities described in the present disclosure.", "For example, the memory 210 may store data regarding the operation of a controller (e.g., previous setpoints, previous behavior patterns regarding used energy to adjust a current value to a setpoint, etc.).", "According to an exemplary embodiment, the memory 210 is communicably connected to the processor 205 and includes computer code for executing one or more processes described herein and the processor 205 is configured to execute the computer code.", "The memory 210 is shown to include a feedback control module 215 and an adaptive control module 220.The memory may include a throttle plate position module 225 and an engine shutdown module 230.The feedback control module 215 is the primary logic module configured to provide the feedback-based control activity of the controller 155.In some embodiments, the feedback control module 215 is a proportional-integral-derivative (PID) control module.", "In other embodiments, the feedback control module 215 is a fuzzy logic control module.", "The feedback control module 215 uses information from the input interface 195 (e.g., detected engine speed) to calculate or otherwise obtain the controlled variable (e.g., throttle plate position).", "The feedback control module 215 may also use information stored in the memory 210 (e.g., previous detected engine speed, desired engine speed, etc.)", "in calculating or obtaining the controlled variable.", "The adaptive control module 220 is configured to determine appropriate values of control parameters (e.g., proportional gain, integral gain, derivative gain, etc.).", "The adaptive control module 220 may tune control parameters based on a model identification adaptive control (MIAC) approach or another adaptive tuning approach or algorithm.", "The feedback control module 215 is configured to provide an engine speed control output based an engine speed error determined by a comparison of a current engine speed input from the engine speed sensor 160 with the desired engine speed.", "The output is provided to the motor 115 to adjust the throttle plate 125 position, thereby controlling the engine speed and correcting any engine speed error so that the detected engine speed and the desired engine speed are the same or substantially the same (e.g., within a predetermined range).", "The feedback control module can use different types of feedback control including PID control algorithms or fuzzy logic control rules.", "The adaptive control module 220 adjusts one or more parameters (e.g., coefficients, gains, rules, etc.)", "of the feedback control module 215 such that the feedback control module learns the appropriate operating parameters for a specific engine and end product (e.g., lawn mower, snow thrower, generator, pressure washer, etc.).", "For example, the feedback control module 215 may determine an engine speed error and provide an engine speed output intended to correct that error or a portion of the error (e.g., reduce the error by half).", "The adaptive control module 220 determines what an expected engine speed error correction is based on the output provided by the feedback control module 215.The adaptive control module 220 also determines an actual engine speed correction based on the current engine speed input from the engine speed sensor 160 and a previous engine speed input from the engine speed sensor 160.The adaptive control module 220 then is able to determine a correction error based on the expected engine speed error and the actual engine speed correction.", "In this way, the adaptive control module 220 is able to determine if the actual engine speed correction is the same as or close to the expected engine speed error correction (e.g., determine a correction error).", "If the actual engine speed correction is not the same (or within a predetermined range acceptable as the same) as the expected engine speed correction, the adaptive control module 220 adjusts one or more parameters of the feedback control module 215.When the actual engine speed error correction was less than the expected engine error correction the parameter change is such that the subsequent attempt at correcting engine speed will be more aggressive.", "As used herein, more aggressive means that the position change of the throttle plate with adjusted parameter setting will be greater than at the previous parameter setting and less aggressive means that the position change of the throttle plate with adjusted parameter setting will be less than at the previous parameter setting.", "For example, in a controller 155 using PID control the adaptive control module 220 can change one or more of the proportional, integral and derivative parameters (e.g., coefficients or gains) of the feedback control module 215 to achieve the desired change in engine speed.", "The PID control of the feedback control module 215 may set forth a control algorithm to determine the motor adjustment—the amount of time voltage is supplied to the motor 115 (the duration or “width” of a voltage pulse).", "For example, the PID control algorithm may use the following equation to determine the motor adjustment where Error is the engine speed error.", "Motor   Adjustment = P i  Error + D i  d   Error dt + I i  ∫ Error The adaptive control module 220 may be configured to adjust one or more of the proportional, integral and derivative parameters in response to a comparison of the actual change in engine speed following a throttle plate position adjustment (e.g., as measured by the engine speed sensor 160) with the expected change in engine speed following a throttle plate position adjustment (e.g., the engine speed error or a portion (e.g., one half, one third, etc.)", "of the engine speed error).", "If the actual change in engine speed is less than the expected change in engine speed, one or more of the parameters is adjusted to make the motor adjustment more aggressive (i.e., provide a voltage pulse of a longer duration).", "If the actual change in engine speed is greater than the expected change in engine speed, one or more of the parameters is adjusted to make the motor adjustment less aggressive (i.e., provide a voltage pulse of a shorter duration).", "The comparison of actual change in engine speed to the expected change in engine speed can be performed at a specific time (e.g., 2-3 engine cycles) after a motor adjustment pulse is sent to the motor 115.In some embodiments, the pulse of the motor adjustment has a duty cycle and the duty cycle of the pulse of the motor adjustment may be adjusted when the actual change in engine speed is different than the expected change in engine speed.", "In some embodiments, the motor adjustment algorithm In some embodiments using a PID control algorithm there are multiple parameter sets.", "For example, a first parameter set may be used when the throttle plate 125 is close or near to the closed position and a second parameter set may be used when the throttle plate 125 is close or near to the wide open position.", "This is helpful because the operating performance (e.g., speed) of the engine is not linear with respect to throttle plate position.", "When the throttle plate 125 is near the wide open position, the engine speed is not very responsive to changes in the throttle plate position and when the throttle plate 125 is near the closed position, the engine speed is more responsive to changes in the throttle plate position.", "Therefore, the parameter set associated with operation when the throttle plate 125 is near the closed position would be less aggressive than the parameter set that is used when the throttle plate 125 is near the wide open position.", "Two or more parameter sets based on the throttle position could be implemented.", "For example a curve could be fit so that the parameter sets used change from the closed position to the wide open position.", "The adaptive control module 220 may also be configured to perform a comparison of the expected output (i.e., rotational speed) of the motor 115 from a particular duration of applied voltage (voltage pulse) to the back electromotive force (“back-EMF”) actually caused by that particular duration of applied voltage.", "Back-EMF is caused by the rotation of the motor and can act as a proxy for a motor sensor for detecting the rotational speed of the motor.", "In this way the adaptive control module 220 allows the system to learn or adjust to the operational characteristics of a particular engine.", "Variations among particular engines (e.g., individual DC motors, the end use of the engine, etc.)", "will result in different duration voltage pulses being necessary to move a throttle plate a specific amount.", "For example, in one engine a voltage pulse of 10 milliseconds may move the throttle plate 10 degrees, but may only move the throttle plate of a second engine 5 degrees.", "The back-EMF correlation performed by the adaptive control module 220 allows the system to learn the particular voltage pulse duration needed for a particular throttle plate position change within the addition of a motor speed sensor and allows for that correlation to change as engine performance changes over the life of a particular engine.", "The back-EMF comparison can also be used to determine when the throttle plate 125 is at either end of its range of motion (i.e., closed position or wide open position) because the throttle plate 125 is at a hard stop at either end of its range of motion and the back-EMF should approach zero as the motor is unable to turn.", "The back-EMF comparison can also be used to identify variations between the operational characteristics of individual motors and a curve establishing a known or desired relationship between back-EMF and engine speed (e.g., RPM) and to determine the operational characteristics that need to be modified (e.g., duration of voltage pulses) to fit a specific motor to the known back-EMF and engine speed curve.", "As shown in FIGS.", "6-7, in some embodiments of the electronic governor system 100, an elliptical gear set 186 is included between the transmission 120 and the throttle lever 130 to account for the non-linearity of engine speed with respect to throttle plate position.", "The elliptical gear set 186 includes two elliptical gears—a driving gear 187 and a driven gear 188.The driving gear 187 has a minor radius 189 that is less than a major radius 191.The driving gear 187 is coupled to or a component of the transmission 120.The driven gear 188 is coupled to the throttle plate 125 (e.g., directly or indirectly by one or more links or gears).", "The driven gear 188 has a minor radius 192 that is less than a major radius 193.The elliptical gear set 186 is arranged so that the driven gear 188 provides a relatively large amount of movement of the throttle plate 125 in response to specified amount of movement of the driving gear 187 when the throttle plate 125 is near the wide open position (FIG.", "6) and so that the driven gear 188 provides a relatively small amount of movement of the throttle plate 125 in response to the same specified amount of movement of the driving gear 187 when the throttle plate 125 is near the closed position (FIG.", "7).", "As shown in FIG.", "6, when the throttle plate 125 is near the wide open position, the major radius 191 of the driving gear 187 engages the minor radius 192 of the driven gear 188.As shown in FIG.", "7, when the throttle plate 125 is near the closed position, the minor radius 189 of the driving gear 187 engages the major radius 193 of the driven gear 188.In embodiments where the feedback control module 215 uses a fuzzy logic control algorithm to control the output to the motor 115, a list of fuzzy logic rules is created to control the operation of the motor 115.These rules allow for non-linear control of the non-linear engine operation.", "In some embodiments, the temperature sensor 170 provides the detected temperature as an input to the fuzzy logic rule set.", "In some embodiments the electronic governor system 100 includes a temperature sensor 170.The temperature sensor 170 is configured to detect a temperature (e.g., an ambient temperature, an engine temperature, or other appropriate temperature).", "The detected temperature is provided as an input to the controller 155 and may be utilized as an input to the various logic modules of the controller 155.As the engine may operate differently as relatively cold temperatures and relatively hot temperatures different control parameters (e.g., of the feedback control module 215 and/or the adaptive control module 220) can be used when the detected temperature is either below or above one or more thresholds (e.g.", "a hot operating condition threshold and a cold operating condition threshold).", "The memory 210 may also include a throttle plate position module 225.The throttle plate position module 225 is configured to determine the position of the throttle plate 125 based on tracking the expected changes in the throttle plate position from an initial throttle plate position.", "The initial throttle plate position is known.", "For example, the wide open position may be considered to be 90° and the closed position may be considered to be 0°.", "Based on that starting position (e.g., the wide open position), the throttle plate position module 225 calculates a current throttle plate position based on the changes to the throttle plate position caused by the motor 115.The product of the voltage applied to the motor 115 and the amount of time for which that voltage is supplied corresponds to an expected change in the position of the throttle plate 125.By keeping track of all expected movements of the throttle plate 125 from the initial known position caused by operation of the motor 115, the throttle plate position module 225 is able to track the position of the throttle plate 125.Alternatively, an encoder (e.g., the encoder 150) may keep track of the throttle plate position.", "However, an encoder adds cost that may not be necessary for the electronic governor system 100 to operate as desired.", "The engine 105 includes an ignition system 180.In some embodiments excess energy from the ignition system 180 is used to power the motor 115 and/or the controller 155.In this way the electronic governor system 100 is able to operate without a separate or dedicated power supply (e.g., a separate battery or the battery used to power an electric engine starting system).", "In a magneto or spark ignition system extra energy in the form of ignition sparks or pulses can be harvested and stored in a capacitor or other energy storage device (e.g., battery) for use to power the motor 115.Though a spark based ignition system is discussed as an example other types of ignition systems are possible.", "The excess energy of the ignition system may also be sufficient to power the motor 115 and/or the controller 155.After the engine 105 is started, there is a relatively abundant amount of excess energy that can be harvested to power the electronic governor system 100.For example, the energy from the two positive pulses or sparks of a four-cycle magneto ignition system can yield about one amp of current.", "Other types of ignition systems also provide waste energy that could be harvested to power the electronic governor system.", "In a four-cycle magneto ignition system there is a waste spark on the exhaust stroke of the cylinder.", "In such a system, the two positive pulses or sparks and the waste negative pulse or spark could all be harvested.", "In some embodiments, other power supplies that do not include a separate or dedicated power supply (e.g., a separate battery) may be used to power the motor 115 and/or the controller 155.Alternative power supplies include an alternator driven by the engine 105, a thermoelectric power generator that makes use of waste heat from the engine 105, a piezoelectric power generator driven by vibrations of the engine 105 and/or the outdoor power equipment driven by the engine 105, a Faraday power generator including a magnet oscillating within a coil driven in sync with the reciprocating movement of the piston of the engine 105.In some embodiments, an energy storage device (e.g., a rechargeable battery, a capacitor, etc.)", "is provided to store the energy produced by the power supplies described above.", "In this way, power is available for the motor 115 and/or the controller 155 when the engine 105 is initially started and there is not an immediately available supply of energy to be harvested from the operating engine 105.A rev limiter 185 may be provided to prevent the engine 105 from achieving an overspeed condition in which the engine speed exceeds a threshold (e.g., a redline).", "The rev limiter 185 detects the engine speed and when the engine speed exceeds the threshold, shorts the ignition to prevent the engine from continuing to exceed the speed threshold.", "To make the reduction in speed associated with shorting the ignition smoother (e.g., less sudden and/or less noisy to the operator), it may be preferable to short a subset of the ignition sparks rather than all of the engine sparks.", "Shorting all of the ignition events (e.g., sparks associated with combustion events) would have an audible effect and the engine speed would abruptly drop.", "Shorting fewer than 100% of the ignition events (e.g., every second, third, fourth, etc.", "ignition event) would slow the engine speed but be less abrupt and less noticeable audibly to the user.", "A user interface 165 may be provided so that the user is able to control the desired speed (e.g., expected or targeted speed) of the engine 105.This user interface 165 could be an analog input such as a voltage divider, a fixed resistor, a variable resister (e.g., a potentiometer or sliding variable resistor).", "In some embodiments where the engine was used to power a wheel drive train (e.g., on a riding or walk behind lawn mower or snow thrower) a throttle input (e.g., a gas pedal or lever) may be moved to adjust the analog input and therefore adjust the desired speed of the engine.", "If the engine is used to power a generator, a discreet two-position analog input could be used to switch the output frequency of the electricity provided by the generator between the 60 Hz electricity used in the United States and Canada, and the 50 Hz electricity used elsewhere in the rest of the world (e.g., Europe and Asia).", "Other discreet control modes, for example, could include a quiet operating mode versus a power operating mode.", "The quiet operating mode may be configured so that the engine runs at a relatively low speed (e.g., 2,400 rpm) versus the power mode which runs at a relatively high rpm (e.g., 3,000 rpm).", "In some embodiments, the user interface is wireless RF, infrared IR, and LED or light pulse interface or capacitive sensing interface (e.g., a touchscreen).", "In some embodiments the user interface 165 includes a second microcontroller.", "For example, a touch screen or other interface device could provide inputs to a second controller which provide a variable voltage output to the controller operating the electronic governor system.", "In some embodiments, the user interface 165 provides a digital input.", "Initial performance characteristics of the engine governor system 100 can also be varied (e.g., more or less aggressive engine speed error correction.", "These characteristic settings could be preset when the system or engine is assembled (e.g., by setting a specific resistance or voltage value).", "For example one setting could be used with relatively low inertia equipment driven by the engine (e.g., the pump of a pressure washer) and a second setting could be used with relatively high inertia equipment driven by the engine (e.g., the blade of a lawn mower).", "This provides the controller 155 with some initial performance characteristics (e.g., the relative inertia of the equipment being driven) before it has even had a chance to start adapting the control parameters based on the actual use of the engine.", "For example, 1000-4000 rpm could be selected with input resistances of 100-400 ohms and with input resistances of 1100-1400 ohms with settings of 100-400 ohms providing moderately aggressive performance for relative low inertia applications and 1100-1400 ohms providing more aggressive performance for relatively high inertia applications.", "Additionally, there could also be more than two performance characteristics settings (e.g., low inertia, moderately low inertia, moderate inertia, moderately high inertia, high inertia, etc.)", "that could be selected based on engine type (e.g., size, number of cylinders, rated horsepower, rated torque) and equipment to be driven by the engine.", "Alternatively, the performance characteristic settings could be tied to the voltages that will be read by the controller 155.A current sensor 175 may be included to monitor the current draw on the motor 115.For example, a shunt resistor may be used to measure this motor current.", "The current sensor 175 is used to detect potential failures in the electronic governor system 100 and shut down the engine 105 (e.g., stop the engine) in response to detecting such a failure.", "For example, a detected current above a high current threshold could indicate a jam, obstruction, the end of travel, a motor short, or some other situation in which the motor 115, the transmission 120, the throttle plate 125, the throttle lever 130, the linkage 135, or other movable component is unable to move (e.g., rotate, translate, etc.)", "as desired.", "When the current sensor 175 detects a current below a low current threshold this could indicate a broken wire or some other loss of electrical communication between the components of the electronic governor system 100.Both a high current above the high threshold and a low current below the low threshold may be indicative of situations in which the engine 105 should be stopped or shut down to prevent possible damage to the engine.", "Engine shutdown module 230 is configured to shut down, stop, turn off, or deactivate the engine in response to an appropriate input (e.g., release of a safety interlock, like when the bail of a walk-behind lawn mower is released, movement of an on/off switch to the off position, etc.).", "Engine shutdown module 230 may receive an input from the current sensor 172 and shut off the engine in response to low current or high current as described above.", "In some embodiments, the engine speed sensor 160 detects the engine speed using an ignition signal from the ignition system 180.For example, the positive sparks or pulses from the ignition system could be counted and used to determine the engine speed.", "This method of determining engine speed provides an additional advantage when shorting the negative pulses or sparks with the rev limiter 185.The engine speed detection, which is determined from the positive pulses, is not lost when slowing down the engine 105 with the rev limiter 185 by shorting the negative pulses or sparks.", "In other embodiments, other appropriate engine speed sensors are utilized.", "The electronic governor systems described herein are able to adjust the operating speed of the engine in response to an input from a load sensing system.", "Combining a load sensing system with the electronic governor systems allows the operating speed of the engine to be optimized for various load conditions.", "For example, an engine including an electronic governor system and a load sensing system as described herein could operate in one of two modes: a no load or low load mode (e.g., when the blade of a lawn mower is not cutting any grass) where the engine operates at an idle or relatively low speed and a high load mode (e.g., when the blade of the lawn mower is cutting grass) where the engine operates at an high operating or relatively high speed.", "More complex systems allow for additional modes of operation for example a moderate load mode (e.g., when the blade of the lawn mower is cutting grass that is thinner than that being cut in the high load mode) where the engine operates at a speed between the idle speed and the high operating speed.", "The engine speed could also vary continuously with load or approximately continuously with load (e.g., with a step-function or other appropriate curve fit).", "For example, the engine speed could be controlled to always fit within a band or window defined by a high engine speed curve and a low engine speed curve and operate in a manner similar to a continuously variable transmission.", "The load sensing system makes use of the principle of rotational motion that the sum of the torques for the system equals zero.", "The sum of the torques is equal to inertia times the change in angular velocity as shown in the equation below.", "The TorqueProduced is the torque produced from combustion by the engine and the TorqueLoad/Losses is the torque produced from the load on the engine (e.g., mower blade, pressure washer pump, drive system, etc.)", "and any losses within the engine (e.g., friction, gas compression, vacuum, etc.)", "J{umlaut over (θ)}=TorqueProduced−TorqueLoad/Losses In a first load sensing system for a single cylinder four-cycle engine, the load can be estimated by comparing the rotational speed of the engine for two consecutive engine revolutions.", "A “fast” revolution occurs during the expansion and exhaust cycles of the engine.", "A “slow” revolution occurs during the intake and compression cycles of the engine.", "The difference in revolution speed (e.g., in revolutions per minute (“RPM”)) is a function of the TorqueLoad/Losses and inertia.", "When the inertia is known (e.g., the inertia of a specific end use of the engine, for example for a specific lawn mower, pressure washer, tractor, or other piece of outdoor power equipment), then the difference in revolution speed from the fast revolution to the slow revolution (e.g., RPM of the fast revolution minus the RPM of the slow revolution) is a function of the TorqueLoad/Losses.", "By calculating this difference, the load sensing system can accurately estimate the load on the engine.", "The load can then be used as an input to the electronic governing system to control the speed of the engine.", "In a second load sensing system for a single cylinder four-cycle engine, the load can be estimated by comparing the rotational speed of the engine for each of the four cycles.", "The sum of the torques for each of the four cycles (expansion, compression, intake, and compression) can be calculated according to the equation shown below.", "J{umlaut over (θ)}=TorqueProduced−TorqueLoss−TorqueLoad Using four versions of this equation, one for each cycle, with the inertia remaining constant for all four equations, the load sensing system is able to determine the load based on the measured change in engine speed from one cycle to the next because each of the four equations is left with only one unknown (four equations with four unknowns are solvable).", "For the expansion cycle, TorqueLoss is negligible and can be assumed to be zero.", "For the exhaust cycle, TorqueProduced and TorqueLoss are negligible and can be assumed to be zero.", "For the intake cycle, TorqueProduced and TorqueLoss are negligible and can be assumed to be zero.", "For the compression cycle, TorqueProduced is negligible and can be assumed to be zero.", "The combustion process can vary from combustion cycle.", "The combustion process regularly experiences “bumps” or “pops” due to many possible factors including fuel quality, air availability, variations in the fuel-air ration, etc.", "Either of the two load sensing systems described above can reduce the impact of these combustion process variations, which may result in variations of the engine speed, which is the inputs to the calculations used to determine the load for both systems, by using a running average and/or a standard deviation of the engine speed measurements.", "The average load value can be calculated using the equation below.", "“A” is a factor used to weigh the average load value.", "The value of A may be selected based on the intended end use of the engine (e.g., lawn mower, pressure washer, tractor, or other piece of outdoor power equipment) and the desired engine performance for that engine.", "As the value of A increases, the average load value becomes smoother, but it delays response time for true changes in load, rather than momentary chances due to combustion variations.", "Avg .", " Load   Value = ( Avg .", " Load   Value * A + New   Load   Value ) A + 1 The average load value can be used as the trigger to change between operating modes of the electronic governing system.", "For example for the two operating mode electronic governing system discussed above, the no load operating mode can be triggered when the average load value is at or below a predetermined threshold value and the high load operating mode can be triggered when the average load value is at or above a predetermined threshold value.", "To avoid hunting or hysteresis between the two modes, the predetermined threshold values can be different for the no load operating mode and the high load operating mode.", "For example, the no load threshold value could be an average load value of 15 and the high load threshold value could be 20, providing a window between 15 and 20 where mode hunting is avoided.", "Alternatively a percentage change threshold (e.g., 20%) in average load value could be used as the trigger to change between operating modes of the electronic governing system.", "The threshold values, whether absolute or a percentage, can be tied to specific engine sizes and end products.", "Another way to avoid mode hunting is to include a minimum predetermined time period between operating mode changes.", "For example, each operating mode could be required to run for at least 5 seconds before be able to switch based on the current average load value.", "A standard deviation of the load value could be used in similar manners on its own or in combination with the average load value to provide a trigger to change between operating modes of the electronic governing system.", "The standard deviation of the measured engine speed may also be used to distinguish between an actual change in engine speed (e.g., due to an increased or decreased load on the engine) and intermittent variations in engine speed due to combustion irregularities.", "Measured engine speed includes expected variations on a per-cycle basis.", "The expansion (combustion, power) cycle has a faster engine speed than the intake, compression, and exhaust cycles.", "The standard deviation accounts for the expected variations on a per-cycle basis so that only engine speed changes in excess of the standard deviation, or in excess of the standard deviation modified by an error factor (e.g., multiplied or added to the standard deviation), are used to trigger a change in throttle plate position by the engine governing system.", "Both load sensing systems make use of an appropriate controller to implement the control schemes discussed above and of an appropriate engine speed sensor to detect the engine speed for use as an input as discussed above.", "Possible engine speed sensors include a magnetic field sensor (e.g., a Hall Effect sensor or reed switch) in combination with a magnet on the flywheel.", "The magnet on the flywheel could be the same used to generate the spark for the ignition system, but could also be a separate second magnet.", "Both load sensing systems could also include an operating mode input to provide a verification that the detected change in load based on the engine speed calculations as an intended change in load based on the user's intended operating mode.", "For example, a tilt sensor could indicate when the front wheels of a walk behind lawn mower are lifted off the ground, thereby confirming a reduced load.", "A switch on the bail of walk behind lawn mower could indicate when the operator engages the drive system.", "A vacuum sensor could detect changes in engine vacuum as a redundant check on load changes.", "A user-actuated switch could also be used as a feedforward input to the load sensing system.", "For example, a switch actuated by a user operated lever (e.g., the bail of a walk-behind mower, the trigger of a pressure washer spray gun, a control lever of a snowthrower, a power takeoff engage switch on a riding tractor, etc.)", "would indicate an expected engine load to the load sensing system (e.g., operation of the tool driven by the engine, a load on the tool driven by the engine, engagement of a drive train, etc.).", "The load sensing system could use this feedforward input to override or supplement the load output provided by the load sensing system.", "The same controller or separate controllers can be used to implement the controls schemes used by the electronic governor system and by the load sensing system.", "For example, as shown in FIG.", "2, the controller 155 could be used to control both the electronic governor system 100 and a load sensing system as described above via a load sensing module 235 configured to implement a load sensing system as described herein.", "The engine speed sensor 160 could be used to provide the necessary engine speed inputs to the load sensing module 235 to implement the load sensing system.", "The construction and arrangement of the apparatus, systems and methods as shown in the various exemplary embodiments are illustrative only.", "Although only a few embodiments have been described in detail in this disclosure, many modifications are possible (e.g., variations in sizes, dimensions, structures, shapes and proportions of the various elements, values of parameters, mounting arrangements, use of materials, colors, orientations, etc.).", "For example, some elements shown as integrally formed may be constructed from multiple parts or elements, the position of elements may be reversed or otherwise varied and the nature or number of discrete elements or positions may be altered or varied.", "Accordingly, all such modifications are intended to be included within the scope of the present disclosure.", "The order or sequence of any process or method steps may be varied or re-sequenced according to alternative embodiments.", "Other substitutions, modifications, changes, and omissions may be made in the design, operating conditions and arrangement of the exemplary embodiments without departing from the scope of the present disclosure.", "The present disclosure contemplates methods, systems and program products on any machine-readable media for accomplishing various operations.", "The embodiments of the present disclosure may be implemented using existing computer processors, or by a special purpose computer processor for an appropriate system, incorporated for this or another purpose, or by a hardwired system.", "Embodiments within the scope of the present disclosure include program products comprising machine-readable media for carrying or having machine-executable instructions or data structures stored thereon.", "Such machine-readable media can be any available media that can be accessed by a general purpose or special purpose computer or other machine with a processor.", "By way of example, such machine-readable media can comprise RAM, ROM, EPROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium which can be used to carry or store desired program code in the form of machine-executable instructions or data structures and which can be accessed by a general purpose or special purpose computer or other machine with a processor.", "When information is transferred or provided over a network or another communications connection (either hardwired, wireless, or a combination of hardwired or wireless) to a machine, the machine properly views the connection as a machine-readable medium.", "Thus, any such connection is properly termed a machine-readable medium.", "Combinations of the above are also included within the scope of machine-readable media.", "Machine-executable instructions include, for example, instructions and data which cause a general purpose computer, special purpose computer, or special purpose processing machines to perform a certain function or group of functions.", "Although the figures may show or the description may provide a specific order of method steps, the order of the steps may differ from what is depicted.", "Also two or more steps may be performed concurrently or with partial concurrence.", "Such variation will depend on various factors, including software and hardware systems chosen and on designer choice.", "All such variations are within the scope of the disclosure.", "Likewise, software implementations could be accomplished with standard programming techniques with rule based logic and other logic to accomplish the various connection steps, processing steps, comparison steps and decision steps." ] ]
Patent_15875712
[ [ "Neural Progenitor Cell Differentiation", "Differentiation and stability of neural stem cells can be enhanced by in vitro or in vivo culturing with one or more extracellular matrix (ECM) compositions, such as collagen I, IV, laminin and/or a heparan sulfate proteoglycan.", "In one aspect of the invention, adult mammalian enteric neuronal progenitor cells can be induced to differentiate on various substrates derived from components or combinations of neural ECM compositions.", "Collagen I and IV supported neuronal differentiation and extensive glial differentiation individually and in combination.", "Addition of laminin or heparan sulfate to collagen substrates unexpectedly improved neuronal differentiation, increasing neuron number, branching of neuronal processes, and initiation of neuronal network formation.", "In another aspect, neuronal subtype differentiation was affected by varying ECM compositions in hydrogels overlaid on intestinal smooth muscle sheets.", "The matrix compositions of the present invention can be used to tissue engineer transplantable innervated GI smooth muscle constructs to remedy aganglionic disorders." ], [ "1-13.", "(canceled) 14.A method of preparing an innervated smooth muscle construct, the method comprising: obtaining a population of longitudinal smooth muscle cells; culturing the longitudinal smooth muscle cells to form a uniaxially-aligned smooth muscle sheet; obtaining a population of neural stem cells; and co-culturing the neural stem cells and longitudinal smooth muscle cells on a substrate comprising at least one extracellular matrix (ECM) material component.", "15.", "(canceled) 16.The method of claim 14 wherein the step of culturing the longitudinal smooth muscle cells further comprises culturing the muscle cells on a mold containing a wavy microtopography to form the uniaxially-aligned smooth muscle sheet.", "17.The method of claim 14 wherein the substrate further comprises a hydrogel.", "18.The method of claim 17 wherein the hydrogel comprises at least one of collagen, laminin and heparan sulfate.", "19.The method of claim 17 wherein the hydrogel comprises collagen.", "20.The method of claim 17 wherein the hydrogel comprises at least 800 μg/ml of collagen type I.", "21.The method of claim 20, wherein the hydrogel comprises between about 800 μg/ml and about 1600 μm/ml collagen I.", "22.The method of claim 17 wherein the hydrogel further comprises at least 200 μg/ml of collagen type IV.", "23.The method of claim 17 wherein the hydrogel further comprises at least 5 μg/ml of laminin.", "24.The method of claim 17 wherein the hydrogel is substantially free of laminin.", "25.The method of claim 17 wherein the hydrogel is substantially free of heparan sulfate.", "26.The method of claim 14 wherein the method further comprises administering the construct to a patient.", "27.The method of claim 26, wherein the step of administering the construct further comprises implanting the construct into the patient.", "28.The method of claim 27 wherein the construct comprises a hydrogel sponge with effective pore sizes ranging from 10 nanometers to 10 micrometers." ], [ "<SOH> BACKGROUND <EOH>An uninterrupted enteric nervous system with the preservation of myenteric ganglia is required for intestinal motility and function.", "Motor neurons of the myenteric ganglia predominantly express acetylcholine/tachykinins (excitatory) or nitric oxide/inhibitory peptides/purines (inhibitory) to mediate smooth muscle contraction and relaxation.", "Partial, selective, or total loss of nerve function and/or loss of nerve cell populations within organs and other body structures are characteristic of numerous diseases and disorders.", "For example, aganglionosis of various lengths of distal gut is the central pathology in Hirschsprung's disease.", "Enteric neuropathy is also secondary to several other disorders (e.g., diabetes, Parkinson's disease, and inflammation) resulting in gastrointestinal dysfunction.", "Gastrointestinal motor function is controlled by the intramural enteric nervous system.", "It is a complex interplay between the smooth muscle of the muscularis externa and the two enteric neuronal plexi.", "Neural stem cell therapy is an emerging therapy that aims to reinstate neuronal function and thus gastrointestinal motor function by repopulating the enteric plexi.", "The research is driven by two significant findings: i) neural stem cells can be isolated from adult mammalian gut, including the ganglionated colon of Hirschsprung's patients; and ii) neural stem cells can be induced to differentiate into several neuronal subtypes and glia characteristic of the enteric nervous system (ENS) upon transplantation into explant cultures of aganglionic/aneural gut, or in vivo into distal colo-rectums in animal models.", "While neural-crest derived enteric neural stem cells have been isolated from adult mammalian guts, including ganglionic bowel of patients with Hirschsprung's disease, there is little information or understanding of microenvironment-driven differentiation, and only limited studies describing subsequent functional behavior of these differentiated neurons in vitro.", "Moreover, restoration of nerve functions by neural stem cell transplantations as a treatment for nerve-loss associated disorders has not yet been clinically demonstrated, and there exists a need for methods and materials to support neuroglial cells, and to ensure phenotypic stability and long term survival of transplanted or implanted neural stem cells." ], [ "<SOH> SUMMARY <EOH>It has been discovered that extracellular matrix (ECM) compositions can modulate neural stem cell fate and direct differentiation.", "The term “extracellular matrix” or “ECM” is used herein to denote composition comprising one or more of the following: collagen I, collagen IV, laminin, heparan sulfate, or fragments of one or more of such proteins.", "One aspect of the invention includes a method of biasing neural stem cell differentiation having the steps of obtaining a population of smooth muscle cells, culturing the smooth muscle cells to form a uniaxially-aligned smooth muscle sheet, obtaining a population of neural stem cells, culturing the neural stem cells in a hydrogel, wherein the hydrogel is applied to the uniaxially-aligned smooth muscle sheet, and exposing the neural stem cells to at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for a neuronal subtype.", "For example, the neuronal subtype are cholinergic neurons, where the hydrogel can include collagen I, or at least at least about 800 μg/ml collagen I, or between about 800 μg/ml and about 1600 μg/ml collagen I.", "In another example, the neuronal subtype are nitrergic neurons, where the hydrogel can include collagen IV and be substantially free of laminin, or at least about 200 μg/ml collagen IV and be substantially free of laminin.", "In another example, the neuronal subtype are peptidergic neurons, where the hydrogel can include collagen I, collagen IV, and laminin, or at least about 800 μg/ml collagen I, at least about 200 μg/ml collagen IV, and at least about 5 μg/ml laminin.", "Another aspect of the invention can include isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering can include injecting, into the patient, the differentiated stem cells in the hydrogel.", "In another example, the differentiated neural stem cells innervate the uniaxially-aligned smooth muscle sheet to form an innervated smooth muscle sheet and can include the additional step of implanting the innervated smooth muscle sheet into a patient.", "Another aspect of the invention includes a method of biasing neural stem cell differentiation having the steps of obtaining a population of neural stem cells, obtaining a population of smooth muscle cells, culturing the neural stem cells in the presence of the smooth muscle cells, wherein the neural stem cells adhere on a substrate with a substrate coating comprising at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for neurons.", "For example, the substrate coating comprises at least one of laminin, collagen I, and collagen IV, or the substrate coating comprises laminin, and at least one of collagen I and collagen IV, or the substrate coating comprises collagen I and collagen IV, and at least one of laminin and heparan sulfate.", "Another aspect of the invention can also include isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering can include injecting the differentiated neural stem cells into the patient.", "Another aspect of the invention includes a method of biasing neural stem cell differentiation by obtaining a population of neural stem cells, obtaining a population of smooth muscle cells, culturing the neural stem cells in the presence of the smooth muscle cells, wherein the neural stem cells adhere on a substrate with a substrate coating comprising at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for glial cells.", "For example, the substrate coating can include at least collagen I and collagen IV, and be substantially free of at least one of laminin and heparan sulfate, or the substrate coating can comprises at least 5 μg/cm2 collagen I and at least 5 μg/cm2 collagen IV, and be substantially free of at least one of laminin and heparan sulfate.", "Another aspect further includes the step of isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering comprises injecting the differentiated neural stem cells into the patient.", "In one aspect of the invention, adult mammalian enteric neural progenitor cells can be induced to differentiate on various substrates derived from components or combinations of ECM compositions.", "Neuronal and glial differentiation was studied as a function of ECM composition.", "Collagen I and collagen IV substrates supported neuronal differentiation and extensive glial differentiation individually and in combination.", "The addition of laminin or heparan sulfate to collagen substrates improved neuronal differentiation, increasing the number of neurons and the branching of neuronal processes and initiation of neuronal network formation.", "Various neural ECM components were evaluated individually and in combination to study their effect of neuroglial differentiation of adult enteric neural progenitor cells.", "In another aspect of the invention, tissue-engineered intestinal longitudinal smooth muscle sheets can be innervated using enteric neuronal progenitor cells embedded with hydrogels of varying ECM composition.", "Differentiated neuronal composition (cholinergic, nitrergic, peptidergic), as well as functional neuronal physiology mediating smooth muscle contraction/relaxations, were evaluated.", "Several functional differentiated neuronal subtypes were present in tissue-engineered intestinal sheets, capable of mediating smooth muscle contraction/relaxation.", "Neuronal populations varied from being highly cholinergic (collagen I), highly nitrergic (composite collagen I and collagen IV), or balanced between the two (composite collagen I and collagen IV, and laminin and/or heparan sulfate).", "Additionally, an increase in peptidergic neurons was detected with laminin and heparan sulfate.", "The feasibility of transplantation of various types of neuronal progenitor cells (CNS-derived, neural tube-derived, embryonic and adult ENS-derived) in explant cultures of aneural gut is well established.", "However, conditions required for successful engraftment and long-term survival, focusing on a permissive environment heretofore have not been identified.", "Studies related to in vitro differentiation of adult enteric neuronal progenitor cells with a focus on the role of the ECM can help optimize the survivability and maintenance of both neuronal and neuroglial phenotypes.", "Moreover, our studies indicate that neuronal differentiation can be modulated by varying the composition of ECM microenvironments.", "Enriched populations of differentiated neuronal subtypes can be derived within transplantable tissue engineered sheets, using ECM microenvironments.", "ECM microenvironments may also facilitate adequate trophic support and phenotype maintenance of differentiated neurons.", "In certain embodiments, neural differentiation is induced by administering an effective amount of laminin or a composition comprising laminin, including fragments, derivatives, or analogs thereof.", "In a specific example, the laminin can be a complete laminin protein.", "In further examples, the laminin is selected from laminin-1, laminin-2, laminin-4, and fragments or combinations thereof.", "In further examples, the laminin or laminin composition includes a substance at least substantially homologous to laminin-1, laminin-2, or laminin-4.In yet further implementations, the laminin or laminin composition comprises a polypeptide at least substantially homologous to the laminin al chain, e.g., having at least 80%, or 85%, or 90%, or 95% sequence identical to at least a fragment of the laminin α 1 chain that retains the capacity to induce neuroglial differentiation.", "Amounts effective for various therapeutic treatments of the present disclosure may, of course, depend on the severity of the disease and the weight and general state of the subject, as well as the absorption, inactivation, and excretion rates of the therapeutically-active compound or component, the dosage schedule, and amount administered, as well as other factors known to those of ordinary skill in the art.", "It also should be apparent to one of ordinary skill in the art that the exact dosage and frequency of administration will depend on the particular laminin, laminin composition, or other therapeutic substance being administered, the particular condition being treated, the severity of the condition being treated, the age, weight, general physical condition of the particular subject, and other medication the subject may be taking.", "Typically, dosages used in vitro may provide useful guidance in the amounts useful for in vivo administration of the pharmaceutical composition, and animal models may be used to determine effective dosages for treatment of particular disorders.", "For example, animal models of neural disorders may be used to determine effective dosages that can then be translated to dosage amount for other subjects, such as humans, as known in the art.", "Various considerations in dosage determination are described, e.g., in Gilman et al., eds., Goodman And Gilman's: The Pharmacological Bases of Therapeutics, 8th ed., Pergamon Press (1990); and Remington's Pharmaceutical Sciences, 17th ed., Mack Publishing Co., Easton, Pa. (1990), which is herein incorporated by reference.", "In another example, the laminin or laminin composition can be introduced into an in vitro culture of neural stem cells (or co-administered with neural stem cells to a subject) in an amount sufficient to provide a dose of laminin of between about 10 fmol/g and about 500 nmol/g, such as between about 2 nmol/g and about 20 nmol/g or between about 2 nmol/g and about 10 nmol/g.", "In additional examples, the laminin or laminin composition can be provided in vitro or administered to a subject in an amount sufficient to provide a dose of laminin of between about 0.01 μg/kg and about 1000 mg/kg or between about 0.1 mg/kg and about 1000 mg/kg, in particular examples this amount is provided per day or per week.", "In another example, the laminin or laminin composition is administered to a subject in an amount sufficient to provide a dose of laminin of between about 0.2 mg/kg and about 2 mg/kg.", "In further examples, the laminin or laminin composition is administered to a subject in an amount sufficient to provide a concentration of laminin in the administrated material of between about 5 nM and about 500 nM, such as between about 50 nM and about 200 nm, or about 100 nM.", "Addition of heparan sulfate to composite collagen mixtures can improve neuronal differentiation as well.", "Neuronal networking and neuronal clustering was visible at the later time point.", "Heparan sulfate and its interaction with glial cell-derived neurotrophic factor (GDNF) and other neurotrophic factors stabilizes and makes these factors locally available, possibly modulating neurite outgrowth and neuronal differentiation.", "Heparan sulfate interacts with both collagen IV and with laminin, to positive modulate neuronal differentiation, evidenced by the enhanced neurite outgrowth, axonal lengths and initiation of neuronal networking ( FIG.", "4 A-H).", "Composite collagen substrates with laminin and/or heparan sulfate all maintained a low level of glial fibrillary acidic protein (GFAP) positive glial cells, with initiation of astrocytic networking becoming more obvious at the later time point.", "In general, substrates that supported neuronal differentiation demonstrated a bare minimum of glial cells required to possibly support neuronal cell phenotype or survival.", "Taken together, these results help identify optimal 3D matrix compositions to encapsulate neuronal progenitor cells.", "In certain embodiments, three dimensional hydrogel environments can also provide the mechanical cues for neural differentiation.", "For example, in some embodiments, three dimensional ECM hydrogels can comprise: collagen I (about 800 μg/ml to about 1600 μg/ml); collagen I (about 800 μg/ml) and collagen IV (about 200 μg/ml); collagen I and collagen IV with laminin (about 5 μg/ml to about 10 μg/ml); collagen I and collagen IV with laminin and heparan sulfate (about 10 μg/ml to about 20 μg/ml); Other components of the gel can include: at least 1% fetal calf serum and at least 0.1× antibiotics in Dulbecco's modified Eagle's medium.", "Sodium hydroxide (0.1N) can be used to adjust pH to about 7.4 for gelation.", "Accordingly, methods and systems for treating neurodegenerative conditions are disclosed whereby neural stem cells (NSCs) can be transplanted into a subject in need such that the cells can differentiate and ameliorate the neurodegenerative condition.", "In certain embodiments, the neural stem cells, upon transplantation, generate an amount of neurons or glial cells sufficient to integrate within the neural infrastructure to ameliorate a disease state or condition.", "In one embodiment, the disclosed methods include treating neurodegenerative diseases or conditions by transplanting multipotential neural progenitors or neural stem cells isolated from the central nervous system of a mammal and that have been expanded in vitro and induced to differentiate by exposure to at least one component of an extracellular matrix material (ECM).", "In another aspect of the invention, treatments can include supplying a suitable number of NSCs to an injured neural area, via transplantation, such that the transplanted cells differentiate into a sufficient number of neurons and/or glial cells to rehabilitate defective neural circuits.", "In an embodiment, the disclosed methods include restoring motor function in a motor neuron disease.", "A suitable number or a therapeutically effective amount of NSCs or neural progenitors which are capable of differentiating into motor neurons can be provided to at least one area of neurodegeneration.", "The NSCs can exert their therapeutic effect by replacing degenerated neuromuscular junctions.", "In certain embodiments, the disclosed methods and systems include providing neural stem cells or neural progenitors that integrate with the host tissue and provide one or more factors to the host neurons thereby protecting them from degenerative influences present in the tissue.", "In one preferred embodiment, the disclosed methods include increasing differentiation efficiency of transplanted NSCs into neurons or glial cells by exposure of the stem cells to one or more extracellular matrix materials (ECMs).", "The method can also include expanding highly enriched NSCs or neural progenitors in their undifferentiated state and then inducing differentiation so that, upon transplantation, a sufficient number of the cells in the graft adopt a desired phenotype.", "The cells of the disclosed methods can be isolated or obtained from fetal, neonatal, juvenile, adult, or post-mortem tissues of a mammal.", "The cells of the disclosed methods can be isolated or obtained from the central nervous system, blood, or any other suitable source of stem cells that differentiate into neurons.", "The cells can also be obtained from embryonic stem cells.", "In certain preferred embodiments, the cells are autologous neural stem cells obtained from a subject and that returned to the subject to ameliorate a neural disorder.", "In certain embodiments, the neural stem cells can be expanded in culture.", "In some embodiments, the neural precursor cells can be multipotential NSCs capable of expansion in culture and of generating both neurons and glia upon differentiation.", "The cells can be either undifferentiated, pre-differentiated or fully differentiated in vitro at the time of transplantation.", "In an embodiment, the cells are induced to differentiate into neural lineage.", "The cells of the disclosed methods can undergo neuronal differentiation in situ in the presence of EMCs and/or pro-inflammatory cytokines and other environmental factors existing in an injured tissue.", "Using the present methods, neural circuits can be treated by transplanting or introducing the cells into appropriate regions for amelioration of the disease, disorder, or condition.", "Generally, transplantation occurs into nervous tissue or non-neural tissues that support survival of the grafted cells.", "NSC grafts employed in the disclosed methods survive well in a neurodegenerative environment where the NSCs can exert powerful clinical effects in the form of delaying the onset and progression of neurodegenerative conditions or disease.", "The present invention can be used in conjunction with various other tissue engineering methods and compositions including those disclosed in commonly-owned, co-pending Applications No.", "PCT/US2013/024080 entitled “Innervation of Engineered Structures” filed Jan. 31, 2013 and No.", "PCT/US2013/024024 entitled “Tubular Bioengineered Muscle Structures” also filed Jan. 31, 2013, each of which is incorporated herein in its entirety by reference." ], [ "RELATED APPLICATIONS This application is a Divisional Application of Ser.", "No.", "14/216,391, filed Mar.", "17, 2014, which claims priority of U.S.", "Provisional Application No.", "61/788,285, filed Mar.", "15, 2013, which is hereby incorporated in its entirety by reference.", "GOVERNMENT RIGHTS This invention was made with U.S. government support by the National Institutes of Health Grant Nos.", "RO1DK071614 and RO1DK042876.The U.S. government has certain rights in the invention.", "TECHNICAL FIELD The field of this invention is tissue engineering and, in particular, re-innervation of organs and body tissue.", "BACKGROUND An uninterrupted enteric nervous system with the preservation of myenteric ganglia is required for intestinal motility and function.", "Motor neurons of the myenteric ganglia predominantly express acetylcholine/tachykinins (excitatory) or nitric oxide/inhibitory peptides/purines (inhibitory) to mediate smooth muscle contraction and relaxation.", "Partial, selective, or total loss of nerve function and/or loss of nerve cell populations within organs and other body structures are characteristic of numerous diseases and disorders.", "For example, aganglionosis of various lengths of distal gut is the central pathology in Hirschsprung's disease.", "Enteric neuropathy is also secondary to several other disorders (e.g., diabetes, Parkinson's disease, and inflammation) resulting in gastrointestinal dysfunction.", "Gastrointestinal motor function is controlled by the intramural enteric nervous system.", "It is a complex interplay between the smooth muscle of the muscularis externa and the two enteric neuronal plexi.", "Neural stem cell therapy is an emerging therapy that aims to reinstate neuronal function and thus gastrointestinal motor function by repopulating the enteric plexi.", "The research is driven by two significant findings: i) neural stem cells can be isolated from adult mammalian gut, including the ganglionated colon of Hirschsprung's patients; and ii) neural stem cells can be induced to differentiate into several neuronal subtypes and glia characteristic of the enteric nervous system (ENS) upon transplantation into explant cultures of aganglionic/aneural gut, or in vivo into distal colo-rectums in animal models.", "While neural-crest derived enteric neural stem cells have been isolated from adult mammalian guts, including ganglionic bowel of patients with Hirschsprung's disease, there is little information or understanding of microenvironment-driven differentiation, and only limited studies describing subsequent functional behavior of these differentiated neurons in vitro.", "Moreover, restoration of nerve functions by neural stem cell transplantations as a treatment for nerve-loss associated disorders has not yet been clinically demonstrated, and there exists a need for methods and materials to support neuroglial cells, and to ensure phenotypic stability and long term survival of transplanted or implanted neural stem cells.", "SUMMARY It has been discovered that extracellular matrix (ECM) compositions can modulate neural stem cell fate and direct differentiation.", "The term “extracellular matrix” or “ECM” is used herein to denote composition comprising one or more of the following: collagen I, collagen IV, laminin, heparan sulfate, or fragments of one or more of such proteins.", "One aspect of the invention includes a method of biasing neural stem cell differentiation having the steps of obtaining a population of smooth muscle cells, culturing the smooth muscle cells to form a uniaxially-aligned smooth muscle sheet, obtaining a population of neural stem cells, culturing the neural stem cells in a hydrogel, wherein the hydrogel is applied to the uniaxially-aligned smooth muscle sheet, and exposing the neural stem cells to at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for a neuronal subtype.", "For example, the neuronal subtype are cholinergic neurons, where the hydrogel can include collagen I, or at least at least about 800 μg/ml collagen I, or between about 800 μg/ml and about 1600 μg/ml collagen I.", "In another example, the neuronal subtype are nitrergic neurons, where the hydrogel can include collagen IV and be substantially free of laminin, or at least about 200 μg/ml collagen IV and be substantially free of laminin.", "In another example, the neuronal subtype are peptidergic neurons, where the hydrogel can include collagen I, collagen IV, and laminin, or at least about 800 μg/ml collagen I, at least about 200 μg/ml collagen IV, and at least about 5 μg/ml laminin.", "Another aspect of the invention can include isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering can include injecting, into the patient, the differentiated stem cells in the hydrogel.", "In another example, the differentiated neural stem cells innervate the uniaxially-aligned smooth muscle sheet to form an innervated smooth muscle sheet and can include the additional step of implanting the innervated smooth muscle sheet into a patient.", "Another aspect of the invention includes a method of biasing neural stem cell differentiation having the steps of obtaining a population of neural stem cells, obtaining a population of smooth muscle cells, culturing the neural stem cells in the presence of the smooth muscle cells, wherein the neural stem cells adhere on a substrate with a substrate coating comprising at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for neurons.", "For example, the substrate coating comprises at least one of laminin, collagen I, and collagen IV, or the substrate coating comprises laminin, and at least one of collagen I and collagen IV, or the substrate coating comprises collagen I and collagen IV, and at least one of laminin and heparan sulfate.", "Another aspect of the invention can also include isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering can include injecting the differentiated neural stem cells into the patient.", "Another aspect of the invention includes a method of biasing neural stem cell differentiation by obtaining a population of neural stem cells, obtaining a population of smooth muscle cells, culturing the neural stem cells in the presence of the smooth muscle cells, wherein the neural stem cells adhere on a substrate with a substrate coating comprising at least one extracellular matrix (ECM) component, wherein the ECM component biases differentiation of the neural stem cells into differentiated neural stem cells that are enriched for glial cells.", "For example, the substrate coating can include at least collagen I and collagen IV, and be substantially free of at least one of laminin and heparan sulfate, or the substrate coating can comprises at least 5 μg/cm2 collagen I and at least 5 μg/cm2 collagen IV, and be substantially free of at least one of laminin and heparan sulfate.", "Another aspect further includes the step of isolating the differentiated neural stem cells and administering the differentiated neural stem cells to a patient.", "For example, the administering comprises injecting the differentiated neural stem cells into the patient.", "In one aspect of the invention, adult mammalian enteric neural progenitor cells can be induced to differentiate on various substrates derived from components or combinations of ECM compositions.", "Neuronal and glial differentiation was studied as a function of ECM composition.", "Collagen I and collagen IV substrates supported neuronal differentiation and extensive glial differentiation individually and in combination.", "The addition of laminin or heparan sulfate to collagen substrates improved neuronal differentiation, increasing the number of neurons and the branching of neuronal processes and initiation of neuronal network formation.", "Various neural ECM components were evaluated individually and in combination to study their effect of neuroglial differentiation of adult enteric neural progenitor cells.", "In another aspect of the invention, tissue-engineered intestinal longitudinal smooth muscle sheets can be innervated using enteric neuronal progenitor cells embedded with hydrogels of varying ECM composition.", "Differentiated neuronal composition (cholinergic, nitrergic, peptidergic), as well as functional neuronal physiology mediating smooth muscle contraction/relaxations, were evaluated.", "Several functional differentiated neuronal subtypes were present in tissue-engineered intestinal sheets, capable of mediating smooth muscle contraction/relaxation.", "Neuronal populations varied from being highly cholinergic (collagen I), highly nitrergic (composite collagen I and collagen IV), or balanced between the two (composite collagen I and collagen IV, and laminin and/or heparan sulfate).", "Additionally, an increase in peptidergic neurons was detected with laminin and heparan sulfate.", "The feasibility of transplantation of various types of neuronal progenitor cells (CNS-derived, neural tube-derived, embryonic and adult ENS-derived) in explant cultures of aneural gut is well established.", "However, conditions required for successful engraftment and long-term survival, focusing on a permissive environment heretofore have not been identified.", "Studies related to in vitro differentiation of adult enteric neuronal progenitor cells with a focus on the role of the ECM can help optimize the survivability and maintenance of both neuronal and neuroglial phenotypes.", "Moreover, our studies indicate that neuronal differentiation can be modulated by varying the composition of ECM microenvironments.", "Enriched populations of differentiated neuronal subtypes can be derived within transplantable tissue engineered sheets, using ECM microenvironments.", "ECM microenvironments may also facilitate adequate trophic support and phenotype maintenance of differentiated neurons.", "In certain embodiments, neural differentiation is induced by administering an effective amount of laminin or a composition comprising laminin, including fragments, derivatives, or analogs thereof.", "In a specific example, the laminin can be a complete laminin protein.", "In further examples, the laminin is selected from laminin-1, laminin-2, laminin-4, and fragments or combinations thereof.", "In further examples, the laminin or laminin composition includes a substance at least substantially homologous to laminin-1, laminin-2, or laminin-4.In yet further implementations, the laminin or laminin composition comprises a polypeptide at least substantially homologous to the laminin al chain, e.g., having at least 80%, or 85%, or 90%, or 95% sequence identical to at least a fragment of the laminin α1 chain that retains the capacity to induce neuroglial differentiation.", "Amounts effective for various therapeutic treatments of the present disclosure may, of course, depend on the severity of the disease and the weight and general state of the subject, as well as the absorption, inactivation, and excretion rates of the therapeutically-active compound or component, the dosage schedule, and amount administered, as well as other factors known to those of ordinary skill in the art.", "It also should be apparent to one of ordinary skill in the art that the exact dosage and frequency of administration will depend on the particular laminin, laminin composition, or other therapeutic substance being administered, the particular condition being treated, the severity of the condition being treated, the age, weight, general physical condition of the particular subject, and other medication the subject may be taking.", "Typically, dosages used in vitro may provide useful guidance in the amounts useful for in vivo administration of the pharmaceutical composition, and animal models may be used to determine effective dosages for treatment of particular disorders.", "For example, animal models of neural disorders may be used to determine effective dosages that can then be translated to dosage amount for other subjects, such as humans, as known in the art.", "Various considerations in dosage determination are described, e.g., in Gilman et al., eds., Goodman And Gilman's: The Pharmacological Bases of Therapeutics, 8th ed., Pergamon Press (1990); and Remington's Pharmaceutical Sciences, 17th ed., Mack Publishing Co., Easton, Pa. (1990), which is herein incorporated by reference.", "In another example, the laminin or laminin composition can be introduced into an in vitro culture of neural stem cells (or co-administered with neural stem cells to a subject) in an amount sufficient to provide a dose of laminin of between about 10 fmol/g and about 500 nmol/g, such as between about 2 nmol/g and about 20 nmol/g or between about 2 nmol/g and about 10 nmol/g.", "In additional examples, the laminin or laminin composition can be provided in vitro or administered to a subject in an amount sufficient to provide a dose of laminin of between about 0.01 μg/kg and about 1000 mg/kg or between about 0.1 mg/kg and about 1000 mg/kg, in particular examples this amount is provided per day or per week.", "In another example, the laminin or laminin composition is administered to a subject in an amount sufficient to provide a dose of laminin of between about 0.2 mg/kg and about 2 mg/kg.", "In further examples, the laminin or laminin composition is administered to a subject in an amount sufficient to provide a concentration of laminin in the administrated material of between about 5 nM and about 500 nM, such as between about 50 nM and about 200 nm, or about 100 nM.", "Addition of heparan sulfate to composite collagen mixtures can improve neuronal differentiation as well.", "Neuronal networking and neuronal clustering was visible at the later time point.", "Heparan sulfate and its interaction with glial cell-derived neurotrophic factor (GDNF) and other neurotrophic factors stabilizes and makes these factors locally available, possibly modulating neurite outgrowth and neuronal differentiation.", "Heparan sulfate interacts with both collagen IV and with laminin, to positive modulate neuronal differentiation, evidenced by the enhanced neurite outgrowth, axonal lengths and initiation of neuronal networking (FIG.", "4 A-H).", "Composite collagen substrates with laminin and/or heparan sulfate all maintained a low level of glial fibrillary acidic protein (GFAP) positive glial cells, with initiation of astrocytic networking becoming more obvious at the later time point.", "In general, substrates that supported neuronal differentiation demonstrated a bare minimum of glial cells required to possibly support neuronal cell phenotype or survival.", "Taken together, these results help identify optimal 3D matrix compositions to encapsulate neuronal progenitor cells.", "In certain embodiments, three dimensional hydrogel environments can also provide the mechanical cues for neural differentiation.", "For example, in some embodiments, three dimensional ECM hydrogels can comprise: collagen I (about 800 μg/ml to about 1600 μg/ml); collagen I (about 800 μg/ml) and collagen IV (about 200 μg/ml); collagen I and collagen IV with laminin (about 5 μg/ml to about 10 μg/ml); collagen I and collagen IV with laminin and heparan sulfate (about 10 μg/ml to about 20 μg/ml); Other components of the gel can include: at least 1% fetal calf serum and at least 0.1× antibiotics in Dulbecco's modified Eagle's medium.", "Sodium hydroxide (0.1N) can be used to adjust pH to about 7.4 for gelation.", "Accordingly, methods and systems for treating neurodegenerative conditions are disclosed whereby neural stem cells (NSCs) can be transplanted into a subject in need such that the cells can differentiate and ameliorate the neurodegenerative condition.", "In certain embodiments, the neural stem cells, upon transplantation, generate an amount of neurons or glial cells sufficient to integrate within the neural infrastructure to ameliorate a disease state or condition.", "In one embodiment, the disclosed methods include treating neurodegenerative diseases or conditions by transplanting multipotential neural progenitors or neural stem cells isolated from the central nervous system of a mammal and that have been expanded in vitro and induced to differentiate by exposure to at least one component of an extracellular matrix material (ECM).", "In another aspect of the invention, treatments can include supplying a suitable number of NSCs to an injured neural area, via transplantation, such that the transplanted cells differentiate into a sufficient number of neurons and/or glial cells to rehabilitate defective neural circuits.", "In an embodiment, the disclosed methods include restoring motor function in a motor neuron disease.", "A suitable number or a therapeutically effective amount of NSCs or neural progenitors which are capable of differentiating into motor neurons can be provided to at least one area of neurodegeneration.", "The NSCs can exert their therapeutic effect by replacing degenerated neuromuscular junctions.", "In certain embodiments, the disclosed methods and systems include providing neural stem cells or neural progenitors that integrate with the host tissue and provide one or more factors to the host neurons thereby protecting them from degenerative influences present in the tissue.", "In one preferred embodiment, the disclosed methods include increasing differentiation efficiency of transplanted NSCs into neurons or glial cells by exposure of the stem cells to one or more extracellular matrix materials (ECMs).", "The method can also include expanding highly enriched NSCs or neural progenitors in their undifferentiated state and then inducing differentiation so that, upon transplantation, a sufficient number of the cells in the graft adopt a desired phenotype.", "The cells of the disclosed methods can be isolated or obtained from fetal, neonatal, juvenile, adult, or post-mortem tissues of a mammal.", "The cells of the disclosed methods can be isolated or obtained from the central nervous system, blood, or any other suitable source of stem cells that differentiate into neurons.", "The cells can also be obtained from embryonic stem cells.", "In certain preferred embodiments, the cells are autologous neural stem cells obtained from a subject and that returned to the subject to ameliorate a neural disorder.", "In certain embodiments, the neural stem cells can be expanded in culture.", "In some embodiments, the neural precursor cells can be multipotential NSCs capable of expansion in culture and of generating both neurons and glia upon differentiation.", "The cells can be either undifferentiated, pre-differentiated or fully differentiated in vitro at the time of transplantation.", "In an embodiment, the cells are induced to differentiate into neural lineage.", "The cells of the disclosed methods can undergo neuronal differentiation in situ in the presence of EMCs and/or pro-inflammatory cytokines and other environmental factors existing in an injured tissue.", "Using the present methods, neural circuits can be treated by transplanting or introducing the cells into appropriate regions for amelioration of the disease, disorder, or condition.", "Generally, transplantation occurs into nervous tissue or non-neural tissues that support survival of the grafted cells.", "NSC grafts employed in the disclosed methods survive well in a neurodegenerative environment where the NSCs can exert powerful clinical effects in the form of delaying the onset and progression of neurodegenerative conditions or disease.", "The present invention can be used in conjunction with various other tissue engineering methods and compositions including those disclosed in commonly-owned, co-pending Applications No.", "PCT/US2013/024080 entitled “Innervation of Engineered Structures” filed Jan. 31, 2013 and No.", "PCT/US2013/024024 entitled “Tubular Bioengineered Muscle Structures” also filed Jan. 31, 2013, each of which is incorporated herein in its entirety by reference.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 A-D are micrographs of rabbitenteric neuro spheres—FIG.", "1A is a phase contrast micrograph of rabbit enteric neurospheres in culture.", "Upon primary isolation and culture, progenitor cells proliferated and aggregated to form neurosphere-like bodies (enteric neurospheres).", "Immunohistochemistry for initial phenotype (FIGS.", "1 B-D): Rabbit enteric neurospheres are p75NTR (FIG.", "1B), Sox2 (FIG.", "1C) and Nestin (FIG.", "1D) positive—indicating that they are comprised of neural crest-derived neuronal and glial progenitor cells.", "Scale bar 100 um.", "FIG.", "2 is a schematic illustration of enteric neuro sphere differentiation as a function of extracellular matrix (ECM) composition: 22×11 mm coverslips were coated with poly-L-lysine (PLL), collagen I, collagen IV, laminin or heparan sulfate individually or in combination.", "Enteric neurospheres were allowed to adhere to the coated coverslips for 6 hours.", "Separately, uncoated glass coverslips were seeded with colonic smooth muscle cells, and allowed to grow to confluence.", "In order to stimulate differentiation of enteric neurospheres, a coverslip containing confluent smooth muscle was placed within the same dish, so the two coverslips shared soluble factors.", "FIG.", "3 illustrates neuronal differentiation on individual coated coverslips—βIII Tubulin antibody (white) was used to visualize neurons on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with PLL (Figs.", "A,E), laminin (Figs.", "B,F), type I collagen (Figs.", "C,G) and type IV collagen (Figs.", "D,H).", "Enteric neurospheres on PLL barely initiated neuronal differentiation at day 15.Neurospheres on laminin, collagen I and collagen IV showed branching and several neuronal processes both at the early and late time points in vitro.", "FIG.", "4 illustrates neuronal differentiation on collagen-laminin substrates—Neurons stained with βIII tubulin (white) on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with type I collagen and 5 μm/cm2 laminin (Figs.", "A,E) or 10 μm/cm2 laminin (Figs.", "B,F) or type IV collagen with 5 (Figs.", "C,G) or 10 (Figs.", "D,H) μm/cm2 of laminin.", "Addition of laminin to collagen substrates enhanced early and late neuronal differentiation, but no significant difference was observable between 5 and 10 μg/cm2 of laminin.", "Type IV collagen substrates (Figs.", "C,D,G,H) demonstrated enhanced neuronal branching and differentiation compared to type I collagen (Figs.", "A,B,E,F) substrates.", "FIG.", "5 illustrates neuronal differentiation on composite collagen-laminin-heparan sulfate (HS) substrates—Neurons stained with βIII tubulin (white) on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with type I and IV collagen with either 5 μm/cm2 laminin (Figs.", "B,F), 0.1 μm/cm2 heparan sulfate (HS) (Figs.", "C,G), both (Figs.", "D,H) or none (Figs.", "A,E).", "Addition of laminin or HS to collagen substrates enhanced early and late neuronal differentiation, with visible networking by day 15.Substrates without laminin or HS demonstrated minimal neuronal differentiation (Figs.", "A,E).", "FIG.", "6 illustrates glial differentiation on primary coated substrates—Glia stained with GFAP (white) on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with poly-L-lysine (PLL) (Figs.", "A,E), laminin (Figs.", "B,F), type I collagen (Figs.", "C,G) and type IV collagen (Figs.", "D,H).", "Enteric neurospheres on PLL demonstrated maximal glial differentiation starting at day 5 up to day 15.While neurospheres on collagen substrates demonstrated good early and late glial differentiation, laminin coated substrates showed no early glial differentiation by day 5 (B), but differentiated subsequently by day 15 (F).", "FIG.", "7 illustrates glial differentiation on collagen-laminin substrates—Glia stained with glial fibrillary acidic protein (GFAP) (white) on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with type I collagen and 5 μm/cm2 laminin (Figs.", "A,E) or 10 μm/cm2 laminin (Figs.", "B,F) or type IV collagen with 5 (Figs.", "C,G) or 10 (Figs.", "D,H) μm/cm2 of laminin.", "Addition of laminin to collagen substrates promoted glial differentiation both at days 5 and 15.No significant difference was observable in GFAP+ glial differentiation between 5 and 10 μg/cm2 of laminin.", "FIG.", "8 illustrates glial differentiation on composite collagen-laminin-heparan sulfate (HS) substrates—Glia stained with GFAP (white) on day 5 (Figs.", "A-D) and day 15 (Figs.", "E-H) coverslips coated with type I and IV collagen with either 5 μg/cm2 laminin (Figs.", "B,F), 0.1 μm/cm2 heparan sulfate (Figs.", "C,G), both (Figs.", "D,H) or none (Figs.", "A,E).", "Addition of laminin or HS to composite collagen substrates demonstrated glial differentiation that peaked at day 5 (Figs.", "B-D) that was sustained at the later time point (Figs.", "E-H); and FIG.", "9 illustrates neurite lengths were measured on coated culture substrata and compared using one way ANOVA.", "Two bars for each substrate show mean neurite lengths at day 5 and day 15.FIG.", "9A shows that neurite lengths on PLL were significantly (***p<0.001) shorter than any primary coating substrate.", "Laminin substrates had the longer neurites (*p<0.05).", "FIG.", "9B shows no significant difference was observed in neurite lengths with the addition of 5 or 10 μg/cm2 laminin.", "FIG.", "9C shows that the addition of laminin or heparan sulphate significantly increased neurite lengths over composite collagen substrata (*p<0.05).", "FIG.", "9D shows that mean GFAP immunofluorescence was quantified: PLL substrates (***p<0.001) and composite collagen substrates (*p<0.05) supported extensive glial differentiation.", "FIG.", "10 illustrates scanning electron micrographs of dehydrated ECM gels.", "Images were obtained at constant magnification and constant working distance.", "(A) Collagen I fibers were randomly oriented, and presented a dense fibrous structure; (B) Composite Collagen I/IV sheets demonstrated evidence of the formation of network-like structures; (C) There was no difference in ultrastructure with the addition of laminin; (D) Evidence of cabling and cross-linking was observed with the addition of heparan sulfate.", "Average porosity was determined and summarized in the accompanying table.", "Viscoelastic modulus was calculated using oscillatory rheometry of ECM gels in their hydrated state, and tabulated in the table.", "Scale bar 10 μm.", "FIG.", "11 illustrates neuronal differentiation within tissue engineered sheets.", "Phase contrast micrographs were obtained at the edge of the tissue engineered sheets.", "Evidence of neuronal differentiation and initiation of network formation was observed in all ECM compositions at day 10.The arrows indicate instances of preliminary neuronal networking.", "Scale bar 200 μm.", "FIG.", "12 illustrates immunoblot analysis of tissue engineered longitudinal sheets.", "Sheets were assessed for expression of neuronal differentiation, constituent smooth muscle phenotype, and excitatory and inhibitory neural markers.", "Densitometry was used to quantify band intensities, to quantify and compare expression.", "(A) Neuronal βIII Tubulin expression was similar amongst all four matrices suggesting that all ECM compositions supported neuronal differentiation; (B) Constituent smooth muscle within the tissue engineered sheets maintained contractile phenotype, demonstrated by similar Smoothelin expression; (C) Choline acetyltransferase (ChAT) expression was significantly (*p<0.05) elevated in Col I and Col I/IV/Laminin sheets compared to Col I/IV and Col I/IV/Lam/HS sheets; (D) Neuronal nitric oxide synthase (nNOS) expression was significantly lower (**p<0.001) in Col I sheets compared to elevated levels in all tissue engineered sheets containing Col4 with or without laminin and/or heparan sulfate.", "(E) Representative immunoblots are provided along with β Actin, demonstrating equal loading.", "FIG.", "13 illustrates immunofluorescence for differentiated neurons within tissue engineered sheets.", "Differentiated neurons within tissue engineered sheets were stained with markers for vasoactive intestinal peptide (VIP), choline acetyltransferase (ChAT) or neuronal nitric oxide synthase (nNOS).", "(A-D) Numerous differentiated VIP-ergic neurons were present in tissue engineered sheets.", "(E-H) Differentiated excitatory cholinergic neurons expressing ChAT were present within tissue engineered sheets; (I-L) Differentiated inhibitory nitrergic neurons expressing nNOS were present within tissue engineered sheets.", "Scale bar 100 μm.", "FIG.", "14 illustrates potassium chloride induced contraction of tissue engineered sheets.", "60 mM Potassium chloride (KCl) was used to examine the electromechanical coupling integrity of the constituent smooth muscle cells within the tissue engineered sheets.", "The black traces indicate the contraction in response to the addition of KCl.", "The grey traces indicate the addition of KCl in the presence of a neuronal blocker, TTX.", "Pre-treatment with TTX did not inhibit KCl-induced contraction.", "The ECM composition of the tissue engineered sheets did not affect smooth muscle contraction, evidenced by similar contractile patterns in response to KCl stimulation.", "A robust and immediate contraction was observed upon addition of KCl (indicated by the arrows) in all tissue engineered sheets (A-D), similar to native rabbit intestinal tissue (E).", "Peak contraction in response to KCl ranged between 279.5 μN and 296.5 μN in tissue engineered sheets, and averaged at 373.3±10.63 in native tissue.", "(F) The area under the curve of KCl-induced contraction was quantified to demonstrate no significant (ns) difference in contraction in tissue engineered sheets, with a slightly elevated (*p<0.05) magnitude in native tissue.", "FIG.", "15 illustrates acetylcholine induced contraction.", "Addition of 1 μM Acetylcholine (Ach; arrow) resulted in contraction of tissue engineered sheets, as well as native tissue.", "Gray traces demonstrate Ach treatment in the presence of neuronal blocker, TTX.", "(A-E) Representative tracings of Ach-induced contraction in tissue engineered sheets and native tissue.", "Magnitude of Ach-induced contraction varied between tissue engineered sheets.", "Comparison of the area under the curve of contraction demonstrated that tissue engineered sheets approached 31.5% (Col4)-67.6% (Laminin) of contraction observed in native tissue.", "In the presence of TTX, magnitude of Ach-induced contraction was attenuated.", "Quantification of inhibition (F) revealed that the degree of inhibition with TTX varied amongst the tissue engineered sheets with different ECM compositions.", "Highest inhibition was observed in Col i (72.77±2.5%) and Col I/IV/Laminin (60.58±1.7%) sheets, indicating an elevated presence of cholinergic neurons contributing to Ach-induced contraction.", "Significantly lower inhibition (*p<0.05; 48.36±4.3-50.31±4.2%) was seen in Col I/IV and Col I/IV/Lam/Heparan sulfate sheets.", "TTX pre-treatment attenuated Ach-induced contraction by 72.73±3.7% in native tissue.", "FIG.", "16 illustrates electrical Field Stimulation induced relaxation in tissue engineered sheets.", "Electrical Field stimulation (EFS; shaded gray area) was used to stimulate relaxation in tissue engineered sheets (A-D) and native tissue (E).", "Grey traces indicate TTX pre-treatment.", "EFS induced relaxation was significantly attenuated by TTX-pretreatment (90.9±2.41%-94.41±0.93%), indicating that differentiated neurons within the tissue engineered sheets were capable of evoking smooth muscle relaxation.", "The magnitude of relaxation varied amongst the tissue engineered sheets (summarized in Table 3).", "(F) Quantification of the area under the curve of relaxation indicated that Col I sheets had a significantly low (***p<0.001; 23142±4921 AU) magnitude of relaxation.", "Relaxation in Col I/IV sheets (109693±8465 AU) were similar to those observed in native tissue (101550±11279 AU) in response to the electrical field, indicating the presence of elevated levels of inhibitory motor neurons capable of mediating relaxation.", "Relaxation was higher in Col I/IV/Laminin (69025±7154 AU) and Col I/IV/Lam/Heparan sulfate (68395±8228 AU) sheets, when compared to Col I, also indicating a similar increase in the presence of inhibitory motor neurons.", "FIG.", "17 illustrates inhibition of relaxation with L-NAME.", "The functionality of nitrergic neurons was studied by inhibiting EFS-induced relaxation with L-NAME, a non-metabolizable substrate for nNOS.", "The grey traces indicate EFS in the presence of L-NAME.", "Pretreatment with L-NAME attenuated EFS-induced relaxation in all tissue engineered sheets (A-D) and native tissue (E).", "(F) Quantification of the area under the curve for relaxation indicated that the extent of L-NAME inhibition varied amongst the tissue engineered sheets.", "Col I sheets had a significantly lower % inhibition with L-NAME (*p<0.05; 33.4±8.4%) corresponding to the lowest immunoblot expression of nNOS.", "The degree of L-NAME inhibition was higher in tissue engineered sheets containing Col I/IV and/or laminin and/or heparan sulfate (57.16%-62.28%), corresponding to the higher immunoblot expression of nNOS.", "Attenuation of relaxation in the presence of L-NAME was 78±2.9% in native tissue.", "FIG.", "18 illustrates inhibition of relaxation with VIP-Ra.", "The functionality of VIP-ergic neurons was studied by inhibiting EFS-induced relaxation with VIP-Ra, a VIP-receptor antagonist.", "Grey traces indicate EFS in the presence of VIP-Ra.", "In the presence of VIP-Ra, EFS-induced relaxation was attenuated in tissue engineered sheets (A-D) and in native tissue (E), indicating the presence of functional VIP-ergic neurons capable of mediating smooth muscle relaxation upon electrical field stimulation.", "Area under the curve of relaxation was quantified, to calculate the % inhibition of relaxation in the presence of VIP-Ra (F).", "Extent of VIP-Ra induced inhibition of relaxation varied from 56.55±3.12%-63.11±3.2% in tissue engineered sheets, and averaged at 73.32±3.23% in native tissue.", "DETAILED DESCRIPTION Definitions As used in this specification and the appended claims, the singular forms “a,” “an,” and “the” include plural references unless the context clearly dictates otherwise.", "Thus, for example, references to “the method” includes one or more methods, and/or steps of the type described herein which will become apparent to those persons skilled in the art upon reading this disclosure and so forth.", "The steps of any method can be practice in feasible order and are restricted to a sequential order merely because they are so recited in a claim.", "Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs.", "Although any methods and materials similar or equivalent to those described herein can be used in the practice or testing of the invention, the preferred methods and materials are now described.", "“Differentiation” refers to a change that occurs in cells to cause those cells to assume certain specialized functions and to lose the ability to change into certain other specialized functional units.", "Cells capable of differentiation may be any of totipotent, pluripotent or multipotent cells.", "Differentiation may be partial or complete with respect to mature adult cells.", "Stem cells are undifferentiated cells defined by the ability of a single cell both to self-renew, and to differentiate to produce progeny cells, including self-renewing progenitors, non-renewing progenitors, and terminally differentiated cells.", "Stem cells are also characterized by their ability to differentiate in vitro into functional cells of various cell lineages from multiple germ layers (endoderm, mesoderm and ectoderm), as well as to give rise to tissues of multiple germ layers following transplantation, and to contribute substantially to most, if not all, tissues following injection into blastocysts.", "Neural stem cells can be isolated from embryonic and adult central nervous system (CNS) tissue, neural tube tissue or enteric nervous system (ENS) tissue.", "Stem cells can be further classified according to their developmental potential as: (1) totipotent; (2) pluripotent; (3) multipotent; (4) oligopotent; and (5) unipotent.", "Totipotent cells are able to give rise to all embryonic and extra-embryonic cell types.", "Pluripotent cells are able to give rise to all embryonic cell types.", "Multipotent cells include those able to give rise to a subset of cell lineages, but all within a particular tissue, organ, or physiological system (for example, hematopoietic stem cells (HSC) can produce progeny that include HSC (self-renewal), blood cell-restricted oligopotent progenitors, and all cell types and elements (e.g., platelets) that are normal components of the blood).", "Cells that are oligopotent can give rise to a more restricted subset of cell lineages than multipotent stem cells; and cells that are unipotent typically are only able to give rise to a single cell lineage.", "In a broader sense, a progenitor cell is a cell that has the capacity to create progeny that are more differentiated than itself, and yet retains the capacity to replenish the pool of progenitors.", "By that definition, stem cells themselves are also progenitor cells, as are the more immediate precursors to terminally differentiated cells.", "When referring to the cells of the present invention, as described in greater detail below, this broad definition of progenitor cell may be used.", "In a narrower sense, a progenitor cell is often defined as a cell that is intermediate in the differentiation pathway, i.e., it arises from a stem cell and is intermediate in the production of a mature cell type or subset of cell types.", "This type of progenitor cell is generally not able to self-renew.", "Accordingly, if this type of cell is referred to herein, it will be referred to as a non-renewing progenitor cell or as an intermediate progenitor or precursor cell.", "As used herein, the phrase “differentiates into a neural lineage or phenotype” refers to a cell that becomes partially or fully committed to a specific neural phenotype of the CNS or PNS, i.e., a neuron or a glial cell, the latter category including without limitation astrocytes, oligodendrocytes, Schwann cells and microglia.", "The term “neural” as used herein is intended to encompass all electrical active cells, e.g., cells that can process or transmit information through electrical or chemical signals, including the aforementioned neurons, glial cells, astrocytes, oligodendrocytes, Schwann cells and microglia.", "For the purposes of this disclosure, the terms “neural progenitor cell” or “neural precursor cell” mean a cell that can generate progeny that are either neuronal cells (such as neuronal precursors or mature neurons) or glial cells (such as glial precursors, mature astrocytes, or mature oligodendrocytes).", "Typically, the cells express some of the phenotypic markers that are characteristic of the neural lineage.", "Typically, they do not produce progeny of other embryonic germ layers when cultured by themselves in vitro, unless dedifferentiated or reprogrammed in some fashion.", "A “neuronal progenitor cell” or “neuronal precursor cell” is a cell that can generate progeny that are mature neurons.", "These cells may or may not also have the capability to generate glial cells.", "A “glial progenitor cell” or “glial precursor cell” is a cell that can generate progeny that are mature astrocytes or mature oligodendrocytes.", "These cells may or may not also have the capability to generate neuronal cells.", "The phrase “biocompatible substance” and the terms “biomaterial” and “substrate” are used interchangeably and refer to a material that is suitable for implantation or injection into a subject.", "A biocompatible substance does not cause toxic or injurious effects once implanted in the subject.", "In one embodiment, the biocompatible substrate includes at least one component of extracellular matrix.", "In other embodiments, the substrate can also include a polymer with a surface that can be shaped into the desired structure that requires repairing or replacing.", "The polymer can also be shaped into a part of a body structure that requires repairing or replacing.", "In another embodiment, the biocompatible substrate can be injected into a subject at a target site.", "In one embodiment, the substrate is an injectable or implantable biomaterial that can be composed of crosslinked polymer networks which are typically insoluble or poorly soluble in water, but can swell to an equilibrium size in the presence of excess water.", "For example, a hydrogel can be injected into desired locations within the organ.", "In one embodiment, the collagen can be injected alone.", "In another embodiment, the collagen can be injected with other hydrogels.", "The hydrogel compositions can include, without limitation, for example, poly(esters), poly(hydroxy acids), poly(lactones), poly(amides), poly(ester-amides), poly(amino acids), poly(anhydrides), poly(ortho-esters), poly(carbonates), poly(phosphazines), poly(thioesters), polysaccharides and mixtures thereof.", "Furthermore, the compositions can also include, for example, a poly(hydroxy) acid including poly(alpha-hydroxy) acids and poly(beta-hydroxy) acids.", "Such poly(hydroxy) acids include, for example, polylactic acid, polyglycolic acid, polycaproic acid, polybutyric acid, polyvaleric acid, and copolymers and mixtures thereof.", "Hydrogels with effective pore sizes in the 10-100 nm range and in the 100 nm-10 micrometer range are termed “microporous” and “macroporous” hydrogels, respectively.", "Microporous and macroporous hydrogels are often called polymer “sponges.” When a monomer, e.g., hydroxyethyl methacrylate (HEMA), is polymerized at an initial monomer concentration of 45 (w/w) % or higher in water, a hydrogel is produced with a porosity higher than the homogeneous hydrogels.", "Hydrogels can also expand in the presence of diluent (usually water).", "The matrix materials of present invention encompass both conventional foam or sponge materials and the so-called “hydrogel sponges.” For a further description of hydrogels, see U.S. Pat.", "No.", "5,451,613 (issued to Smith et al.)", "herein incorporated by reference.", "The term “extracellular matrix” or “ECM” is used herein to denote compositions comprising one or more of the following: collagen I, collagen IV, laminin, heparan sulfate, or fragments of one or more of such proteins.", "“Collagen I” refers to collagen I or collagen I compositions derived from cell culture, animal tissue, or recombinant means, and may be derived from human, murine, porcine, or bovine sources.", "“Collagen I” also refers to substances or polypeptide(s) at least substantially homologous to collagen I or collagen I compositions.", "Additionally, “collagen I” refers to collagen I or collagen I compositions that do not include a collagen I fragment, e.g., including essentially only a complete collagen I protein.", "“Collagen IV” refers to collagen IV or collagen IV compositions derived from cell culture, animal tissue, or recombinant means, and may be derived from human, murine, porcine, or bovine sources.", "“Collagen IV” also refers to substances or polypeptide(s) at least substantially homologous to collagen IV or collagen IV compositions.", "Additionally, “collagen IV” refers to collagen IV or collagen IV compositions that do not include a collagen IV fragment, e.g., including essentially only a complete collagen I protein.", "“Laminin” refers to laminin, laminin fragments, laminin derivatives, laminin analogs, or laminin compositions derived from cell culture, recombinant means, or animal tissue.", "“Laminin” can be derived from human, murine, porcine, or bovine sources.", "“Laminin” refers to laminin or laminin compositions comprising laminin-1, laminin-2, laminin-4, or combinations thereof.", "“Laminin” also refers to substances or polypeptide(s) at least substantially homologous to laminin-1, laminin-2, or laminin-4.Additionally, “laminin” refers to laminin or laminin compositions that do not include a laminin fragment, e.g., including essentially only a complete laminin protein.", "The term “substantially free of laminin” and “free of laminin” are used interchangeably herein to denote compositions in which laminin is absent or present in such low concentrations that it does not play any significant role in neural stem cell differentiation, e.g., where laminin is only present in concentrations less than 5 μg/ml in hydrogels or 5 μg/cm on substrate coatings, or more preferably less than 2 μg/ml in hydrogels or 2 μg/cm2 on substrate coatings, or less than 1 μg/ml in hydrogels or 1 μg/cm on substrate coatings, and in some instances less than 0.1 μm/ml in hydrogels or 0.1 μm/cm2 on substrate coatings.", "The term “substantially free of heparan sulfate” and “free of heparan sulfate” are used interchangeably herein to denote compositions in which heparin sulfate is absent or present in such low concentrations that it does not play any significant role in neural stem cell differentiation, e.g., where heparan sulfate is only present in concentrations less than 5 μg/ml in hydrogels or 5 μg/cm2 on substrate coatings, or more preferably less than 2 μg/ml in hydrogels or 2 μg/cm2 on substrate coatings, or less than 1 μg/ml in hydrogels or 1 μg/cm2 on substrate coatings, and in some instances less than 0.1 μm/ml in hydrogels or 0.1 μm/cm2 on substrate coatings.", "General Techniques For further elaboration of general techniques useful in the practice of this invention, the practitioner can refer to standard textbooks and reviews in cell biology, tissue culture, and embryology.", "Included are Teratocarcinomas and embryonic stem cells: A practical approach (E. J. Robertson, ed., IRL Press Ltd. 1987); Guide to Techniques in Mouse Development (P. M. Wasserman et al.", "eds., Academic Press 1993); Embryonic Stem Cell Differentiation in Vitro (M. V. Wiles, Meth.", "Enzymol.", "225:900, 1993); Properties and uses of Embryonic Stem Cells: Prospects for Application to Human Biology and Gene Therapy (P. D. Rathjen et al., Reprod.", "Fertil.", "Dev.", "10:31, 1998), For elaboration of nervous system abnormalities, and the characterization of various types of nerve cells, markers, and related soluble factors, the reader is referred to CNS Regeneration: Basic Science and Clinical Advances, M. H. Tuszynski & J. H. Kordower, eds., Academic Press, 1999.Methods in molecular genetics and genetic engineering are described in Molecular Cloning: A Laboratory Manual, 2nd Ed.", "(Sambrook et al., 1989); Oligonucleotide Synthesis (M. J. Gait, ed., 1984); Animal Cell Culture (R. I. Freshney, ed., 1987); the series Methods in Enzymology (Academic Press); Gene Transfer Vectors for Mammalian Cells (J. M. Miller & M. P. Calos, eds., 1987); Current Protocols in Molecular Biology and Short Protocols in Molecular Biology, 3rd Edition (F. M. Ausubel et al., eds., 1987 & 1995); and Recombinant DNA Methodology II (R. Wu ed., Academic Press 1995).", "Reagents, cloning vectors, and kits for genetic manipulation referred to in this disclosure are available from commercial vendors such as BioRad, Stratagene, Invitrogen, and ClonTech.", "General techniques used in raising, purifying and modifying antibodies, and the design and execution of immunoassays including immunohistochemistry are described in Handbook of Experimental Immunology (D. M. Weir & C. C. Blackwell, eds.", "); Current Protocols in Immunology (J. E. Coligan et al., eds., 1991); and R. Masseyeff, W. H. Albert, and N. A. Staines, eds.", "Methods of Immunological Analysis (Weinheim: VCH Verlags GmbH, 1993).", "Sources of Stem Cells This invention can be practiced using stem cells of various types, which may include the following non-limiting examples: U.S. Pat.", "No.", "5,851,832 reports multipotent neural stem cells obtained from brain tissue.", "U.S. Pat.", "No.", "5,766,948 reports producing neuroblasts from newborn cerebral hemispheres.", "U.S. Pat.", "Nos.", "5,654,183 and 5,849,553 report the use of mammalian neural crest stem cells.", "U.S. Pat.", "No.", "6,040,180 reports in vitro generation of differentiated neurons from cultures of mammalian multipotential CNS stem cells.", "WO 98/50526 and WO 99/01159 report generation and isolation of neuroepithelial stem cells, oligodendrocyte-astrocyte precursors, and lineage-restricted neuronal precursors.", "U.S. Pat.", "No.", "5,968,829 reports neural stem cells obtained from embryonic forebrain and cultured with a medium comprising glucose, transferrin, insulin, selenium, progesterone, and several other growth factors.", "Except where otherwise required, the invention can be practiced using stem cells of any vertebrate species.", "Included are stem cells from humans; as well as non-human primates, domestic animals, livestock, and other non-human mammals.", "Neural Glial Differentiation Enteric neuronal progenitor cells have been identified in the adult mammalian gut, and have been isolated from humans up to and over 80 years of age.", "Previously, several groups have shown that a self-renewing population of Sox 2, Sox10, Nestin and p75 positive neural-crest derived progenitor cells can be isolated either from full-thickness, muscularis or mucosal biopsies of the adult mammalian gut.", "These cells have been demonstrated to have the potential to differentiate into several neuronal subtypes including inhibitory and excitatory motor neurons and glia.", "Various types of neuronal progenitor cells (CNS-derived, neural tube-derived, embryonic and adult ENS-derived) from explant cultures of aneural gut can also be transplanted.", "Alterations in the extracellular matrix of the gut mesenchyme has been documented in aganglionic regions of rodent gut, suggesting the importance of a permissive extracellular environment to promote effective in utero colonization and differentiation of neural crest cells in the developing gut.", "Since transplantation and subsequent functional neo-innervation is one clinical goal of neural stem cell transplantation, in vitro studies should mimic developmental conditions in vivo, in terms of providing a permissive and favorable ECM (such as a three-dimensional environment).", "Understanding the role of the ECM in affecting neuroglial differentiation of adult enteric neuronal progenitor cells can enhance the survivability and maintenance of a stable phenotype upon transplantation.", "Mammalian myenteric ganglia in vivo are surrounded by a matrix comprised predominantly of type IV collagen, laminin, heparan sulphate proteoglycan, and entactin.", "The enteric plexus lacks large connective tissue spaces for blood vessels like the peripheral nervous system.", "The two-dimensional culture substratum may modulate neuronal and glial differentiation based on ECM composition.", "Different ECM components may influence enteric glia and neurons come in to contact with in vivo in the adult myenteric plexus, such as collagen IV, laminin and heparan sulfate.", "Addition of laminin to collagen substrates unexpectedly improved neurite outgrowth with longer neurite lengths (compare 156.1±7.2 μm to 215.1±7.6 μm).", "while there was an overall enhancement in neuronal differentiation as well as neurite outgrowth, there was no significant difference between the additions of 5 or 10 μg/cm2 of laminin.", "This empirical determination was important in determining a minimal amount of laminin that can influence neuroglial differentiation without affecting neurite outgrowth adversely in a situation that requires neo-innervation of denervated tissues.", "Addition of heparan sulfate to composite collagen mixtures improved neuronal differentiation as well.", "Neuronal networking and neuronal clustering was visible at the later time point.", "Heparan sulfate may interact with GDNF and other neurotrophic factors to stabilize and make the factors locally available.", "Heparan sulfate interacts with both collagen IV and with laminin, to positively modulate neuronal differentiation.", "In one embodiment, heparan sulfate is added to the collagen mixture.", "Composite collagen substrates with laminin and/or heparan sulfate all maintained a low level of GFAP positive glial cells, with initiation of astrocytic networking becoming more obvious at the later time point.", "In general, substrates that supported neuronal differentiation demonstrated a bare minimum of glial cells required to possibly support neuronal cell phenotype or survival.", "Substrates that supported neuronal differentiation may result in enriched populations of neuronal cells comprising greater than 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85%, 90%, 100%, or any intermediate percentage.", "Enteric neurospheres demonstrated a tendency to differentiate into glia on PLL coated substrates as well as on composite collagen substrates in the absence of laminin and heparan sulfate.", "In contract, culture substrates with laminin and heparan sulfate promoted extensive neuronal differentiation while simultaneously supporting only a minimal glial cell population.", "Laminin and collagen IV coated coverslips positively modulated neuronal differentiation by increased number of neurites per neuron and longer neurite lengths compared to fibrillar collagen I (FIG.", "2B-D, F-H).", "Taken together, these results identify suitable 3D matrix compositions to deliver neuronal progenitor cells.", "Three dimensional hydrogel environments also provide the mechanical cues for neural differentiation, more readily translatable to in vivo conditions than infinitely stiff glass substrates.", "The extracellular matrix (ECM) plays an enormous role in dictating stem cell fate.", "The ECM composition, structure and mechanical properties can all modulate progenitor cell differentiation.", "The adult mammalian myenteric ganglia are surrounded by an extracellular matrix primarily composed of collagen IV, laminin and a heparan sulfate proteoglycan, with enteric glia always in direct contact with the ECM.", "Enteric neurons also come in direct contact with this ECM, though much less frequently than glia.", "Laminin, fibronectin and proteoglycans are expressed within the embyonic gut to aid its colonization by vagal neural crest cells.", "Collagen IV is distributed in the developing nervous system along the neural crest.", "Additionally, laminin promotes neural cell adhesion and axonal outgrowth.", "Heparan sulfate is important for GDNF signaling in the gut, and stabilizes and influences neuronal differentiation in vitro.", "It has been discovered that components of neural ECM can affect the differentiation of gut-derived neuronal progenitor cells of neural-crest lineage.", "Two timepoints were defined to identify early and late differentiation events—day 5 (early) and day 15 (late) based on previous experiments.", "Immunohistochemistry for βIII tubulin (neuron specific microtubule) and GFAP (Glial fibrillary acidic protein) was used to identify differentiated neurons and glia on coated culture substrata.", "Substrates that supported glial differentiation may result in enriched populations of glial cells comprising greater than 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85%, 90%, 100%, or any intermediate percentage.", "Neuronal Subtype Differentiation Neural stem cell transplantation is a promising therapeutic approach to repopulate neurons within enteric ganglia.", "A complete loss of neurons is reported in HSCR, and a partial loss of selective neuronal subtypes is documented in achalasia and stenosis.", "Several groups have injected enteric neuronal progenitor cells into experimental models of aganglionosis, demonstrating the feasibility of transplantation.", "However, there is inadequate focus on differentiation of progenitor cells into mature neuronal subtypes, and subsequent assessment of functionality.", "Here, we describe one embodiment of the invention, whereby we describe a novel method to bias differentiation of enteric neuronal progenitor cells in vitro, prior to transplantation.", "The ECM microenvironment, consisting of collagens, laminin and proteoglycans, not only acts as a structural framework for cells, but also plays an active role in aiding neurotrophic signaling.", "In an embodiment of this invention, four ECM components (collagen I, collagen IV, laminin and heparan sulfate) were evaluated, three of which are known to be present in adult myenteric ganglia.", "Collagen IV has been documented to be favorable for neurite outgrowth and neuronal differentiation.", "Laminin has long been known for its neurite promoting activity, in central, peripheral, and enteric neurons.", "The role of the heparan sulfate proteoglycan in neuronal differentiation is also well documented, both developmentally and in regenerative medicine applications.", "Fibrillar Collagen I was used additionally in these studies for ease of gelation and incorporation of other ECM components within a 3D hydrogel.", "Apart from composition, substrate elasticity has been demonstrated to affect the differentiation of adult neural stem cells, with neuronal differentiation reported between 100-500 Pa. ECM hydrogel compositions were adjusted in order to maintain their viscoelastic modulus within the range suitable for neuronal differentiation (FIG.", "10, table).", "Structural architecture was verified using scanning electron microscopy, wherein the addition of collagen IV demonstrated the presence of network structures, similar to self-assembled collagen IV in the mammalian basement membrane.", "The addition of laminin did not alter the ultrastructure, because it was expected to coat collagen fibers evenly.", "Additionally, laminin was also not expected to alter the stiffness/viscoelasticity of the gels, given the manner of its interaction with the collagen.", "The glycosaminoglycan chains of heparan sulfate are documented to cross link between laminin and collagen IV, thereby pulling fibers into a more compact structure, and slightly increasing the viscoelasticity of ECM gels.", "Smooth muscle cells within tissue engineered sheets drive the differentiation of enteric neuronal progenitor cells.", "Tissue engineered sheets provided a good modality to assess variability of differentiated neurons due to ECM composition as well as the functionality of differentiated neurons.", "The proximity to smooth muscle promoted the differentiation of enteric neuronal progenitor cells extensively.", "In vitro differentiation of neural stem cells in the presence of gut-derived factors has been demonstrated previously by us and others.", "Neurotrophic factors (NT-3, Neurturin, GDNF) and morphogens (BMP-2/4) capable of driving enteric neuronal progenitor cell proliferation and differentiation have been demonstrated to arise from the smooth muscle and mesenchyme of the developing and adult gut.", "Recently, the postnatal bowel was demonstrated to support the differentiation of enteric neuronal progenitor cells, strengthening the fact that cues for differentiation can be derived from the postnatal gut.", "Hence, it was expected that smooth muscle cells within the tissue engineered sheets would drive the differentiation of enteric neuronal progenitor cells.", "We evaluated all tissue engineered sheets to ensure that the constituent smooth muscle cells demonstrated a contractile phenotype expressing Smoothelin (FIG.", "14B).", "Smoothelin expression has been previously demonstrated to be essential for contractility of smooth muscle.", "In line with the equivalent expression of smoothelin, myogenic electromechanical coupling integrity was also equivalent in the tissue engineered sheets (FIG.", "14A-D) Similar patterns of contractions were observed in tissue engineered sheets in response to KCl, regardless of ECM composition.", "The ECM modulates differential neuronal subtypes while supporting overall smooth muscle-driven neuronal differentiation.", "In the presence of the smooth muscle, enteric neuronal progenitor cells differentiated, and expressed similar amounts of pan-neuronal marker βIII Tubulin (FIG.", "14A), suggesting that smooth muscle derived factors and substrate viscoelasticity were suitable for overall neuronal differentiation.", "However, on closer examination of neural subtypes, there was a differential expression of excitatory and inhibitory markers within tissue engineered sheets with varying ECM compositions (FIG.", "14).", "Sheets containing laminin had a balanced expression of both ChAT and nNOS.", "Kinetics of Ach-induced contraction in laminin sheets was most similar to native tissue, indicating the presence of an increased viable cholinergic neuronal component in composite collagen/laminin sheets.", "Furthermore, attenuation of EFS-induced relaxation by L-NAME (˜62%) was also kinetically similar to native tissue (˜78%), indicating the presence of a nitrergic neuronal component.", "Collagen I, in the absence of any other matrix components, was the ECM of choice when an enriched cholinergic neuronal population was required, with a significantly diminished nitrergic neuronal population (FIG.", "14C-D).", "While these sheets demonstrated a robust TTX-sensitive Ach-induced contraction commensurate with the heightened ChAT protein expression, relaxation in response to an electrical field was diminished.", "Furthermore, there was minimal attenuation of relaxation upon the inhibition of nNOS, correlating with the low nNOS expression.", "Substrates that supported cholinergic neuron differentiation may result in enriched populations of cholinergic neurons comprising greater than 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85%, 90%, 100%, or any intermediate percentage.", "Composite Collagen I/IV sheets had an enhanced nNOS protein expression, with an associated increase in EFS induced relaxation (FIG.", "18B).", "AUC of relaxation in composite Collagen I/IV sheets was comparable to native intestinal tissue.", "However, both ChAT expression and contraction was lower in composite collagen sheets.", "Substrates that supported nitrergic neuron differentiation may result in enriched populations of nitrergic neurons comprising greater than 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85%, 90%, 100%, or any intermediate percentage.", "The ECM is a framework upon which smooth muscle derived factors regulate differentiation of neural subtypes.", "We demonstrate a critical role of collagen I and collagen IV containing ECM environments in promoting excitatory and inhibitory motor neurons, respectively.", "The ECM microenvironment plays a role in modulating neurotrophic as well as morphogenetic signaling.", "Morphogenetic signaling via the BMP family expressed in fetal gut is important for the phenotypic diversity of enteric ganglia, including nitrergic and VIP-ergic neuron differentiation.", "Collagen IV is documented to modulate BMP signaling, and heparan sulfate modulates GDNF signaling in the gut.", "Immunoreactivity of neurotrophic factor, NT-3, has been observed in ganglia and in the ECM molecules surrounding them, suggesting a role for a Collagen IV-based ECM to modulate NT-3 signaling.", "The constituent smooth muscle phenotype in tissue engineered sheets was contractile, expressing smoothelin, and generating contractions and relaxations approaching ˜60% of those generated by native intestinal tissue.", "Differentiation cues arising from the constituent smooth muscle cells drove enteric neuronal differentiation.", "Furthermore, it is likely that the ECM could act as a framework for smooth muscle-derived factors, enhancing or inhibiting their effects, resulting in the generation of differential neuronal phenotypes.", "EXAMPLES Reagents All tissue culture reagents were purchased from Invitrogen (Carlsbad, Calif.) unless specified otherwise.", "Primary and fluorophore conjugated secondary antibodies were purchased from Abcam (Cambridge, Mass.).", "Rat tail type I collagen and natural mouse type IV collagen were purchased from BD Biosciences (Bedford, Mass.)", "and laminin was from Invitrogen (Carlsbad, Calif.).", "Heparan sulfate was purchased from Celsus Labs (Cincinnati, Ohio).", "Isolation of Rabbit Enteric Neuronal Progenitor Cells and Intestinal Smooth Muscle Cells.", "New Zealand white rabbits were euthanized using ketamine/xylazine.", "Smooth muscle cells were isolated and cultured using standard protocols (See, for example, Somara et al.", "Am J Physiol Gastrointest Liver Physiol.", "2006; 291(4):G630-9).", "For the isolation of enteric neuronal progenitor cells, 5 cm2 biopsies were dissected from the jejunum, and retrieved in Hank's Buffered Salt Solution (HBSS) with 2× antibiotics/antimycotics and 1× gentamicin sulfate.", "Luminal content was cleaned and tissues were washed extensively with HBSS.", "Enteric neuronal progenitor cells were isolated from these tissues using a collagenase/dispase digestion method.", "(see, for example, Almond et al., Characterisation and transplantation of enteric nervous system progenitor cells.", "Gut.", "2007; 56(4):489-96.PMCID: 1856871).", "Cells were plated on to bacterial petri dishes in neuronal growth media (Neurobasal+1× N2 supplement+1× antibiotics) following filtration through a 40 μm mesh.", "Isolation and Culture of Rabbit Longitudinal Smooth Muscle Cells (LSMCs) Rabbit sigmoid colon was removed by dissection, and relieved of fecal content.", "The tissue was kept on ice and moist with Hank's balanced salt solution (HBSS) containing antibiotics and sodium bicarbonate.", "The cleaned colon was slipped onto a plastic pipette.", "Blood vessels and adherent fat were picked off with forceps.", "Kimwipe® (Kimberly-Clark, Neenah, Wis.) wetted with HBSS was used to wipe the outer layer of the colon.", "Fine-tip forceps were used to pick off the longitudinal muscle layer from the colon and store them in ice-cold HBSS.", "The tissue was finely minced, digested twice with type II collagenase (0.1%) at 32° C. for 1 h, and filtered through a 500-μm Nytex® (Tetko, Elmsford, N.Y.) mesh.", "The filtrate was washed three times and plated in DMEM with 10% FBS, 1.5% antibiotics, and 0.5% L-glutamine onto regular tissue culture flasks.", "Immunohistochemical Characterization of Rabbit Enteric Neurospheres In order to characterize the initial phenotype of rabbit enteric neurospheres in culture, neurospheres were harvested by centrifugation at 1000 g for 10 minutes in microfuge tubes.", "The growth media was gently aspirated, and neurospheres were fixed with 3.7% neutral buffered formaldehyde and blocked with 10% horse serum.", "Primary antibodies for p75 (Millipore, Billerica Mass.", "), Sox2 and Nestin were incubated for 30 minutes at room temperature.", "Unbound antibody was washed using phosphate buffered saline (PBS), and appropriate fluorophore-conjugated secondary antibodies were incubated for an additional 30 minutes.", "Neurospheres were mounted using Prolong Gold antifade mounting medium (Invitrogen, Carlsbad Calif.), and visualized using an inverted Nikon TiE fluorescent microscope.", "Rheological Characterization of ECM Hydrogels Oscillatory rheometry (ATS RheoSystems) was used to measure viscoelastic moduli of ECM gels.", "20 mm parallel base plates were used to perform a stress sweep of the sample at 1 Hz.", "ECM gels were allowed to gel in situ between the parallel plates at 37° C. The viscoelastic modulus was obtained from a linear region of the stress-strain curve, at strains lower than 10%, within the sensitivity ranges for torque and strain of the rheometer.", "3-5 individually manufactured ECM gels were measured to determine an average viscoelastic modulus.", "Compositions that resulted in a matrix viscoelasticity within the range of 150-300 Pa were utilized for further experimentation, so as not to let stiffness be a variable in influencing neuroglial differentiation.", "Characterization of Ultrastructure of ECM Hydrogels Sample preparation of ECM hydrogels for scanning electron microscopy was adapted from Stuart et al.", "[31].", "Gels were dehydrated through graded ethanol (10% to 100%).", "Hydrogels were dried at critical point using carbon dioxide exchange.", "The resulting dehydrated ECM discs were mounted onto metallic stubs with conducting carbon tape, sputter coated with gold, and visualized using an AMRAY 1910 Field Emission Scanning Electron Microscope.", "Constant working distance and magnification were maintained to image all samples.", "NIH Image J was used to measure and compare fiber diameters.", "Porosity was determined using Image J from micrographs obtained from at least three-independent samples of dehydrated ECM gels.", "Tissue Engineering Innervated Intestinal Smooth Muscle Sheets Briefly, 500,000 longitudinal smooth muscle cells were aligned uniaxially for 4 days on 35 mm diameter circular Sylgard molds containing wavy microtopographies.", "Enteric neurospheres were treated with Accutase to obtain single cell suspensions.", "200,000 cells were resuspended in the appropriate ECM solution and overlaid on the aligned smooth muscle monolayer.", "Upon gelation, neuronal differentiation medium (neurobasal-A) was added, supplemented with B27 and 1% fetal bovine serum.", "Differentiation medium was exchanged every second day.", "Enteric neuronal progenitor cells were allowed to differentiate within the hydrogel for a period of 10 days.", "Smooth muscle cells compacted the ECM hydrogel over the next 10 days, forming ˜1 cm long innervated smooth muscle sheets, anchored between silk sutures.", "Phase microscopy was used to image neuronal differentiation at the edge of the tissue engineered sheets.", "Biochemical Characterization of Neuroglial Composition in Tissue Engineered Sheets At day 10, tissue engineered sheets were harvested in radioimmunoprecipitation buffer to isolate protein.", "Protein concentration was estimated spectrophotometrically using the Bradford assay.", "20 μg of protein from each sample was resolved electrophoretically and transferred to polyvinylidene difluoride membranes.", "Membranes were blotted with antibodies for neuronal βIII Tubulin, neuronal nitric oxide synthase (nNOS), choline acetyltransferase (ChAT), and Smoothelin.", "β-Actin was used to confirm equal loading.", "HRP-conjugated secondary antibodies were used to visualize proteins using enhanced chemiluminescence.", "Immunohistochemical Characterization of Neuron Composition in Tissue Engineered Sheets Tissue engineered sheets were fixed in 4% formaldehyde and washed extensively in glycine buffer.", "Immunohistochemical staining was performed following previously established protocols utilized for staining differentiated neurons within bioengineered tissues.", "Sheets were blocked with 10% horse serum and permeabilized in 0.15% Triton-X for 45 minutes.", "Permeabilized sheets were incubated with primary antibodies directed against Vasoactive Intestinal Peptide (VIP), ChAT and nNOS for 60 minutes at room temperature.", "Following antibody incubation, sheets were washed three times with phosphate buffered saline, pH 7.4.Tissue engineered sheets were incubated with appropriate fluorophore conjugated secondary antibodies for 45 minutes, washed in phosphate buffered saline and imaged using an inverted fluorescence microscopy (Nikon Ti-E, Japan).", "For a negative control, incubation with the primary antibody was skipped, and only fluorophore conjugated secondary antibodies were used to visualize background fluorescence.", "Measurement of Physiological Function in Inntervated Tissue Engineered Sheets Myogenic and neuronal functionality were assessed using real-time force generation as previously described [30, 33].", "4-5 individual tissue engineered sheets for each ECM composition were tested.", "Tissue engineered sheets were anchored between a stationary pin and measuring pin of a force transducer (Harvard Apparatus, Holliston Mass.)", "at 0% stretch.", "The organ bath maintained temperature at 37° C. An additional 10% stretch was applied using a vernier control.", "Tissues were immersed in 4 ml of medium, which was exchanged at the end of every experiment following a brief wash with fresh medium.", "Peak contraction or maximal relaxation was quantified following pharmacological or electrical stimuli, and compared between tissue engineered sheets with varying ECM compositions.", "Before each treatment, tissues were washed in fresh warm medium and allowed to equilibrate to a baseline.", "The following stimuli were used independently to assess physiological functionality of the tissue engineered sheets: 1) 60 mM Potassium chloride to assess electromechanical coupling integrity of the smooth muscle; 2) 1 μM Acetylcholine (contractile agonist); 3) Electrical field stimulation (5 Hz, 0.5 ms, 40V) applied using parallel plate platinum electrodes.", "Preincubation with neuronal blocker, tetrodotoxin (TTX) was used to dissect myogenic and neuronal components of contraction/relaxation.", "Preincubation with specific inhibitors were used to identify functional neuronal subtypes: 1) nNOS-blocker Nω-Nitro-L-arginine methyl ester hydrochloride (L-NAME; 300 μM); and 2) VIP-receptor antagonist [D-p-Cl-Phe6, Leu17]-Vasoactive Intestinal Peptide (VIP-Ra; 2 μM).", "Following stimulation and subsequent contraction/relaxation and recovery, tissues were washed with fresh medium, and allowed to re-establish a baseline before the next treatment.", "Equilibrated baseline was arbitrarily set to zero, to measure contraction/relaxation due to a stimulus.", "Neurosphere Differentiation as a Function of Extracellular Matrix Composition 22×11 mm substrates were washed in Neutrad (Decon Labs, King of Prussia Pa.) and rinsed extensively in deionized water.", "Coverslips were sterilized by 70% ethanol, and subsequent UV exposure for 45 minutes.", "Coverslips were coated with poly-L-lysine (PLL; 1 mg/ml), PLL+10 μg/cm2 type I collagen, PLL+10 μg/cm2 type IV collagen or PLL+10 μg/cm2 laminin.", "Composite coatings included: 5 μg/cm2 Collagen I+5 μg/cm2 type IV Collagen; 5 μg/cm2 Collagen I+5 or 10 μg/cm2 Laminin; 5 μg/cm2 Collagen IV+5 or 10 μg/cm2 Laminin; 5 μg/cm2 Collagen I+5 μg/cm2 Collagen IV+0.1 μg/cm2 Heparan Sulfate (HS); 5 μg/cm2 Collagen I+5 μg/cm2 Collagen IV+5 μg/cm2 Laminin+0.1 μg/cm2 HS.", "Uncoated glass substrates were seeded with rabbit colonic smooth muscle cells, and allowed to reach confluence.", "Rabbit enteric neurospheres were harvested and treated with Accutase to obtain a mixture of single cells as well as small neurospheres.", "10,000 neuronal progenitor cells were harvested and plated on to coated coverslips.", "To stimulate differentiation induced via soluble smooth muscle factors, each plate was shared by one confluent smooth muscle coverslip along with a coated coverslip containing adhered neurospheres.", "Enteric neurospheres were allowed to differentiate for a period of fifteen days, with a supplementation of neuronal differentiation medium every 2 days (Neurobasal-A medium+1× B27 supplement+2% fetal calf serum+1× antibiotics).", "Immunohistochemical Analysis of Neuronal and Glial Differentiation Two time points were analyzed for neuronal and glial differentiation—day 5 and day 15 post initiation of differentiation.", "Medium was aspirated and cells on coverslips were fixed with 3.7 neutral buffered formaldehyde.", "Cells were permeabilized with 0.15% Triton-X 100 and blocked with 10% horse serum.", "βIII tubulin was used to stain neuronal cells, and glial fibrillary acidic protein (GFAP) was used to stain glial cells.", "Primary antibodies were incubated for 1 hour at room temperature and unbound antibody was washed with PBS.", "Fluorophore conjugated secondary antibodies (FITC-anti mouse and TRITC-anti rabbit) were used to visualize fluorescence using an inverted Nikon TiE fluorescent microscope.", "Staining with FITC-conjugated secondary antibody without the primary antibody was used as a negative control.", "Confluent smooth muscle coverslips were stained with neuronal or glial markers to avoid a false positive staining while identifying differentiated neurons or glia.", "Data Analysis Neurite lengths were measured from individual 10× micrographs obtained at the same amplifier gain and exposure.", "Neurites were identified primarily by expression of immunoreactivity for βIII tubulin concurrently with neuronal morphology.", "Up to five sequential fields of view were measured on each coverslip starting from one edge to the other, covering the area of the coverslip.", "All cells were measured on each coverslip, covering the entire area of the neuronal coverslip.", "The number of neurites measured for each substrate coating varied between 20-50 readings.", "The length of the longest neurite from each cell was measured using NIH Image J using the freeform tool.", "Neurite lengths between coatings were compared using one way ANOVA, with Bonferroni post-test to identify a significant difference (p<0.05) in neurite lengths by varying culture substrata.", "GFAP immunofluorescence was quantified using the Nikon Elements imaging software.", "Mean red (TRITC) fluorescence was calculated from 10× micrographs, using a constant rectangular area tool that covered 100% of the field of view.", "Multiple (at least 5) sequential fields of view at the same magnification were chosen for each sample to obtain mean fluorescence.", "One way ANOVA with Bonferroni post-test was used to identify a significant difference in red fluorescent intensity between coated culture substrata.", "GraphPad Prism 5.1 for Windows (San Diego, Calif.) was used to perform statistical analysis.", "All statistics are from experiments between 3-5 individual sets, with multiple micrographs within each set.", "Reported numbers are mean±standard error of the mean.", "For neuronal subtype analysis, densitometry on western blots was performed using BioRad Quantity One (Hercules, Calif.).", "Raw data was acquired from the force transducer at 1000 samples/second.", "Second order Savitsky-Golay smoothing was applied to data using GraphPad Prism 5.0 for Windows (GraphPad Software, San Diego, Calif.).", "Area under the curve (AUC) was measured from the time of addition of pharmacological agonist/electrical field to the end of the contraction/relaxation response.", "Extent of inhibition by pharmacological inhibitors was calculated by expressing the AUC of contraction/relaxation in the presence of the inhibitor as a percentage of the AUC in the absence of the inhibitor.", "One way ANOVAs with Tukey post-tests were used to compare means using GraphPad Prism.", "p≤0.05 was considered significant.", "Physiological evaluation and densitometry was carried out between 3-5 tissue engineered sheets within each experimental set; all values are expressed as mean±SEM.", "Neuroglial Differentiation Initial Phenotype of Rabbit Enteric Neurospheres Upon digestion of rabbit jejunal biopsies with dispase, near single cell suspensions were obtained by filtration through 70 μm and 40 μm meshes.", "Single cells were approximately 7 μm in diameter.", "These cells were plated in non-adherent culture dishes.", "Over the course of two weeks post plating, rabbit enteric neuronal progenitor cells aggregated and proliferated in culture and formed floating spherical structures, called enteric neurospheres (FIG.", "1A).", "Average neurospheres were 98.17±8.33 μm (n=34) two weeks post plating.", "The neurospheres continued to grow and aggregate, approaching 200-300 μm, whereupon they were broken down by trituration.", "Upon immunohistochemical examination, the cells within enteric neurospheres were positive for the low affinity nerve growth factor receptor p75NTR (FIG.", "1B).", "They were additionally also positive for Sox2 (FIG.", "1C, SRY related homeobox factor 2) and Nestin (FIG.", "1D), a neuroepithelial stem cell marker.", "The results indicate that neurospheres derived from the rabbit intestine following this procedure contained neural-crest derived cells, capable of differentiation in to enteric neurons and/or enteric glia.", "Neuronal progenitor cells were isolated from full thickness biopsies of adult rabbit jejunums that aggregate in culture to form floating spherical colonies, dubbed enteric neurospheres (FIG.", "1A).", "The enteric neurospheres were comprised of cells positive for p75, Sox2 and Nestin (FIG.", "1 B-D).", "The presence of p75NTR confirms the neural-crest lineage of the isolated cells.", "The presence of Sox2 and Nestin confirms the progenitor status of the isolated cells, indicating that these cells are similar to enteric neuronal progenitor cells previously isolated from the gut that have the potential to differentiate into both neurons and glia.", "Neuronal Differentiation on Individual ECM Substrates (Collagen I, Collagen IV or Laminin) Poly-L-lysine (PLL) coating was a pre-requisite to enteric neurosphere adhesion to glass substrates.", "Glass coverslips that lacked any coating did not support enteric neurosphere adhesion sufficiently to differentiate into neurons or glia.", "In order to maintain uniformity, all coverslips were initially coated with PLL and additionally with laminin, collagen I or collagen IV.", "All coated coverslips required between 2-4 hours for enteric neurospheres to attach.", "Enteric neurospheres on coated coverslips were allowed to differentiate initially using neuronal differentiation medium alone.", "However, several sets of experiments demonstrated no morphological evidence of differentiation at the day 15 timepoint.", "Thereby, in order to render the soluble environment conducive to differentiation, a confluent coverslip containing colonic smooth muscle cells was placed in the same culture dish (FIG.", "2).", "The neuronal coverslip (coated with ECM substrate and containing enteric neurospheres) and the smooth muscle coverslips thereby shared soluble factors.", "The addition of the smooth muscle coverslip marked the initiation of differentiation (day 0).", "Morphological evidence of neuronal or glial differentiation was readily visible by day 5.A later time point (day 15) was identified to study the development of mature neurons or glia in vitro as a function of ECM composition.", "During the differentiation process, the culture dishes remained undisturbed till the early time point (day 5) or the late time point (day 15), except for medium supplementation.", "Neuronal differentiation was identified by immunofluorescent staining of the neuronal coverslip at either day 5 or day 15 with an antibody directed against βIII Tubulin.", "Day 5 Timepoint: Even in the presence of smooth muscle, enteric neurospheres on PLL remained undifferentiated, with some progenitor cells within neurospheres expressing low levels of βIII tubulin (FIG.", "3A).", "However, with the addition of laminin, collagen I or collagen IV to PLL on the culture substrata, neuronal differentiation was evident by day 5 (FIG.", "3B-D).", "Neurite lengths varied non-significantly between 193 μm and 288 μm on ECM substrata at the early time point (FIG.", "9A).", "Neurons on collagen IV and laminin coated coverslips demonstrated a higher level of branching (two or more neurites per cell; FIG.", "3B,D).", "Neurospheres and neuronal progenitor cells attached to the PLL coated coverslips, and stayed attached at day 5, but did not initiate neuronal differentiation.", "However, glial differentiation was readily visible by day 5, and improved by day 15 (FIG.", "3A,E; FIG.", "6A,E).", "Enteric neurospheres on PLL substrates indicated a preference towards glial differentiation versus neuronal differentiation.", "Day 15 Timepoint: At the day 15 timepoint, neurospheres on PLL coverslips barely initiated neuronal differentiation, evidenced by a flatter morphology and the appearances of faint tubulin-positive extensions (FIG.", "3E).", "With the addition of laminin, collagen I or collagen IV, neurite lengths were significantly longer compared to PLL (p<0.001).", "Differentiated neurons on laminin coverslips demonstrated the longest neurite extensions (326.9±13.25 μm, n=27, FIG.", "3F), significantly longer than collagen I or collagen IV (p<0.05, FIG.", "9A).", "By day 15, neurons on collagen I-coated coverslips still had no significant branching compared to those on collagen IV-coated coverslips (FIGS.", "3G-H).", "Neuronal Differentiation on Collagen Laminin Substrates In the next set of experiments, combinations of collagens and laminin were evaluated.", "Two concentrations of laminin were evaluated to identify the minimum amount of laminin required to influence neuronal differentiation.", "Coverslips were coated with either collagen I or collagen IV with 5 μg/cm2 or 10 μg/cm2 of laminin.", "The addition of laminin enhanced neuronal differentiation when compared to individual collagen substrates (compare FIG.", "4 with FIG.", "3), but no significant difference was observed in neurite length between the two concentrations of laminin.", "Collagen I and Laminin: At the day 5 timepoint, addition of laminin to collagen I increased the number of progenitor cells undergoing neuronal differentiation, but did not alter neuronal branching or neurite lengths significantly (FIG.", "4A,B,E,F).", "At the day 15 timepoint, significantly (p<0.05) enhanced neuronal differentiation was observed compared to collagen I. Neurite lengths on collagen-laminin substrates at day 15 (280 μm-290 μm; n=27-34) were longer than collagen I substrates (235.5±10.05 μm; FIG.", "9B).", "Collagen IV and Laminin: The addition of laminin to collagen IV enhanced neuronal differentiation when compared to coverslips coated individually with collagen IV only (compare FIG.", "4C,D,G,H to FIG.", "3D,H).", "At the day 5 timepoint, the addition of laminin increased the number of cells undergoing neuronal differentiation.", "No significant difference was observed in neurite lengths at day 5 (247 μm-288 μm; FIG.", "9B).", "At the day 15 time point, coverslips coated with both collagen IV and laminin had significantly (p<0.05) longer neurites (324 μm compared to 281 μm, FIG.", "4G-H).", "Initiation of inter-neuronal networking was also observed.", "There was no observable or significant difference in neurite lengths between the two concentrations of laminin used (5 μg/cm2 or 10 μg/cm2; FIG.", "9B).", "Neuronal Differentiation on Composite ECM Substrates with Laiminin and Heparan Sulfate In this additional set, the effect of a combination of collagens on neuronal differentiation was investigated.", "Composite coatings were evaluated with a 2:1 mix of Collagen I/Collagen IV as the base.", "This composite collagen base was evaluated first.", "Additionally, neuronal differentiation was evaluated on substrates that included laminin and/or heparan sulfate in combination with composite collagen.", "Heparan sulfate interacted with both collagen IV and with laminin to positively modulate neuronal differentiation, evidenced by the enhanced neurite lengths and initiation of neuronal networking (FIG.", "4 A-H).", "Composite collagen substrates with laminin and/or heparan sulfate all maintained a low level of GFAP positive glial cells, with initiation of astrocytic networking becoming more obvious at the later time point.", "Composite Collagen I/Collagen IV: Several cells underwent neuronal differentiation (FIG.", "5A, E; similar to individual coatings of either collagen I or collagen IV), but neurite lengths were significantly shorter on composite collagen substrates at day 5.Neurite lengths measured at 156±7.23 μm on day 5.At day 5, neuronal differentiation progressed and individual neurons had multiple branches and long neurites (FIG.", "5E).", "Neurite lengths averaged at 241.2±9.387 μm on day 15 (FIG.", "9C).", "Addition of Laminin: Addition of laminin to composite collagen substrates increased the number of differentiated neurons visible by day 5 (FIG.", "5B).", "Neurite lengths were significantly (p<0.05) longer on substrates containing laminin (215±7.57 μm; n=43).", "At the day 15 time point, substrates containing composite collagen and laminin demonstrated significant clustering of neurons (FIG.", "5F), with an additional 71 μm increase in neurite length, averaging at 286.8±9.521 μm, n=50.Addition of Heparan Sulfate: Addition of heparan sulfate also dramatically increased the number of progenitor cells undergoing neuronal differentiation by day 5 (FIG.", "5C).", "Average neurite lengths on substrates containing heparan sulfate along with composite collagen was 212.8±9.46 μm; n=43 at day 5.At day 15, the initiation of neuronal networking was visible with βIII Tubulin staining (FIG.", "5G).", "Addition of Laminin and Heparan Sulfate: The addition of laminin and heparan sulfate together with the composite collagen increased the number of differentiated neurons as well as the length of the individual neuronal processes and neurite branching (FIG.", "5D).", "At day 15, initiation of neuronal networking with significant clustering of neurons was observed (FIG.", "5H).", "Neurite lengths were significantly longer (325±19.37 μm) compared to composite collagen alone (FIG.", "9C).", "Glial Differentiation on Individual ECM Coatings (Collagen I, Collagen IV or Laminin) In addition to neuronal differentiation studies described above, glial differentiation was also studied as a function of ECM composition of culture substrata.", "Enteric neurospheres were plated on to coated coverslips in duplicate, and one coverslip was used to evaluate neuronal differentiation while a duplicate coverslip was used to evaluate glial differentiation.", "A primary antibody directed against Glial fibrillary acidic protein (GFAP) was utilized to identify glial differentiation.", "Fluorescent microscopy was used to visualize differentiated glia, using a TRITC fluorophore conjugated secondary antibody.", "The Nikon documentation software was used to calculate mean red fluorescence indicating the number of differentiated glia in a field of view of constant area.", "The presence of several axolemmal fragments can arrest the proliferation of glia.", "This is in line with the low levels of GFAP immunofluorescence observed on substrates that supported extensive neuronal differentiation.", "The only substrates that supported differentiation of enteric neuroglial progenitor cells into glia extensively were PLL and individual coatings of collagen I/IV.", "Neuronal differentiation was present on these substrates, but not as extensively as any of the other composite coatings that included laminin and heparan sulfate.", "Day 5 Timepoint: In the presence of smooth muscle, enteric neurospheres on PLL coated coverslips demonstrated significant GFAP staining by day 5 (15.29±1.29 AU; FIG.", "6A).", "In contrast, enteric neurospheres on PLL coverslips did not demonstrate significant neuronal differentiation at day 5, indicating the preferential differentiation in to glia at the early time point on PLL coverslips.", "With the addition of laminin, enteric neurospheres demonstrated highly significantly reduced GFAP staining (0.3825±0.2 AU).", "Undifferentiated neurospheres on the laminin coverslips contained several progenitor cells that were positive for GFAP (FIG.", "6B).", "On the same laminin coated coverslips, neuronal differentiation was extensive at the early timepoint, indicating an early preference for neuronal differentiation in the presence of laminin (FIG.", "3B).", "Minimal glial differentiation was observed on either of the collagen substrates (FIG.", "6C-D).", "Day 15 Timepoint: By the late day 15 time point, PLL coated coverslips had the highest number of glia, indicated by a highly significant (p<0.0001) GFAP fluorescent intensity, averaging at 28.56±1.14 AU (FIG.", "6E, 9D).", "Glia were apparent on ECM-coated coverslips as well, but to a lower extent than on PLL.", "In contrast to day 5, laminin coated coverslips demonstrated the presence of several glia at the day 15 time point and a robust GFAP fluorescent intensity was observed (FIG.", "5F, 16.54±0.32 AU).", "Several glia were observed by day 15 on each of the collagen substrates, with fluorescence ranging from 11.84 to 13.38 AU.", "(FIGS.", "6G-H).", "Glial Differentiation on Collagen-Laminin Substrates Similar to neuronal differentiation, glial differentiation was evaluated on substrates that were coated with either collagen I or cCollagen IV with laminin.", "The addition of laminin to collagen coated coverslips did not inhibit glial differentiation.", "Several differentiated glia were observed on day 5 (8.4±0.75-14.08±0.3 AU) on collagen-laminin substrates (FIG.", "7A-D).", "There was no significant difference in the number of GFAP positive cells at the early time point with the addition of laminin (5 μg/cm2 or 10 μg/cm2) to either collagen I or collagen IV substrates FIG.", "9D).", "Robust GFAP expression (12.6±1.29-14.22±1.01 AU) was observed at the day 15 time point on all collagen-laminin substrates, not significantly different from one another (FIG.", "7E-H).", "Glial Differentiation on Composite ECM Substrates with Laminin and Heparan Sulfate Glial differentiation was evaluated by varying the culture substratum with a combination of collagen I and IV.", "Additionally, the effect of the addition of laminin and/or heparan sulfate was also studied on glial differentiation.", "Composite Collagen I/Collagen IV: Glial differentiation peaked on day 5, on coverslips coated with the collagen I/IV mixture (FIG.", "8A).", "Red fluorescence (15.75±0.49 AU) was comparable to that on PLL coated coverslips at day 5 (FIG.", "9D).", "In contrast, neuronal differentiation on composite collagen coated coverslips was poor at the early time point, indicating a preferential differentiation into glia early on.", "By day 15, initiation of clustering of glial cells was observable (FIG.", "8E), with no significant increase in red fluorescence.", "Addition of Laminin and/or Heparan Sulfate: Early glial differentiation at day 5 was significantly reduced (10.16±0.8 to 11.06±0.5) with the addition of laminin and/or heparan sulfate to composite collagen substrates (FIG.", "8B-D).", "In contrast, these substrates supported neuronal differentiation extensively (compare FIG.", "8A-D to FIG.", "5A-D), indicating a preferential neuronal differentiation at the early time point.", "At the later day 15 time point, a non-significant increase in the number of glia and thereby increase in red fluorescence was observed (FIG.", "8E-H, FIG.", "9D).", "Neuronal Subtype Differentiation Ultrastructure and Viscoelastic Properties of ECM Hydrogels: All compositions of ECM hydrogels gelled at 37° C. within 30 minutes.", "Scanning electron micrographs revealed a fibrous structure in type I Collagen gels (FIG.", "10A).", "The fibers were randomly oriented, with diameters averaging at 478.3±19.31 μm.", "With the addition of type IV Collagen, network-like structures were observed (FIG.", "10B).", "Cables of fibers within the networked structures were thicker, with average diameters of 714.8±36.67 μm.", "Addition of laminin to the hydrogels did not alter the ultrastructure or the networked suprastructure (FIG.", "10C).", "With the addition of heparan sulfate, the fibers within the networked structures were pulled more tightly together and cabled (FIG.", "10D).", "The dehydrated ECM gels displayed a porous appearance, with average porosity ranging from 40.77%-43.95% (FIG.", "1, table).", "Viscoelastic moduli were measured in hydrated ECM gels using oscillatory rheometry.", "Type I Collagen gels had increasing viscoelastic moduli with increasing collagen concentration ranging from 72.6±4.86 Pa (800 μm/ml) to 182.3±2.6 (1600 μm/ml) to 424±2 Pa (3200 μm/ml).", "The addition of 200 μm/ml collagen IV to 800 μm/ml collagen I increased the modulus of the gels to 236±13.53 Pa.", "The addition of laminin had no effect on viscoelastic moduli (compare 236±13.53 Pa to 220.7±16.27 Pa).", "10 μm/ml of heparan sulfate caused an increase in the modulus of ECM hydrogels (287±20.11 Pa, p<0.05).", "FIG.", "1 (table) summarizes that the final ECM gels evaluated had viscoelastic moduli ranging from 182 Pa to 287 Pa. Neuronal Differentiation in Engineered Innervated Intestinal Smooth Muscle Sheets: Uniaxially-aligned smooth muscle cells compacted overlaying ECM hydrogels over 10 days in culture as described before.", "The resultant tissue engineered sheets were ˜1 cm long, and a few cell layers thick.", "In the presence of smooth muscle, the enteric neuronal progenitor cells differentiated within the ECM hydrogel.", "Neuronal differentiation was identified morphologically by microscopic examination at day 10, demonstrating similar differentiation profiles expressed by enteric neuronal progenitor cells, both in vitro and in tissue engineered constructs.", "Several differentiated neurons were observed in tissue engineered sheets, regardless of the ECM composition (FIG.", "11).", "Arrows in the figures indicate numerous instances of neuronal clustering and preliminary neuronal networking.", "Neuronal Composition in Engineered Innervated Intestinal Smooth Muscle Sheets: Immunoblotting was used to assess neuronal composition within tissue engineered innervated intestinal smooth muscle sheets.", "Blotting for β-actin demonstrated that equal amounts of protein were assayed.", "Representative blots for each protein are shown, indicating the approximate molecular weight at which they appear on the gels (FIG.", "12E).", "Contractile phenotype of constituent smooth muscle was demonstrated by the similar expression of smoothelin, within the tissue engineered sheets (FIG.", "12B).", "The expression of Smoothelin was constant, regardless of the ECM composition of the sheets, indicating that the constituent smooth muscle cells maintained a contractile phenotype.", "Neuronal Differentiation: Pan neuronal marker βIII Tubulin expression was similar amongst all tissue engineered sheets, despite the ECM composition (FIG.", "12A).", "This suggested that irrespective of the ECM composition, neuronal differentiation of enteric neurospheres proceeded similarly in the presence of smooth muscle cells.", "βIII Tubulin expression ranged from 21.65±1.43 AU-28.98±0.85 AU.", "βIII Tubulin expression was similar amongst various ECM gel compositions (ns; FIG.", "12A), indicating similar neuronal differentiation.", "Cholinergic Neurons: Choline acetyltransferase (ChAT) expression was used to detect the presence of cholinergic neurons (FIG.", "12C).", "Collagen I (33.73±1.13 AU) and collagen I/IV/laminin (28.82±1.21 AU) sheets had a significantly elevated expression of ChAT compared to sheets with composite collagen and/or heparan sulfate.", "Immunoblotting demonstrated an enriched cholinergic neuron population in tissue engineered sheets manufactured with collagen I only or composite collagen I/IV with laminin.", "The presence of cholinergic neurons was additionally confirmed using immunohistochemistry (FIG.", "13E-H).", "Nitrergic Inhibitory Motor Neurons: Neuronal nitric oxide synthase (nNOS) expression was used to detect the presence of inhibitory nitrergic motor neurons (FIG.", "12D).", "Sheets with collagen IV (with or without laminin/heparan sulfate) had a significantly higher nNOS expression ranging from 26.37±1.29 AU-28.15±2.69 AU.", "Conversely to ChAT, collagen I sheets had minimal nNOS expression (11.33±2.85 AU).", "Presence of nNOS was additionally confirmed using immunohistochemistry (FIG.", "13I-L).", "VIP-Ergic Inhibitory Motor Neurons: Vasoactive intestinal peptide (VIP) motor neurons were identified using immunohistochemistry.", "VIP neurons were abundant, with increased immunofluorescence in composite hydrogels with laminin and heparan sulfate (FIG.", "13A-D).", "Substrates that supported peptidergic neuron differentiation may result in enriched populations of peptidergic neurons comprising greater than 25%, 30%, 35%, 40%, 45%, 50%, 55%, 60%, 65%, 70%, 75%, 80%, 85%, 90%, 100%, or any intermediate percentage.", "Agonist Induced Contractility of Tissue Engineered Innervated Smooth Muscle Sheets Potassium Chloride-Induced Contraction: Electromechanical coupling integrity of constituent smooth muscle cells was first evaluated using potassium chloride (KCl).", "KCl treatment elicited rapid contractions that were sustained for ˜5 minutes (FIG.", "14).", "Peak maximal contraction in response to KCl was similar between the different tissue engineered sheets (FIG.", "14A-D), ranging from 279.5±4.79 μN to 296.5±6.26 μN.", "This correlated with the equivalent expression of contractile smooth muscle marker, Smoothelin, indicating that the constituent smooth muscle cells within the tissue engineered sheets maintained a contractile phenotype regardless of ECM composition.", "Furthermore, KCl-induced contractions in tissue engineered sheets were similar to native rabbit intestinal tissues (FIG.", "14E) in time course, but slightly reduced in magnitude.", "Peak KCl-induced contractions in native tissue averaged 373.5±10.63 μN.", "KCl-induced contraction was unaffected by pre-treatment with neuronal blocker TTX (grey traces; FIG.", "14), indicating myogenic electromechanical coupling integrity.", "FIG.", "16F demonstrates that the area under the curve of contraction was similar in all tissue engineered sheets, and was significantly higher in native rabbit intestinal tissues.", "Although reduced in magnitude compared to native tissue, KCl-induced contractions were similar among the different tissue engineered sheets, indicating a robust contractile smooth muscle phenotype unaffected by the ECM composition.", "Acetylcholine-Induced Contraction: Exogenous addition of 1 μM Acetylcholine (Ach) was used to simulate agonist-induced contraction.", "All tissue engineered sheets contracted in response to Ach, and sustained contractions up to ˜5 minutes post stimulation with Ach (FIG.", "15A-D).", "Tissue engineered sheets with composite collagen I/IV with laminin had a significantly elevated peak maximal Ach-induced contraction (FIG.", "15C; 232.9±8.167 μN), as well as an elevated area under the curve of contraction (47606±2054 AU).", "Magnitude of Ach-induced contraction was still significantly lower compared to contraction in native tissue (342.6±3.15 μN; 70448±5876 AU).", "However, the time course of contraction was very similar to native tissue in tissue engineered sheets containing laminin, reaching maximal contraction within a minute of agonist stimulation.", "Collagen I sheets also had an elevated Ach-induced contraction (FIG.", "15A; 238.9±13.72 μN; 42668±2172 AU) corresponding to the elevated ChAT protein expression.", "However, the kinetics of contraction did not match native tissue.", "In order to estimate the smooth muscle (myogenic) component of Ach-induced contraction, neurotoxin TTX was used as a pretreatment (grey traces, FIG.", "15).", "Area under the curves of contraction was compared with and without TTX pre-treatment in order to estimate % inhibition (FIG.", "15F).", "Percent inhibition of Ach-induced contraction in the presence of TTX was highest in two ECM conditions: i) collagen I sheets (72.77±2.45%); and ii) collagen I/IV/laminin sheets (60.58±1.66%).", "These values of % inhibition were similar to that observed in native tissue (72.73±3.66%) upon TTX-pretreatment.", "This increased neuronal contribution to Ach-induced contraction also correlated with the elevated protein expression of ChAT in collagen I and composite collagen I/IV/laminin sheets (FIG.", "12E, G).", "TTX-pretreatment inhibited Ach-induced contraction to a significantly lower extent in collagen I/IV±heparan sulfate sheets, ranging from 48.36±4.36% (Heparan sulfate) to 50.31±4.22% (collagen IV; FIG.", "15F).", "Relaxation in Engineered Innervated Sheets in Response to Electrical Field Stimulation: Electrical field stimulation (EFS) at 5 Hz, 0.5 ms was used to stimulate neurons within the tissue engineered sheets to produce relaxation of smooth muscle (FIG.", "16).", "The extent of relaxation was quantified as area under the curve of relaxation.", "Extent of relaxation significantly varied amongst the tissue engineered sheets with varying ECM compositions.", "Sheets bioengineered with collagen IV, which displayed elevated nNOS expression, had higher relaxation compared to sheets bioengineered with collagen I only (compare 109693±8465 AU in collagen I/IV sheets to 23142±4921 in collagen I sheets).", "Sheets containing laminin and/or heparan sulfate also had significantly elevated relaxation compared to collagen I sheets (68395-69025 AU).", "In response to EFS, native tissues relaxed generating 101550±11279 AU.", "Tissue engineered sheets with collagen IV and/or laminin and/or heparan sulfate additionally had a time course of relaxation most similar to native tissue.", "Maximal relaxation was achieved within 2 minutes of EFS, and a subsequent recovery of basal force was complete within 10 minutes.", "Upon pre-treatment with TTX, EFS-induced relaxation was inhibited entirely (grey traces, FIG.", "16).", "Inhibition of Nitric Oxide Synthase: In order to identify the presence and functionality of nitrergic neurons, an inhibitor of nitric oxide synthase (L-NAME) was used (grey traces, FIG.", "17).", "Percent inhibition was determined by comparing areas under the curves of maximal relaxation with and without the L-NAME pre-treatment.", "Percent inhibition with L-NAME treatment was the lowest in collagen I sheets (33.37±8.37%; grey trace, FIG.", "17A).", "This corresponded to the low protein expression of nNOS in collagen I sheets compared to sheets containing collagen IV (FIG.", "12D).", "In contrast, the inhibition of nNOS activity attenuated relaxation up to 61.71±2.82% (grey trace, FIG.", "17B) in collagen I/IV sheets.", "In sheets containing laminin and heparan sulfate, % inhibition with L-NAME varied between 62.28±2.75% (laminin, FIG.", "17C) to 57.16±1.91% (heparan sulfate).", "This inhibition is significantly elevated compared to collagen I sheets, corresponding to the increased expression of nNOS observed in the collagen I/collagen IV sheets (FIG.", "12C).", "Native tissues had a higher % inhibition with L-NAME (78.02±2.85%).", "Inhibition of the VIP-Receptor: The functionality of VIP-ergic neurons was assessed using a VIP receptor antagonist (VIP-Ra).", "Pre-treatment with VIP-Ra inhibited maximal relaxation in all tissue engineered sheets to varying extents ranging from 55.55±3.92%-65.92±5.38% (grey traces, FIG.", "18).", "Inhibition of EFS-induced relaxation indicated the presence of differentiated VIP-ergic neurons in tissue engineered sheets.", "The section headings used herein are for organizational purposes only and are not to be construed as limiting.", "While the applicants' teachings are described in conjunction with various embodiments, it is not intended that the applicants' teachings be limited to such embodiments.", "On the contrary, the applicants' teachings encompass various alternatives, modifications, and equivalents, as will be appreciated by those of skill in the art." ] ]
Patent_15875717
[ [ "SYSTEMS AND METHODS FOR PROCESSING AUDIO SIGNALS BASED ON USER DEVICE PARAMETERS", "In various applications, the system provides a method for processing audio signals, including: receiving a request for audio content; receiving an identifier encoded in a personal audio device comprising a transducer for playing audio; retrieving at least one parameter associated with the identifier; and processing the audio content using at least the request, the identifier and the at least one parameter, wherein the processing is customized for the personal audio device based on the at least one parameter associated with the identifier.", "In various applications the parameter is, one or more of, associated with a specification of the personal audio device, acoustic metrics of the transducer, relates to control of equalization, relates to permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, relates to acoustic metrics of the transducer and wherein the identifier is associated with permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, and/or is stored in a chip on the personal audio device, is retrieved from a server in a network, among other things.", "In various applications, the personal audio device comprises ear buds and the identifier is stored in a non-volatile memory of the ear buds." ], [ "1.A method for processing audio signals, comprising: receiving a request for audio content; receiving an identifier encoded in a personal audio device comprising a transducer for playing audio; retrieving at least one parameter associated with the identifier; and processing the audio content using at least the request, the identifier and the at least one parameter, wherein the processing is customized for the personal audio device based on the at least one parameter associated with the identifier.", "2.The method of claim 1, wherein the at least one parameter is associated with a specification of the personal audio device.", "3.The method of claim 2, wherein the at least one parameter relates to acoustic metrics of the transducer.", "4.The method of claim 1, wherein the at least one parameter relates to control of equalization.", "5.The method of claim 1, wherein the at least one parameter relates to permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content.", "6.The method of claim 1, wherein the at least one parameter relates to acoustic metrics of the transducer and wherein the identifier is associated with permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content.", "7.The method of claim 1, wherein the at least one parameter is stored in a chip on the personal audio device.", "8.The method of claim 1, wherein the at least one parameter is retrieved from a server in a network.", "9.The method of claim 1, wherein the processing is done in a batch format and the processed content is stored for a plurality of parameters.", "10.The method of claim 1, wherein the processing is done in real-time and the processed content is streamed to the personal audio device.", "11.The method of claim 1, wherein the identifier includes a key used for lookup.", "12.The method of claim 1, wherein the processing is done at a server, and the processing algorithm executes using the identifier to retrieve at least one parameter associated with the identifier to control parameters of sonic processing algorithms and to provide processed content to the network that can be received by a user of a device associated with the identifier.", "13.The method of claim 1, wherein the personal audio device comprises ear buds and the identifier is stored in a non-volatile memory of the ear buds.", "14.The method of claim 1, wherein the identifier is a unique identifier of up to 48 bits.", "15.The method of claim 1, wherein the identifier is retrieved using a sonic inquiry command.", "16.Apparatus, comprising: at least one earbud; a non-volatile memory associated with the at least one earbud, the memory storing at least an identifier; and circuitry for polling the identifier from the memory, wherein the identifier is used for processing audio sent to the at least one earbud.", "17.The apparatus of claim 16, further comprising a second earbud.", "18.The apparatus of claim 16, further comprising a control to initiate an identification process.", "19.The apparatus of claim 16, further comprising a control to disable access to the identifier.", "20.The apparatus of claim 16, wherein the circuitry is readable by a mobile phone." ], [ "<SOH> BACKGROUND <EOH>Systems that provide audio playback, such as portable flash players, mobile phones, car players, televisions, and home theater receivers, reproduce the stored audio during playback.", "The stored audio is the result of layers of several different sound sources that are frequently mixed electronically for recording.", "These systems frequently feature user selectable manual sound controls for adjusting characteristics of the audio, such as volume, equalization, and dynamic range.", "These systems may allow the user to set these controls, often under sub-optimal conditions and with no training.", "Additionally, these systems may employ a number of different audio transducers for generating audible sound.", "As a result, the listening experience is often compromised, because the reproduction of the audio need not take into account the type and manufacture of the audio transducer.", "What is needed in the art are systems and methods for providing enhanced audio to provide audio reproduction that is tailored to the audio transducer.", "Further needed in the art is a system which will perform signal processing of the audio signal automatically for a particular listening device.", "Further needed in the art is a system that will automatically perform signal processing for the particular listening device and for a particular user's preferences of that particular listening device." ], [ "<SOH> BRIEF SUMMARY <EOH>The present subject matter provides various embodiments with systems and methods for enhancing recorded sound.", "In various approaches, the various embodiments are used individually or in combination with each other.", "In various approaches, the system is combined or distributed using various processing options.", "In various embodiments, the system provides a method for processing audio signals, comprising: receiving a request for audio content; receiving an identifier encoded in a personal audio device comprising a transducer for playing audio; retrieving at least one parameter associated with the identifier; and processing the audio content using at least the request, the identifier and the at least one parameter, wherein the processing is customized for the personal audio device based on the at least one parameter associated with the identifier.", "In various applications the parameter is, one or more of, associated with a specification of the personal audio device, acoustic metrics of the transducer, relates to control of equalization, relates to permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, relates to acoustic metrics of the transducer and wherein the identifier is associated with permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, and/or is stored in a chip on the personal audio device, is retrieved from a server in a network, among other things.", "In various embodiments the processing is done in a batch format and the processed content is stored for a plurality of parameters.", "In various embodiments, the processing is done in real-time and the processed content is streamed to the personal audio device.", "In various embodiments, the processing is done at a server, and the processing algorithm executes using the identifier to retrieve at least one parameter associated with the identifier to control parameters of sonic processing algorithms and to provide processed content to the network that can be received by a user of a device associated with the identifier.", "In various embodiments the identifier includes a key used for lookup.", "In various embodiments, the personal audio device comprises ear buds and the identifier is stored in a non-volatile memory of the ear buds.", "In various embodiments the identifier is a unique identifier of up to 48 bits.", "In various embodiments, the identifier is retrieved using a sonic inquiry command.", "In various embodiments, the subject matter relates to apparatus including at least one earbud, a non-volatile memory associated with the at least one earbud, the memory storing at least an identifier; and circuitry for polling the identifier from the memory, wherein the identifier is used for processing audio sent to the at least one earbud.", "In various embodiments, the apparatus further comprises a second earbud.", "In various embodiments, the apparatus further includes a control to initiate an identification process.", "In various embodiments, the apparatus further comprises a control to disable access to the identifier.", "In various embodiments, the circuitry of the apparatus is readable by a mobile phone.", "Additional variations are described in the following detailed description.", "This Summary is an overview of some of the teachings of the present application and not intended to be an exclusive or exhaustive treatment of the present subject matter.", "Further details about the present subject matter are found in the detailed description and appended claims.", "The scope of the present invention is defined by the appended claims and their legal equivalents." ], [ "CLAIM OF PRIORITY This application is a Continuation of U.S. patent application Ser.", "No.", "15/417,706, filed Jan. 27, 2017, which application is a Continuation of U.S. patent application Ser.", "No.", "14/477,826, filed Sep. 4, 2014 (now U.S. Pat.", "No.", "9,560,466), which claims the benefit of priority under 35 U.S.C.", "§ 119(e) of all of the following patent applications: U.S.", "Provisional Patent Application No.", "61/874,099, filed Sep. 5, 2013; U.S.", "Provisional Patent Application No.", "61/874,120, filed Sep. 5, 2013; U.S.", "Provisional Patent Application No.", "61/874,150, filed Sep. 5, 2013; U.S.", "Provisional Patent Application No.", "61/874,125, filed Sep. 5, 2013; U.S.", "Provisional Patent Application No.", "61/874,137, filed Sep. 5, 2013; U.S.", "Provisional Patent Application No.", "61/874,103, filed Sep. 5, 2013; and U.S.", "Provisional Patent Application No.", "61/918,550, filed Dec. 19, 2013, all of which are incorporated herein by reference in their entirety.", "FIELD The present subject matter relates generally to systems and methods for audio enhancement.", "BACKGROUND Systems that provide audio playback, such as portable flash players, mobile phones, car players, televisions, and home theater receivers, reproduce the stored audio during playback.", "The stored audio is the result of layers of several different sound sources that are frequently mixed electronically for recording.", "These systems frequently feature user selectable manual sound controls for adjusting characteristics of the audio, such as volume, equalization, and dynamic range.", "These systems may allow the user to set these controls, often under sub-optimal conditions and with no training.", "Additionally, these systems may employ a number of different audio transducers for generating audible sound.", "As a result, the listening experience is often compromised, because the reproduction of the audio need not take into account the type and manufacture of the audio transducer.", "What is needed in the art are systems and methods for providing enhanced audio to provide audio reproduction that is tailored to the audio transducer.", "Further needed in the art is a system which will perform signal processing of the audio signal automatically for a particular listening device.", "Further needed in the art is a system that will automatically perform signal processing for the particular listening device and for a particular user's preferences of that particular listening device.", "BRIEF SUMMARY The present subject matter provides various embodiments with systems and methods for enhancing recorded sound.", "In various approaches, the various embodiments are used individually or in combination with each other.", "In various approaches, the system is combined or distributed using various processing options.", "In various embodiments, the system provides a method for processing audio signals, comprising: receiving a request for audio content; receiving an identifier encoded in a personal audio device comprising a transducer for playing audio; retrieving at least one parameter associated with the identifier; and processing the audio content using at least the request, the identifier and the at least one parameter, wherein the processing is customized for the personal audio device based on the at least one parameter associated with the identifier.", "In various applications the parameter is, one or more of, associated with a specification of the personal audio device, acoustic metrics of the transducer, relates to control of equalization, relates to permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, relates to acoustic metrics of the transducer and wherein the identifier is associated with permission to enable proprietary sonic processing for enhanced acoustic reception of streaming content, and/or is stored in a chip on the personal audio device, is retrieved from a server in a network, among other things.", "In various embodiments the processing is done in a batch format and the processed content is stored for a plurality of parameters.", "In various embodiments, the processing is done in real-time and the processed content is streamed to the personal audio device.", "In various embodiments, the processing is done at a server, and the processing algorithm executes using the identifier to retrieve at least one parameter associated with the identifier to control parameters of sonic processing algorithms and to provide processed content to the network that can be received by a user of a device associated with the identifier.", "In various embodiments the identifier includes a key used for lookup.", "In various embodiments, the personal audio device comprises ear buds and the identifier is stored in a non-volatile memory of the ear buds.", "In various embodiments the identifier is a unique identifier of up to 48 bits.", "In various embodiments, the identifier is retrieved using a sonic inquiry command.", "In various embodiments, the subject matter relates to apparatus including at least one earbud, a non-volatile memory associated with the at least one earbud, the memory storing at least an identifier; and circuitry for polling the identifier from the memory, wherein the identifier is used for processing audio sent to the at least one earbud.", "In various embodiments, the apparatus further comprises a second earbud.", "In various embodiments, the apparatus further includes a control to initiate an identification process.", "In various embodiments, the apparatus further comprises a control to disable access to the identifier.", "In various embodiments, the circuitry of the apparatus is readable by a mobile phone.", "Additional variations are described in the following detailed description.", "This Summary is an overview of some of the teachings of the present application and not intended to be an exclusive or exhaustive treatment of the present subject matter.", "Further details about the present subject matter are found in the detailed description and appended claims.", "The scope of the present invention is defined by the appended claims and their legal equivalents.", "BRIEF DESCRIPTION OF THE DRAWINGS The accompanying figures illustrate certain embodiments of the subject matter and demonstrate certain aspects of the subject matter discussed in the following detailed description.", "FIGS.", "1A-1D demonstrate systems according to various embodiments of the present subject matter.", "FIG.", "2 shows a system for simulating linear and nonlinear effects of propagation and mixing of sound in air according to various embodiments of the present subject matter.", "FIG.", "3 demonstrates a single-source spatial representation of an interaction volume, according to one embodiment of the present subject matter.", "FIG.", "4 demonstrates a dual-source spatial representation of an interaction volume, according to one embodiment of the present subject matter.", "FIG.", "5 demonstrates a signal-modification processing subsystem for introduction of a priming signal according to various embodiments of the present subject matter.", "FIG.", "6 demonstrates an FIR convolution sub-system according to various embodiments of the present subject matter.", "FIG.", "7 demonstrates adjustable pre-signal content duration examples in the FIR convolution templates according to various embodiments of the present subject matter.", "FIGS.", "8A-8B demonstrate enforced zero-response examples around the dry signal delay time according to various embodiments of the present subject matter.", "FIG.", "9 demonstrates a multichannel analysis signal-modifying processing subsystem according to various embodiments of the present subject matter.", "FIG.", "10 demonstrates a series single-channel analysis subsystem according to various embodiments of the present subject matter.", "FIG.", "11 demonstrates a parallel single-channel analysis systems signal-analysis subsystem according to various embodiments of the present subject matter.", "FIG.", "12 shows the dependence of perceived pitch on sound level according to various embodiments of the present subject matter.", "FIG.", "13 shows the dependence of perceived pitch on sound level at low frequencies according to various embodiments of the present subject matter.", "FIG.", "14 demonstrates a general multiplexed convolution system architecture according to various embodiments of the present subject matter.", "FIG.", "15 demonstrates a multiplexed convolution signal-analysis and processing-control architecture according to various embodiments of the present subject matter.", "FIG.", "16 shows a multiplexed convolution signal analysis processing subsystem according to various embodiments of the present subject matter.", "FIG.", "17 shows an exemplary three-dimensional, discrete behavior space according to various embodiments of the present subject matter.", "FIG.", "18 presents an illustrative diagram of behavior space mapping and system-behavior determination operations according to various embodiments of the present subject matter according to various embodiments of the present subject matter.", "FIG.", "19 shows a digital computer implementation of the system-behavior determination operation based on look-up tables according to various embodiments of the present subject matter.", "FIGS.", "20A-20D illustrate an audio mixture decomposition according to various embodiments of the present subject matter.", "FIGS.", "21A-21C show the beginnings of the transient audio events according to various embodiments of the present subject matter.", "FIG.", "22 demonstrates a Digital Human Interface Identifier (DHI-ID) Serial Protocol according to various embodiments of the present subject matter.", "FIG.", "23 demonstrates a Digital Human Interface Identifier (DHI-ID) Serial system according to various embodiments of the present subject matter.", "FIG.", "24 demonstrates a recorded sound processing system according to various embodiments of the present subject matter.", "DETAILED DESCRIPTIONS OF THE SUBJECT MATTER FIGS.", "1A-1D demonstrate some embodiments of systems 100A-100D according to various embodiments of the present subject matter.", "FIG.", "1A shows a first overall system topology 100A.", "The system topology 100A may include a content source 110A, such as an analog or digital audio recording or a live digital stream.", "The content source 110A may provide content to the processor 120A, where the processor 120A may include one or more of the audio enhancement techniques describe below.", "The processor 120A may provide enhanced audio through a network (e.g., the internet, “the cloud”) 130A to the device 140A.", "The use of a remote content source 110A may provide more storage space than a user smartphone, and the use of a remote processor 120A may provide greater processing power and reduce smartphone power consumption.", "At the device 140A, the enhanced audio is controlled via user inputs or reproduced using headphones, speakers, or other audio playback hardware or software.", "FIG.", "1B shows a second overall system topology 100B.", "The system topology 100B may include a content source 110B, such as an analog or digital audio recording or a live digital stream.", "The content source 110B may provide audio through a network 130B to the processor 120B, where the processor 120B may include one or more of the audio enhancement techniques describe below.", "The use of a remote content source 110A may provide more storage space than a user smartphone, and the use of a remote processor 120A may provide greater processing power and reduce smartphone power consumption.", "The processor 120B may provide enhanced audio through the network 130B to the device 140B for playback.", "FIG.", "1C shows a third overall system topology 100C.", "The system topology 100C may include a content source 110C, such as an analog or digital audio recording or a live digital stream.", "The content source 110C may provide audio through a network 130C to device 140C, where device 140C includes processor 120C.", "For example, the content source 110A could be an internet-based music streaming service, which may stream audio data to a user's smartphone, where the smartphone includes the processor 120A and the device 140.FIG.", "1D shows a fourth overall system topology 100D.", "The system topology 100D may include a content source 110D, such as an analog or digital audio recording or a live digital stream.", "The content source 110D may provide audio to device 140D, where device 140D includes processor 120D.", "The use of a local content source 110D may allow a user to use one or more of the audio enhancement techniques describe below without needing a network connection.", "The combination of the content source 110A-D, processor 120A-D, network 130A-D, and device 140A-D may enhance audio reproduction using one or more of the audio enhancement techniques describe below.", "Digital Audio Processing to Simulate the Nonlinear Properties of Sound Propagation and Mixing in Air The experience of listening to digital audio recordings is improved by making subtle modifications to the recorded audio signals that simulate the linear and nonlinear effects of propagation and mixing of sound in air.", "The mixing in a nonlinear medium of multiple or complex sound waves comprised of multiple frequencies is known as heterodyning.", "Heterodyning may occur at various locations in the air, including at a speaker or at the user's tympanic membrane.", "Because the speed of sound in air is itself dependent upon the particle velocity or pressure of the air at any given moment in time and position in space, air may not be a purely linear propagation medium.", "For example, the compression peaks of an acoustic waveform may travel faster than rarefaction troughs, distorting the waveform and transferring energy into higher harmonics of the original signal.", "When multiple or complex sound waves comprised of multiple frequencies propagate in such a nonlinear medium, the sound waves transfer energy into sound at new frequencies (e.g., intermodulation products) given by the sums and differences of the original signal frequencies.", "While these nonlinear effects of air are generally subtle at auditory frequencies and typical sound pressure levels (SPLs) (e.g., loudness levels), second-order nonlinear effects may generate content at levels as high as only 30 decibels below the primary sound pressure and are often perceptible during live music performances.", "These second-order intermodulation products have amplitudes proportional to a derivative of the products, which may result in intermodulation products or other propagation effects that increase with an increase in frequency.", "Nonlinear propagation effects of audible frequencies may also interact with lower frequencies in an intermodulation scheme.", "Thus, the nonlinear effects of air may play an appreciable role in how the brain processes and perceives sound.", "The mixing signal processing technique may improve the ability of a system to reproduce the effects of a live performance using a digital audio recording.", "While some music is experienced through live performance, most music is experienced through listening to stored analog or digital audio signals.", "Digital audio signals are converted to analog signals using digital-to-analog converters, and the original or converted analog audio signals are reproduced acoustically by headphones, open-air loudspeakers, or other means.", "The reproduced signals may contain unnatural content, as the reproduced signals represent recorded or synthesized waveforms that have not propagated through air or otherwise mixed in ways that are naturally encountered with live sound.", "For example, many genres of music may use recording techniques known as “close-mic'ing” and “overdubbing,” often in combination.", "These techniques may include minimal amounts of propagation and mixing in air, and may result in sterile recordings of sounds.", "In close-mic'ing, microphones are placed in close proximity to the sound source.", "Close-mic'ing can be used to capture direct sounds, while simultaneously reducing energy captured from other sound sources and reducing acoustic reflections occurring in the room where the recording is taking place.", "In contrast to listening to live music at a range from 1 meter to tens of meters, close-mic'ing sound-source-to-microphone distances may range from about 10 centimeters for recording vocalists to 5 centimeters for recording amplified guitar or acoustic drums.", "In each case, the recorded sound waveform may experience extremely little propagation in air, and therefore may not include effects generated by propagation associated with a similar sound source heard from a more natural listening distance.", "When multiple musicians are recorded performing in a space at the same time, close-mic'ing may enable a mix engineer to control their relative volumes individually or apply special processing to a subset of performers.", "While this isolation of sounds is useful during mixing, natural effects of nonlinear propagation and mixing in air evolve gradually over distance and may require up to tens of meters of propagation to fully develop, and close-mic'd recordings may fail to capture these natural effects of nonlinear propagation and mixing in air.", "The recording technique of overdubbing may isolate individual sounds more than close-mic'ing, and may also fail to capture natural effects of nonlinear propagation and mixing in air.", "In overdubbing, additional sounds are recorded and synchronized with previously recorded sounds in order to build a recording of a full ensemble or song gradually through summation of multiple individual recordings.", "Because modern digital audio workstations make it easy to layer a large number of individual recordings, each instrument or performance that contributes to a song is recorded individually.", "For example, performers may play an instrument while listening to previously recorded parts of the song or a timing cue (e.g., metronome), and may record each instrument or vocal component individually until every song component has been recorded.", "These individually recorded song components may also be close-mic'd to avoid room reflections and capture an isolated audio recording (e.g., dry sound).", "Through heavy use of these techniques, many modern recordings include numerous individual close-mic'd waveforms that are mathematically added together by a computer and have not propagated and mixed in air as they typically would have in a natural live performance.", "In particular, digital audio waveforms that have been generated electronically or synthesized digitally may not have experienced any propagation or mixing in air.", "These digital audio waveforms are an extreme example of modern recording practices, as they are completely isolated from the other sounds contributing to a song or sound mixture, and may include little or no additional content or imprint from the space in which they were recorded.", "Furthermore, when these sounds are later reproduced acoustically, it is often in a setting that does not allow for the propagation distance or source SPL (sound pressure level) needed for the generated sound to experience the amount of nonlinear propagation normally incurred during live performances or large-scale concerts.", "This is true for many home and car playback systems and listening environments, and is particularly relevant to headphones and earphones.", "For example, headphone propagation distance of reproduced sound can be less than 6 millimeters, which may allow a recorded sound to propagate in air for 5 to 10 centimeters from source to microphone and then earphone to the tympanic membrane.", "Additionally, headphones may use a lower source SPL, as little spreading or propagation loss occurs.", "Because modern recording techniques and common listening settings reduce or eliminate nonlinear propagation effects often present and audible during live performances, it is beneficial to recreate these effects through digital signal processing so a more natural sound is provided by digital recordings in typical listening environments.", "The acoustical sidebands created by sound heterodyning in air give an added spectral and harmonic richness to sound that is commonly absent from the modern listening experience and would be beneficial to reproduce digitally.", "Additionally, it is desirable to impose some of the frequency-dependent attenuation that accompanies natural propagation of sound in air to restore a more natural tonal balance to close-mic'd recordings, and to improve simulation of nonlinear interactions between or among sound components as they propagate.", "Beyond compensating for modern recording techniques and playback settings, the use of digital signal processing to simulate nonlinear propagation and mixing of sound in air may enhance the experience of listening to digital audio.", "The nonlinearity of air is most pronounced when high sound pressure levels are present, and listeners often find that higher listening volumes provide a more compelling and immersive listening experience.", "Digitally introducing the nonlinear effects that would have occurred at high playback volumes may make playback at lower volumes more exciting and enjoyable by simulating part of the experience of listening at high SPLs.", "FIG.", "2 shows a system 200 for simulating linear and nonlinear effects of propagation and mixing of sound in air according to various embodiments of the present subject matter.", "System 200 may operate on one or more input signals in order to generate intermodulation products that would be generated naturally during acoustic propagation of one or more signals at a given peak sound pressure level and then mixing these products with the original input signal(s).", "Additional linear filtering is imposed by the system before, during, or after this process in order to simulate the natural frequency-dependent attenuation of sound as it propagates through air.", "System 200 may improve the listening experience by compensating for modern digital recording techniques and listening settings that reduce or eliminate the natural attenuation and nonlinear effects of sound propagation through air and by simulating high SPL playback of audio at lower volumes by introducing the nonlinear effects of sound propagation at high SPLs into the recorded waveform itself.", "System 200 modifies source material in a transparent and potentially subtle way while not altering the creative content of processed recordings, making it suitable for application to all varieties of musical or audio content recorded and stored in digital form.", "System 200 takes as its input one or more input signals (Xi) 210 that represent the amplitude of one or more audio waveforms over time.", "In various embodiments, these input signals 210 are a series of digitally stored values representing the instantaneous amplitude of a band-limited waveform that has been sampled at regularly spaced moments in time.", "Each input signal (Xi) 210 may have a corresponding primary sound pressure (P1) 220.The primary sound pressure 220 is provided to a processor 230 for calculation of a secondary soundfield.", "Processor 230 is a general purpose processor, a dedicated digital signal processing (DSP) integrated circuit (IC), or another type of processor suitable for the calculation of the secondary soundfield.", "The output of processor 230 includes a secondary sound pressure (P2) 240, where the secondary signal 240 may simulate effects that would have occurred at high playback volumes to simulate a live mixing of the various sounds.", "Primary and secondary sound pressures 220 and 240 are combined through superposition within an adder 250.Adder 250 includes a digital adder circuit or a summing amplifier and may include additional summation or timing components.", "For example, processor 230 may introduce a delay in the secondary signal 240 relative to the primary signal 220, and adder 250 may include a fixed time delay or a synchronization module to detect the delay of the secondary signal 240.Adder 250 may combine primary and secondary sound pressures 220 and 240 to provide a sound pressure output signal (Yi) 260, where output signal 260 may simulate what the listener would hear at a live event.", "System 200 may modify the input signal(s) in a manner dependent upon one or more controlling parameters.", "Generally, these parameters define physical attributes of the simulated sound propagation and mixing and thus directly influence the formulas used to calculate the intermodulation products generated by the nonlinearity of air.", "Alternatively, higher-level parameters are used to control the overall character or extent of the processing.", "In some embodiments of the subject matter, these parameters are determined automatically through an additional mathematical analysis of the input's auditory content and the application of a rule or decision system that links analyzed signal content parameters to control parameters.", "Because digital audio signals generally contain complex waveforms comprised of multiple frequency components and are applied during the mixing of multiple recorded sounds, the present subject matter in various embodiments uses quasi-linear solutions of Westervelt's general inhomogeneous wave equation to determine the relationship between input signals and generated intermodulation products.", "In one embodiment of the subject matter, the Westervelt equation for second-order mixing, ∇ 2  P 2 - 1 c 0 2  ∂ 2  P 2 ∂ t 2 = - ρ 0  ∂ q ∂ t , ( 1 ) is used to capture the second-order intermodulation products generated by the nonlinearity of air, as these are generally the most prominent of intermodulation products.", "In equation (1), secondary sound pressure (P2) 240 is the pressure variation associated with the intermodulation products generated by the nonlinearity of air, (ρ0) and (c0) represent the density and small-signal sound speed of air, respectively, and (q) is the virtual source density given by q = β ρ 0 2  c 0 4  ∂ ∂ t  P 1 2 , ( 2 ) which is proportional to the time derivative of the square of the primary sound pressure (P1) 220.Here, β represents the second-order nonlinear coefficient of air, which may vary with the interaction angle of component sound fields if the primary sound pressure may be a mixture.", "The general solution to differential equation (2), which can be used by one embodiment of the presently disclosed subject matter, is P 2 = - ρ 0 4   π  ∫ V  ∂ q ∂ t  e ik s  r ′ r ′  dV , ( 3 ) where (ks) is the wavenumber corresponding to an intermodulation product, (V) is the interaction volume over which the primary sound pressure has sufficient amplitude to contribute to the generation of intermodulation products, and (r′) is the distance from each virtual source point in the interaction volume (V) to the location where the generated secondary field is observed.", "In this exemplary embodiment, the primary sound pressure (P1) 220 is comprised of either the single input signal (Xi) 210 or a combination of M individual input signals (Xi) 210, where i=1, .", ".", ".", ", M. Parameters of equation (3) such as the definition of the interaction volume V, the radiation pattern of the sources (if multiple inputs may be being mixed), and the physical sound pressure level being simulated is specified, either directly by a user, based on higher-level specifications, or by some other means, and a solution for the secondary sound field P2 is calculated.", "An interaction volume (V) with a single isotropic source is shown in FIG.", "3, and an interaction volume (V) with two isotropic sources is shown in FIG.", "4.FIG.", "3 demonstrates a single-source spatial representation 300 of an interaction volume, according to one embodiment of the present subject matter.", "In particular, FIG.", "3 demonstrates calculation of the secondary sound pressure (P2) 240 generated by a complex (multiple-frequency) primary sound pressure (P1) 220 radiating from a single isotropic source due to the nonlinear effects of propagation in air.", "The single-source representation 300 may include a single isotropic source 310, where the isotropic source 310 represents sound generated using primary sound pressure (P1) 220.The isotropic source 310 may generate an interaction volume (V) 320 as used in equation (3), where interaction volume 320 is the volume over which the primary sound pressure contributes to the generation of intermodulation products.", "A virtual source point 330 is selected, where the virtual source density at virtual source point 330 corresponds to virtual source point (q) in equation (2).", "An observation point 340 is selected, where the distance from virtual source point 330 in the interaction volume (V) 320 to observation point 340 corresponds to the distance (r′) in equation (3).", "FIG.", "4 demonstrates a dual-source spatial representation 400 of an interaction volume, according to one embodiment of the present subject matter.", "In particular, FIG.", "4 demonstrates one method of calculating secondary sound pressure (P2) 240 due to the nonlinear effects of propagation in air, where the secondary sound pressure (P2) 240 can be generated by mixing of two independent isotropic sources.", "The single-source representation 400 may include a first isotropic source 410 and a second isotropic source 415.The first and second isotropic sources 410 and 415 may be generated using primary sound pressure (P1) 220.The isotropic source 410 may generate an interaction volume (V) 420 as used in equation (3), where interaction volume 420 may be the volume over which the primary sound pressure contributes to the generation of intermodulation products.", "A virtual source point 430 may be selected, where the virtual source density at virtual source point 430 corresponds to virtual source point (q) in equation (2).", "An observation point 440 may be selected, where the distance from virtual source point 430 in the interaction volume (V) 420 to observation point 440 corresponds to the distance (r′) in equation (3).", "For the interaction volume (V) shown in FIG.", "3 and FIG.", "4, the sound field may be comprised of the sum of second-order intermodulation products.", "The sound fields represent sums and differences between pairs of frequencies present in the input signals.", "The sound fields also represent second harmonics of all frequencies present in the input signals, each with amplitudes that may be proportional to the square of their frequencies.", "Whether using one, two, or more isotropic sources, sound pressure output signal (Yi) 260 may be formed by mixing the secondary sound field (P2) 240 with input primary sound pressure (P1) 220 to arrive at the total sound field that would be observed in air.", "The naturally occurring relative amplitudes of the primary and secondary sound fields 220 and 240 may be given by the solution to equation (1).", "The sound pressure output signal (Yi) 260 may be further controlled by additional parameters, either interactively by a user, automatically according to analysis of the input signals 210, or by other means.", "Linear filtering may be used to simulate frequency-dependent attenuation of sound as it propagates through air.", "Linear filtering may be applied to the input signals 210 before or after calculating output signal 260, depending on computational considerations and other design choices.", "Characteristics of such linear filtering may be selected to simulate or reduce attenuation properties of air.", "The present subject matter applies the Westervelt equation to audible frequencies to compensate directly for modern recording techniques or simulate accurately the effects of high SPL playback of digitally recorded audio to enhance the listening experience.", "Typical uses of the Westervelt equation deal with ultrasonic sound.", "Most applications, such as high-intensity focused ultrasound and industrial applications of ultrasound, such as cleaning and welding, may be very different from that of the presently disclosed subject matter.", "The Westervelt equation has been used in the field of parametric acoustic arrays, such as in the analysis and design of systems that generate beams of ultrasonic sound in order to produce directionally controllable content at audio frequencies through the nonlinear interactions of these ultrasonic beams in air.", "Because the nonlinear effects of air increase with frequency, these effects may be strongly present at ultrasonic frequencies, but may be subtle at audio frequencies.", "As a result, mathematical application of these nonlinearities to date has focused on ultrasonic applications, and not on digital audio waveforms with content only in the auditory band for enhancing listening during playback.", "Additional methods may be used to simulate intermodulation products generated by audio-band sound due to the nonlinearity of air.", "A reduced solution may include second-order effects and intentionally exclude higher-order intermodulation products.", "Because of the generally low amplitudes of higher-order intermodulation products generated at reasonable SPLs at audible frequencies, the second-order embodiment discussed above may be sufficient to achieve the desired results, however other embodiments are possible.", "In some cases, particularly when simulating very high source SPLs or when input waveforms have particular spectral properties, the calculation of higher-order intermodulation products may be desirable.", "Higher-order calculations may be included in an embodiment of the subject matter if deemed necessary by a user or through analysis of the input signal.", "Digital Signal Processing of Priming Signal for Reduction of Stress in the Auditory System A priming signal processing technique modifies digital audio recordings to reduce the stress experienced by a listener's auditory system.", "A priming signal may reduce the instantaneous stress experienced by the auditory system during sudden changes in signal energy.", "The priming signal may be generated such that pre-signal priming additions may not result in obvious differences in perceived sounds, thereby leveraging temporal auditory masking.", "A method for processing digital audio signals in order to decrease the stress experienced by the auditory system of a listener without overtly changing the creative or musical content of the signal may be disclosed.", "The method consists of an adaptive, time-varying system that responds to characteristics of input signal content according to a rule system, and that alters the signal either by mathematical convolution with one or more response templates or by the momentary addition of noise-like signals.", "Unlike existing audio processing techniques, this method may not be intended to generate obvious audible differences in the content of an audio signal, but rather to cause an appreciable difference in the comfort and enjoyment of the listener by conditioning the signal to interact more gently or naturally with the listener's auditory system.", "This method may be designed to compensate either directly or indirectly for the deleterious effects of unnaturally synthesized, mixed, or recorded digital audio signals as well as other potential shortcomings of digital audio formats and reproduction.", "Due to its ease of implementation, this method may be suitable for application to all varieties of musical or audio content recorded and stored in digital form.", "Synthetic generation of acoustic waveforms provides extensive creative opportunities.", "However, synthetic waveforms also present the listener with audio material that defies many properties of natural sound.", "Because these synthetic waveforms do not adhere to physical laws that the auditory system may be designed to understand, this defiance may cause stress on the human auditory system in processing and interpreting these signals.", "While the creative freedom afforded by these signals should not be diminished, it may be desirable to introduce processing that may compensate for differences between expected and experienced characteristics of sound.", "Such compensation may allow creation of a more enjoyable listening experience without significantly altering the creative content of the audio.", "Furthermore, it may be generally desirable to process audio signals in ways that reduce the stress experienced by a listener's auditory system, whether or not such stress reduction may be achieved by imitating the natural behavior of sound.", "There may be many known phenomena in the human auditory system that may be relevant to the present discussion.", "At a high level, humans may be able to derive much information about their surroundings from analyses of patterns of reflections or multiple arrivals of the same acoustic signal.", "For example, the auditory system may be able to use the filtering and delay imposed by the shape of the human head and ears (e.g., head-related transfer functions) to determine the direction from which a sound originated.", "Reflections of a sound arriving after the initial direct path may be used by the auditory system to understand characteristics of the space surrounding a listener such as whether or not it may be enclosed, how distant nearby walls or other solid or semi-solid surfaces (such as groves of trees) might be, and the types of materials present in the surroundings (hard and reflective or soft and absorbent).", "Such reflections can also be used to understand the location of a sound source relative to the listener: the pattern of reflections that arrives at a listener varies with changes in the relative locations of the listener and the source, creating auditory cues for distance and obscuration.", "All of this high-level auditory information may be derived instinctually, without conscious training or attention, and may be based on the auditory system's understanding of how sound naturally interacts with its environment.", "The majority of cues for this understanding may be contained in filtering and arrival patterns during the first 100 milliseconds or so after a direct signal, and our ability to make sense of these cues indicates a specialization of the human auditory system for processing naturally occurring audio signals.", "At a lower level, it may be known that a wide range of similar acoustic signals may be perceived to present the same sound.", "Although the human auditory system may be complex and not fully understood, this phenomenon has been studied extensively and may be known as auditory masking: the process by which the presence of one sound can render another sound imperceptible.", "This effect may be a result of both the mechanics of the human peripheral auditory system, which transduces acoustic energy into electrical neural activity, and higher level processing performed in the central auditory system.", "Acoustic energy may be transduced into neural activity in the cochlea by inner hair cells, which release neurotransmitters in response to mechanical deflection and incite action potentials (e.g., electrical spikes) in the primary auditory neurons.", "Various encoding schemes, such as first-spike latency, rate-based coding, and detailed temporal codes may be then employed by the auditory system to transmit and analyze these initial excitations.", "Stated simply, if a dominant sound may be already exciting a particular group of neurons, it may prevent a weaker sound that would excite the same neurons from being perceived.", "This may be known as simultaneous masking.", "Additionally, because much of the processing performed by the central auditory system involves integration of neural spike signals over some duration of time and because the human auditory system may temporarily decrease its sensitivity to sound in reaction to loud stimuli, masking can extend over a duration of time: a loud sound may render quieter sounds immediately preceding it or immediately following it imperceptible, with an influence that extends longer afterward than before (approximately 20 milliseconds before and 100 milliseconds after a loud sound, with influence decaying exponentially away from the time of the sound).", "This may be known as temporal masking.", "Finally, because each point along the length of the cochlea may be tuned to a specific frequency, sounds that may be similar in frequency may primarily excite the same groups of neurons, and may be more likely to mask one another through either type of masking.", "This phenomenon of auditory masking means that it may be possible to make significant modifications to an audio signal which do in fact present the human auditory system with a different stimulus but which do not yield obvious differences in the content of the signal.", "This may be the principle that underlies lossy compression schemes for digital audio such as the ubiquitous .mp3 standard, which may be designed to throw away a large portion of the information contained in an audio signal without changing the way that it sounds to a listener.", "Here, this principle may be exploited to apply processing which has an appreciable effect on the listening experience without obviously altering the auditory system's interpretation of the processed data.", "The present system analyzes and modifies this input signal; the exact modification performed on the signal at any given time may be dependent upon the current analysis output, and may vary with momentary changes in characteristics of the input signal.", "In various embodiments, two main methods of modifying the input signal may be employed.", "One of these methods may be to modify the input signal by performing the mathematical operation of convolution using the input signal and one or more convolution template signals.", "The other method may be to introduce additional, momentary noise-like components into the output signal.", "Digital Signal Processing of Priming Signal: Methods of Modifying the Input Signal FIG.", "5 illustrates an embodiment of a signal-modification processing subsystem 500 for introduction of a priming signal, according to various embodiments of the present subject matter.", "Subsystem 500 may take multiple input audio channels (Xi) as input 505, where input 505 represents the amplitude of an audio waveform over time.", "In various embodiments, input 505 may be a series of digitally stored values representing the instantaneous amplitude of a band-limited waveform that has been sampled at regularly spaced moments in time.", "Subsystem 500 may act on each channel of audio individually in series or in parallel.", "For example, input channel one signal 510 may be directed into an FIR convolution subsystem 520.FIR convolution subsystem 520 may convolve the input channel one signal 510 with a convolution template to generate a corresponding priming signal, where the selection of the convolution template may be controlled by control data 530 as discussed in more detail with respect to FIG.", "6.Input channel one signal 510 may also be directed into a look-ahead delay 540, where look-ahead delay 540 may delay the input signal by the maximum pre-signal convolution response time, effectively achieving look-ahead into the future of the input signal so that priming signal content may be added just prior to audio transients or other events.", "Control data 530 may provide control inputs to a look-ahead amplifier 560, which may amplify or attenuate the signal from the look-ahead delay 540.Subsystem 500 may also include a noise burst generator 550 to contribute noise to the priming signal, where the noise burst generator 550 may be controlled using control data 530.The output of the FIR convolution subsystem 520, the noise burst generator 550, and the look-ahead amplifier 560 may be summed in signal adder 570 to form an output signal for channel one.", "Subsystem 500 may provide an output signal (Yi) 580, where the output signal (Yi) 580 may include an output corresponding to each input audio channel (Xi) 505.Subsystem 500 may be implemented in various configurations, including the configuration shown in FIG.", "11 or 16.In the embodiment illustrated in FIG.", "11, multiple audio channels may be analyzed individually by parallel single-channel analysis systems, the output of which may be further processed by a higher-level multi-channel analysis system that may lead to modifications of the resulting single-channel control decisions.", "In the embodiment illustrated in FIG.", "16, each type of signal analysis may be performed on a multichannel collection of signals at once, and the results from each type of analysis may inform other analyses.", "FIG.", "6 illustrates an embodiment of an FIR convolution subsystem 600, according to various embodiments of the present subject matter.", "FIR convolution subsystem 600 may take an audio channels (Xi) as input 610.Audio input 610 may be fed into a convolution selector 620, and input control data 630 may cause one or more connections to be opened or closed between the audio input 610 and FIR convolution templates 640 and 645.Each of convolution templates 640 and 645 may be modified using input control data 630, such as selecting modifying or replacing the convolution function within each of convolution templates 640 and 645.Though two convolution templates 640 and 645 are shown, any number of convolution templates may be used, and may be selected using any number of switches within the convolution selector 620.For example, a single convolution template may be used, or all convolution templates may be used simultaneously.", "Modification of the input signal through calculation of mathematical convolution results may be performed as follows.", "A number of template signals may be stored as representations of signal amplitude over time.", "These representations may be digitally stored lists of numbers.", "These template signals may be derived from recordings of real-world natural environments, pre-constructed mathematically or otherwise, or derived from parametric specifications, and need not be more than approximately 50 milliseconds in length.", "At any given time, one or more of the M template signals, ci, i=1, .", ".", ".", ", M, may be convolved with the input signal depending on the current input signal analysis results, to generate a set of convolution outputs yi, y i  [ n ] = ( x * c i )  [ n ] = ∑ k = 0 N - 1  x  [ n - k ]  c i  [ k ] , ( 4 ) where the convolution template signals, ci[n], may be defined for the time period n=0, .", ".", ".", ", N−1.Each output of convolution templates 640 and 645 may be fed into corresponding convolution amplifiers 650 and 655, where they may be scaled by gains, (ai), i=1, .", ".", ".", ", M. Gains (a) may be specified by amplifier input control data 630, where amplifier gains may be selected based on the input signal analysis results.", "The output of convolution amplifiers 650 and 655 may be summed within a signal adder 660 to generate the convolution subsystem output 670, given as y conv  [ n ] = ∑ i = 1 M  a i  y i  [ n ] .", "( 5 ) The output of the signal adder 660 may provide FIR convolution subsystem output 670, which may be summed with additional input channels at signal adder 570 shown in FIG.", "5.In many existing systems using convolution operation in digital audio signal processing, these convolution templates are intended to achieve a particular frequency response specified by a user or to alter the perceived timbre, character, musical or creative content of the input signal.", "Similarly, in such existing systems, these convolution templates are intended to recreate the full response of any particular linear system or type of linear system such as a real or synthetic acoustic space, or an electrical or acoustic device or instrument.", "In contrast, in various embodiments of the present subject matter, the convolution templates are designed to reduce stress on the auditory system of a listener without obviously altering the content of the input signal.", "This design goal, and the goals of subtleness and transparency it entails, therefore distinguishes from the design goals of existing audio processing systems.", "Additional content may be introduced prior to transients or other triggering events in the input signal by utilizing digital delay to effectively achieve look-ahead into the future of the input signal and applying convolution templates that include pre-signal content.", "For example, with a maximum pre-signal response time of tpMAX, the path by which the input signal (x) reaches the output without modification may have an imposed delay of pMAX=ceil(tpMAX··fs), where (fs) denotes the (currently assumed to be regular) sampling frequency of the data contained in (x) and the ceil( ) function denotes rounding up to the next integer value.", "Delaying the dry path in this way has the effect of equivalently performing the convolutions as y i  [ n ] = ( ( z p MAX  x ) * c i )  [ n ] = ∑ k = 0 N - 1  x  [ n + p MAX - k ]  c i  [ k ] .", "( 6 ) This expression demonstrates that future values of the input x may contribute to the current output value of each (Yi) if the convolution template signals ci may be defined to be non-zero in the range of time from n=0, .", ".", ".", ", pMAX−1.In practice, the amount of time by which template content leads signal content and the level of the pre-signal content relative to the following signal may be both variable and depend on the current input signal analysis results.", "Pre-signal response times of less than 10 milliseconds may be used, with times ranging from 1 millisecond to as little as 50 microseconds being most common.", "The introduction of such pre-signal content, particularly in a time-varying and signal-dependent (non-linear) manner, may be designed to prime the human auditory system for the audio content that follows.", "Due to the phenomenon of temporal auditory masking, such pre-signal priming additions may not result in obvious differences in the sounds that may be heard, but may reduce the instantaneous stress experienced by the auditory system during sudden changes in signal energy.", "FIG.", "7 illustrates adjustable pre-signal content duration examples 700 in the FIR convolution templates, according to various embodiments of the present subject matter.", "The first example signal waveform 710 may include the entire input signal.", "Each of modified signal waveforms 720, 730, and 740 may have increasing dry signal durations, where modified signal waveform represents the maximum dry-signal delay tpMAX=10 ms. As shown in FIG.", "5, this dry signal may be implemented as a parallel signal and does not enter a convolution subsystem, such as using parallel channel look-ahead delay 540 shown in FIG.", "5.Because this parallel input dry signal may be delayed, template content that occurs at lags smaller than this dry-signal delay may be added effectively to the output in response to input signal content that has not yet appeared at the processing system's output.", "This portion of the convolution template reacts to future input signal and may result in the addition of content to the output signal just prior to audio events such as transients.", "FIGS.", "8A-8B illustrate enforced zero-response examples 800A-800B around the dry signal delay time according to various embodiments of the present subject matter.", "Each of example waveforms 810, 820, 830, 840, 850, and 860 depict a different application of a zero-response signal applied to the input signal 710 shown in FIG.", "7.Example waveforms 810, 820, 830, 840, 850, and 860 depict a duration of silence enforced in the convolution templates around zero delay, or the point in time corresponding to the current input signal amplitude, given as ci[n]=0,n=pMAC−k, .", ".", ".", ",pMAX+l (7) for some numbers of samples (k) and (l).", "Values (k) and (l) may be selected to minimize the alterations of the way that the input signal sounds.", "FIG.", "8A includes example waveforms 810, 820, and 830, which depict a symmetric short duration of silence enforced in the convolution templates around zero dry-signal delay tpMAX=10 ms.", "Example waveform 810 shows a small duration of silence, example waveform 820 shows a medium duration of silence, and example waveform 830 shows a large duration of silence.", "Example waveforms 810, 820, and 830 depict an asymmetric short duration of silence enforced in the convolution templates around zero delay.", "FIG.", "8B includes example waveforms 840, 850, and 860, which depict asymmetric short duration of silence enforced in the convolution templates.", "In particular, waveform 840 depicts an asymmetric duration of silence beginning at dry-signal delay tpMAX=10 ms, example waveform 850 depicts an asymmetric duration of silence around tpMAX=10 ms, and example waveform 860 depicts a large asymmetric duration of silence around tpMAX=10 ms. A system may select one of symmetric or asymmetric example waveforms 810, 820, 830, 840, 850, and 860, and therefore select the delay and position of silence, in order to reduce the perception of the artificial duration of silence.", "In natural acoustic environments, the sound heard by a listener may be always the combination of an initial direct-path arrival followed by subsequent early reflections.", "In nearly all cases, this leaves duration of silence (for example on the order of milliseconds) in the room response between the initial first arrival and the first-arriving reflection.", "Although the details of this first-arriving reflection and other soon-arriving early reflections may vary greatly with the positions of a sound source and listener or the acoustic space in which they reside, these changes in response generally do not alter the observed character of a sound in an obvious way.", "For example, a particular sound source such as an instrument or a particular person's voice may be recognizable in a wide variety of spaces from a small, enclosed room to a huge cathedral; the sound identified as being produced by the source does not change significantly in character, despite the fact that the sound associated with the space it may be in changes drastically.", "This may not be to say that such responses cannot contribute to the perceived character of a sound, but to demonstrate that many convolution templates with some duration of silence trailing the zero-delay component may be expected to yield subtle effects on the sound being processed; in fact, recording engineers often put significant effort into choosing the placement of microphones such that a pleasing portion of a source's radiation pattern and initial reflections may be captured during recording.", "This convolution template design technique may apply this subtlety and correspondence to naturally occurring sound phenomena.", "While convolution responses longer than approximately 50 milliseconds in duration may be perceived temporally by the human auditory system, convolution responses shorter than this may be heard spectrally in that they tend to alter the perceived character of the sound being convolved with the response rather than introducing new, separate sounds.", "The effect of summing multiple, repeated copies of a single signal on that signal's spectrum may be known as comb-filtering.", "In comb-filtering, a series of evenly spaced frequencies may be cancelled in the signal's spectrum because the contributions from the multiple delayed copies of the input signal at those frequencies may be out of phase and sum to zero.", "In general, this type of comb-filtering may be largely imperceptible to humans (although particular types of comb-filtering that change systematically over time do, however, form a class of obvious audio effects known as flanging and phasing).", "The fact that this type of filtering does not create blatantly obvious changes in the character of a sound may be likely because it may be so commonly encountered in natural acoustic spaces, as discussed above.", "By enforcing a period of silence around the zero-delay component of convolution templates and applying otherwise subtle or low-level responses, the frequency response of the templates tends to occupy this space of comb-filter-like responses that alter the character of the filtered sound in only subtle ways.", "In addition to this convolution-based method of modifying the input signal, the input signal may also be modified through the momentary addition of specific noise-like signals, y-noise.", "These noise signals may be added at times determined by the results of the input signal content analysis, such as during or slightly before transient peaks.", "This novel procedure may be intended to achieve the goal of auditory stress reduction in a manner similar to that of pre-signal content in convolution templates: the addition of a specific noise-like signal may be designed to prime the auditory system for sudden changes in signal energy and to soften the momentary auditory stress induced by sudden changes in signal energy.", "Again, due to the phenomena of temporal and simultaneous masking, such additions may not be expected to result in obviously different sounds, despite the fact that they may present a listener with markedly different acoustic signals.", "In some embodiments of the present subject matter, the addition of filtered noise may seem analogous to processing performed by generalized lossy perceptual audio compression schemes.", "Lossy perceptual audio compression techniques can generally be interpreted as adding spectrally shaped noise to recorded digital audio signals that may not be readily audible.", "However, this interpretation may be based on a typical method for analyzing the results of quantization and does not fully describe the processing performed by such systems.", "In practice, these systems quantize information about the input signal such as its spectrum during successive blocks of time with varying resolutions, which may result in highly objectionable audible artifacts and correlations between the input signal and resulting quantization error that cause the additive-noise based interpretation to be inaccurate.", "Furthermore, when considered as adding spectrally shaped noise to the recorded digital audio signal, these compression technologies add broadband noise over the full duration of the audio signal.", "In contrast to generalized lossy perceptual audio compression techniques that seek to decrease the amount of data required to represent the digital audio signal, this method may be used to improve the experience of listening to an acoustically reproduced version of the audio signal.", "To improve the listening experience, specifically shaped noise sequences may be added to the input signal only at specific noise times and for specific noise durations in order to decrease the stress experienced by the auditory system at those moments, where the noise durations may make up a small portion of the duration of the input signal.", "This use of additive noise also differentiates it from simulations of tape or vinyl mediums that introduce noise during the full duration of an audio signal in order to simulate properties of those recording media.", "Digital Signal Processing of Priming Signal: Automatic and Signal-Aware Control of the Modification Methods In various embodiments, the modification operations discussed above may be controlled automatically by the results of signal content analysis performed on the input signal.", "This analysis aims to assess four main characteristics of the input signal: the distribution and complexity of its momentary spectral content, the program, or average, perceived loudness of the signal, the presence of transient bursts of energy or changes in signal content, and, when used on multi-channel recordings, the spatial relationships of these characteristics across the multiple channels.", "The results of these analyses in turn drive a rule-based control system that may be designed to provide processing that, at any given moment, reduces the stress experienced by the auditory system of a listener while remaining transparent and not obviously audible.", "In exemplary embodiments of the subject matter, this rule-based control system may consist of a digitally stored look-up table, a set of parameterized functions, or a combination of the two, which relate the outputs of the analysis subsystems to the operation of the modification system components.", "While in general this control of the modification processes may be performed without intervention from a human operator, in one exemplary embodiment of the subject matter an operator or application that uses the presently disclosed subject matter may specify one or more high-level operation control parameters prior to applying it to a digital audio signal.", "Such high-level parameters may describe the kind of content being processed or the type of playback system that may be used to listen to the generated output signal.", "With the caveat that higher-level specifications may adjust the interpretations of each analyzed signal characteristic, we may now describe how the outputs of these signal characteristic analyses may be used to control the signal modification processes.", "Due to the frequency-dependent nature of many auditory processing phenomena such as masking, analysis may be performed to determine the distribution and complexity of the input signal's momentary spectra.", "This analysis, in turn, guides the determination of which convolution templates to use as well as the relative mixing gains applied to the outputs of each convolution, and, when deemed appropriate by other analyses, the type of noise signal to add to the input.", "Convolution templates and noise signals may be chosen to complement the current input signal content and to avoid creating obvious audible effects.", "Modifications that introduce too much content at frequencies that may not be strongly present in the input signal or that may become obvious due to simple, non-complex material may be avoided.", "Because the behavior of the human auditory system may be non-linear and generally responsive to relative changes in energy levels, the analysis system estimates the program level, or the average perceived loudness, of the input signal over time.", "For most audio signals, this estimate may vary gradually with changes in signal content.", "Relative changes in program level or comparisons between the estimated program level and the known average program level of typical audio recordings (which may vary with the genre of music or type of content contained in the audio signal) may then be used to guide control of the input signal modification system.", "For example, characteristics such as the pre-signal lead-time used by convolution templates, the relative amount of processed signal mixed with the raw input signal, and the choice of convolution templates may all be affected by the estimated program level.", "Audio transients, or short-duration changes in audio signal energy or spectral content, provide a particular kind of stimulus to the human auditory system that requires special processing by the input signal modification system.", "In order to enable such special processing, the input signal may be analyzed to detect transient events in the audio stream.", "Once detected, a transient event may be further analyzed for relative energy content, spectral make-up, and duration.", "Among other things, the detection of these events may control the choice of convolution templates and corresponding mixing gains, the amount of pre-signal lead-time used by the convolution templates, the addition of momentary noise-like signals to the input signal, and the enforcing of a duration of silence around the zero-delay time in convolution templates.", "Finally, because digital audio signals may be commonly reproduced on multichannel systems (with stereo, or 2 channel, systems being the most common), an awareness of the spatial image created by multiple signals may be required to avoid introducing changes into the individual audio signals that result in obvious or undesirable effects, where the changes may be perceived when a user listens to the combined output signals through such a multichannel system.", "When processing audio signals intended for multiple-channel playback, all channels may be processed together.", "A higher-level analysis that takes as its inputs the single-channel analysis results may be performed, and the output of this higher-level analysis may be used as an additional control input to the single channel modification systems.", "The result may be that different modifications may be made to each individual input signal than would have been made were the input signals processed in isolation; the control of the modification system must respect an additional set of rules to ensure that the interaction between multiple channels during playback does not have undesirable effects.", "Digital Audio Processing for the Restoration of Motion and Dynamic Timbre The sense of motion, liveliness, and spatial dynamics may be simulated using various methods discussed in this document.", "These methods may compensate for the static presentation of sound created by modern recording and sound synthesis techniques and common modern playback equipment such as headphones and ear buds in order to create a more natural, immersive, and enjoyable listening experience.", "Modern recorded music and sound, unlike naturally produced acoustic sound, may be spatially and timbrally static.", "Perhaps the most pervasive recording technique in modern audio production may be to record musical performances in a close mic'd manner, meaning that one or more microphones may be placed at static positions close to a musician or sound source and a performance may be recorded with minimal motion being made by the musician in order to produce a consistent loudness and tone across the duration of the recording.", "While this technique may afford a certain precision in the recording and post-processing of each musician's contribution to a song or musical piece, it deprives the completed recording of the liveliness and timbral dynamics created by motion in more natural performance and listening environments.", "The use of digitally synthesized sounds in modern recordings can take this dry, static nature to an extreme, producing recorded sound that may be entirely unchanging in tone or character over time.", "These modern recording techniques and technologies give rise to an unnatural and unpleasant stationary-tone audio-reproduction environment (STARE), in which recorded sound may be experienced as both spatially and timbrally static.", "In contrast, when performers play together in an acoustic space their movement alters the spatial radiation characteristics of their instruments over time, gradually changing the timbral qualities of their instruments and exciting various resonances and reflections in the space where they may be performing.", "Additionally, motion of performers on a stage or of listeners in an audience may change the sound heard by everyone in the audience in small or obvious ways.", "Even subtle motions of an audience member's head may create shifts in the delays and filtering experienced by the various sound components reverberating about a space before reaching their ears, and thus alter the tonal and spatial qualities of the sound that they hear.", "All of these dynamic effects contribute to a sense of immersion and liveliness that may be desirable to reproduce when listening to recorded audio.", "Although post-processing of close-mic recorded audio may add reverberation or panning effects that help to approximate this feeling of immersion and motion, these effects still present a sound with static tonal and spatial qualities in the sense that we have discussed here: a sound may be made to occupy some space or originate from some direction, but none of the natural, motion-driven variability that we have discussed here may be restored.", "Certain pieces of revered and highly sought-out analog recording equipment may come closest to providing an existing remedy for this problem of static recorded sound, as such equipment may be known to create subtle, time-varying changes in the tone and imaging of processed signals due to circuit phenomena such as very-low-frequency shifts in the DC-bias points of internal components caused by transient offsets in audio signals; however, this type of equipment may be expensive and thus may not be available to all producers of recorded music.", "Furthermore, such currently existing equipment may only provide a portion of the variability and dynamics that may be desirable for the re-creation of natural sound environments and the maximization of listening enjoyment.", "With the rise of portable music devices and the accompanying increase in the usage of portable playback equipment such as headphones and ear buds, the STARE problem has been taken to an extreme over the past decade: unlike loudspeakers, these personal playback devices prevent even the natural variability in tone that may be associated with a listener moving about a room or turning their head.", "At the same time, the popularity of close-mic recording techniques and synthesized digital audio has shown no signs of recession.", "Thus, it may be desirable to introduce digital signal processing techniques that restore a sense of motion and liveliness to digital audio recordings in order to improve the experience of listening to modern digital audio.", "A method for processing digital audio signals in order to restore a natural sense of motion and liveliness may be disclosed.", "The method consists of analyzing a digital audio recording and applying time-varying phase shifts, inter-aural delays, filtering, and amplitude and frequency modulation (e.g., “flutter,” “wow”) in a subtle way that may be driven by the presence of particular signal characteristics, such as percussive or transient events, that help to make the applied processing non-obvious.", "This method may compensate for the static tonal and spatial listening experience created by modern recording techniques, digitally synthesized sounds, and popular personal playback devices such as headphones and ear buds.", "This method may improve the experience of listening to digital audio recordings by restoring a sense of motion and immersion to those recordings that may be commonly experienced in live acoustic settings.", "FIG.", "9 illustrates an exemplary multichannel analysis signal-modifying processing subsystem 900, according to various embodiments of the present subject matter.", "Subsystem system architecture 900 demonstrates the general system architecture, consisting of a signal-analysis and processing-control subsystem and a signal-modifying processing subsystem, each of which may handle multiple input signals 910.The system takes one or more input signals 910 that each represent the amplitude of an audio waveform over time.", "Typically, these signals may each be a series of digitally stored values representing the instantaneous amplitude of a band-limited waveform that has been sampled at regularly spaced moments in time.", "The signal-modifying processing subsystem 900 both analyzes and modifies these input signals 905, with the results of input signal analysis determining the modifications to be performed at each point in time.", "In general, any available data may be analyzed and used to determine the exact modifications to be made to the digital audio signals at each point in their durations, though the presence of transient changes in intensity or energy associated with percussive sounds may play a large role in determining when modifications may be to be made because such sounds have the ability to help mask the applied modifications and make them non-obvious.", "Each channel in a multichannel processing system, from channel one 920 to channel N 925, may include multiple processing blocks.", "For example, channel one 920 may be processed through a frequency-dependent phase shift processing block (e.g., all-pass cascade processing block) 930, a linear relative-delay block 940, a magnitude filtering block 950, and an amplitude and frequency modulation block 960.Each processing block may be modified by control data 970, where control data 970 controls processing parameters within each processing block.", "Processing may be governed by parameterized equations that relate numerical results of signal analysis to parameters controlling the signal modification algorithms.", "The output of the processing blocks for each channel may be output from the subsystem 900 as output analysis results 980.Additionally, output analysis results 980 may be used to select a particular modification algorithm from a collection of available, implemented algorithms for use on a particular signal or time-region of a signal through some logical or rule-based processing of output analysis results 980.Implementation of the relationship between signal analysis and modification may be controlled or adjusted by higher-level parameters to select a particular relationship from a collection of options: for example, a high-level analysis of the input signals may determine the type of audio content that may be currently being processed (a general, individual instrument or some identified particular instrument, a complex sound mixture of multiple instruments, a sound mixture identified to belong within a particular genre of music, etc.)", "and use this determination to choose a particular relationship between analysis output and modification from a collection of relationships that may be each most appropriate for a certain type of content.", "Such high-level control and any lower level parameters of the relationship between analysis and modification may be exposed to an end-user through some type of interface for manual adjustment, or may be performed automatically.", "Once an analysis configuration and relationship between output analysis results 980 and signal modifications has been determined, time-varying modifications may be made to the input signals 910 to create a sense of motion and liveliness in the recorded audio, thereby improving the experience of listening to it during subsequent playback.", "These modifications may be performed in a way that may be subtle enough to avoid being overtly noticeable by listeners and that may be neutral enough to avoid altering the creative content of the audio recording, while still creating an appreciable, pleasing effect.", "Significant motion effects may be achieved within the all-pass cascade processing block 930.The all-pass cascade processing block 930 may introduce time-varying, frequency-dependent phase shifts, potentially applying different shifts to each channel in a stereo or multichannel recording.", "Such modifications can be performed using digital all-pass filtering, using filters with transition frequencies and orders that vary over time.", "It has been demonstrated in psychoacoustics experiments that the effects of such phase shifts, which correspond to sound components moving closer and further away, can be perceptually subtle when compared with magnitude, or non-all-pass, filtering.", "To reduce the perception of the phase shift, a bandpass filter may be used to avoid shifting the phase of the bass or treble.", "The bandpass filter may include frequencies ranging from 500 Hz to 5 KHz.", "This provides a neutral and subtle way of animating sound content.", "In various embodiments of the presently disclosed subject matter, changes in imposed phase shift may often occur in-sync with percussive audio transients, with the amount of shift increasing during transients and then slowly drifting back toward zero over the course of approximately 200 to 500 milliseconds, or in a semi-periodic cyclical fashion at a similar rate.", "The linear relative-delay block 940 may modify digital audio recordings in order to instill a sense of motion may be using time-varying inter-aural delays.", "When a sound source moves about a space relative to a listener or a listener rotates their head relative to a source, varying amounts of delay may be experienced by sound as it travels to the listener's left and right ears.", "Because of this, applying small, time-varying amounts of relative delay between the left and right channels of a stereo audio recording or among multiple channels in a multichannel recording can create a sense of movement and variability similar to the motion that naturally occurs when listening to a live performance.", "Because listeners have been found in psychoacoustics experiments to be able to detect horizontal displacements of less than one degree in the horizontal plane, the relative delays imposed by the modification system in this way need not be large in order to create a sense of motion.", "In fact, using too large a modification may be likely to be distracting and prevent the desired subtlety.", "Various embodiments may impose time-varying relative linear delays of approximately 0.1 millisecond or less across channels in a stereo or multichannel recording.", "As with imposed frequency-dependent phase shift, the amount of applied relative linear delay may vary in-sync with percussive or transient audio events, or may oscillate in a semi-periodic fashion that may be more loosely driven by signal properties.", "The magnitude filtering block 950 may be used to simulate a radiation pattern corresponding to motion of an audible source or listener.", "The radiation pattern of a sound source may change in response to the motion of performers around it or the way that a performer makes contact with it, and the transfer function from a source to a listener due to the acoustic space that they occupy may change significantly as either person moves about or rotates within the space.", "Typically, these phenomena may give rise to general changes in timbre that may be simulated using low-order IIR (infinite impulse response) filters with transition or cut-off frequencies and gains that change over time or comb-filtering effects caused by the summation of multiple reflections of sound that can similarly be modeled using digital FIR (finite impulse response) or IIR filters that change over time.", "Both of these types of filters may be designed to produce subtle effects, and thus provide the types of modification desired by the presently disclosed system; by varying their application in response to characteristics of the input signal, such magnitude-filtering may be performed in a way that creates a sense of motion and liveliness without becoming obvious or altering the creative content of the modified recording.", "The amplitude and frequency modulation block 960 may be used to impose subtle time-varying amplitude modulation (e.g., “wow”) and frequency modulation (e.g., “flutter”) on digital audio recordings in order to create an enhanced sense of motion and dynamics.", "These effects may be familiar in the audio world because they result from imperfections in common analog playback systems, such as tape and vinyl While these effects may be overt, distracting, or detrimental to playback quality, they may be used subtly to create neutral and subliminal but perceivable motion and complexity in an audio recording.", "Various embodiments of the presently disclosed subject matter may apply time-varying, semi-periodic frequency modulation of less than ±1 cent (where 1 cent represents the 1/100 of the difference between each semitone within an octave) and amplitude modulation of less than ±1 dB, at oscillation rates below about 5 Hz.", "As with other modifications, the exact amount and rate of modulation may be driven by the analysis of input signal and may vary in response to the low-passed energy envelope of the signal, the presence of discrete, identified transients, momentary spectral complexity, or any other suitable property.", "Automatic Level-Dependent Pitch Correction of Digital Audio A pitch correction signal processing technique modifies digital audio recordings to correct for level-dependent shifts in the perceived pitch of audio content.", "These corrections compensate for the effects of sound level on perceived pitch, corrections that may be impractical to apply during performance or recording.", "These corrections may improve the experience of listening to digital audio recordings by adjusting the perceived pitch of an audio signal dynamically.", "The dynamic adjustment may be dependent upon the momentary loudness of the audio signal (e.g., audio signal intensity, audio signal power, audio signal level, audio signal volume).", "The concept of auditory or musical pitch may be a perceptual one: while the pitch of a sound tends to be strongly related to mathematical properties of the associated acoustical wave such as its periodicity or frequency content, the relationship between such numerical properties and the perception of pitch may be more complex.", "Significant research into this relationship was performed in the 1930's at various academic institutions and at Bell Labs, and this research revealed a strong interaction between the level at which a sound may be heard and its perceived pitch, meaning that the same sound heard at different levels or volumes may be perceived as having different pitches, even when no other mathematical properties of the sound signal (such as periodicity or frequency content) have changed.", "At that time, studies were performed that further elucidated this relationship between sound level and pitch.", "It was demonstrated that the amount of shift in perceived pitch for a given change in sound level may be dependent upon a complex interaction of signal characteristics, including the frequency content and absolute level of a sound.", "One trend characterizing this relationship may be that for a simple tone (e.g., single frequency sinusoid), as level increases, the perceived pitch of that tone decreases for frequencies below about 2000 Hz and increases for frequencies above about 2000 Hz.", "A general characterization of the relationship between the level, frequency content, and perceived pitch of complex sounds (sounds with multiple frequency components) has not been fully characterized for all complex sounds.", "However, it was found that the shifts in perceived pitch that occur for complex sounds at varying levels may be predicted by a weighted mean of the shifts that would occur for each sinusoidal component of the sound if they were heard individually.", "This finding suggests that, because many sounds produced by musical sources contain frequency content both above and below the zero-shift frequency of about 2000 Hz, most musical audio material may demonstrate small shifts in perceived pitch with changes in level relative to the shifts demonstrated by simple tones.", "This phenomenon, that perceived pitch may be dependent upon sound level, poses a subtle problem for musicians and recording engineers.", "Generally, musicians optimize the tuning of their instruments and their playing techniques to compensate for this effect when performing live together in a group.", "Such adjustments may be subconscious or habitual rather than overt and deliberate, with professional musicians constantly making minute adjustments based on instinctual knowledge of their instruments and the feedback provided by their perception of pitch; however, it may be common in modern recording workflows for musicians to record their contributions to a song in isolation so that the individual sounds may later be layered or mixed together to form a final recording by a mix engineer, as this affords much more flexibility for adjusting the individual recordings and applying post-processing to individual sounds.", "In this case, performing musicians cannot make the same adjustments to ensure that all parts of the resulting sound mixture may be perceived to have their desired pitches.", "Additionally, many instruments do not provide musicians with the capability of making such subtle adjustments to the perceived pitch of the sound they produce, meaning that regardless of the recording situation there may be room for improvement through post-processing of recordings to make these adjustments.", "Finally, when numerical tools such as frequency content analysis may be relied upon to tune instruments or later adjust the tuning of recorded sounds, this may not compensate for the phenomenon of perceived pitch may not be solely determined by frequency content may be usually neglected.", "This may result in sounds that may be in need of further dynamic pitch adjustment to achieve or maintain desired musical pitches.", "Because the perceived pitch of musical sound plays a large role in its emotional impact and perceived quality, even minor pitch adjustments may have a noticeable impact on the listening experience.", "Research into psychoacoustics has demonstrated that the perceived pitch of a sound may be effected by the level at which that sound may be heard, meaning that minor adjustments to produced sounds may be required to sustain a particular pitch across differing sound levels.", "Because modern recording techniques make it difficult or impossible for musicians to make these adjustments during performance and because such adjustments may not be possible, using digital signal processing techniques to apply dynamic, level-dependent adjustments to the pitch of recorded digital audio, when appropriate, may greatly enhance the listening experience.", "A method for processing digital audio signals in order to correct for level-dependent shifts in the perceived pitch of audio content may be disclosed.", "The method consists of analyzing a digital audio recording and creating small, dynamic shifts in perceived pitch as required to compensate for the pitch distortion caused by relative changes in momentary sound level.", "This method may be suitable for processing of any type of digital sound recording, including individual sound recordings and complex sound mixtures.", "This method may compensate for modern recording techniques that make it difficult or impossible for musicians to make such adjustments correctly in produced pitched during recorded performances and to improve the perceived pitch of recorded digital audio across changes in relative level wherever possible.", "By correcting these small pitch distortions, the perceived quality of digital audio recordings may be improved without altering their creative content.", "FIG.", "10 illustrates a series single-channel analysis subsystem 1000, according to various embodiments of the present subject matter.", "FIG.", "10 demonstrates one embodiment of the signal-analysis subsystem in which channels of audio may be analyzed individually by parallel single-channel analysis systems, the output of which may be then further processed by a higher-level multichannel analysis system that may lead to modifications of the resulting single-channel control decisions.", "Series single-channel analysis subsystem 1000 may operate on multiple input audio waveform channels 1010 and 1015.Each input audio waveform channel may be analyzed using a spectral analysis module 1020, a loudness analysis module 1030, a transient analysis module 1040, and a rule-based control signal generator 1050.The output of the spectral analysis module 1020, the loudness analysis module 1030, or the transient analysis module 1040 may be processed within a multichannel analysis module 1060.The output of the rule-based control signal generator 1050 may be combined with outputs from other rule-based control signal generators within an aggregating rule-based control signal generator 1050.The output of the aggregating rule-based control signal generator 1070 may be processed within a processing module 1080, and may generate an output waveform 1090.FIG.", "11 illustrates a parallel single-channel analysis systems signal-analysis subsystem 1100 according to various embodiments of the present subject matter.", "FIG.", "11 demonstrates one embodiment of the signal-analysis subsystem in which channels of audio may be analyzed individually by parallel single-channel analysis systems, the output of which may be then further processed by a higher-level multichannel analysis system that may lead to modifications of the resulting single-channel control decisions.", "Parallel single-channel analysis subsystem 1100 may operate on multiple input audio waveform channels 1110.Each input audio waveform channel may be analyzed using a spectral analysis module 1120, a loudness analysis module 1130, a transient analysis module 1140, and a rule-based control signal generator 1150.The output of the spectral analysis module 1120, the loudness analysis module 1130, or the transient analysis module 1140 may be processed within a multichannel analysis module 1160.The output of the rule-based control signal generator 1150 may be combined with outputs from other rule-based control signal generators within an aggregating rule-based control signal generator 1150.The output of the aggregating rule-based control signal generator 1170 may be processed within a processing module 1080, and may generate an output waveform 1090.FIG.", "12 shows the dependence of perceived pitch on sound level 1200 according to various embodiments of the present subject matter.", "FIG.", "12 shows the dependence of perceived pitch on sound level as determined experimentally by Stevens S. for a particular test subject.", "This plot shows the percent change in perceived pitch 1220 as a function of sound intensity 1210 for a variety of frequencies spanning the audible range.", "Absolute position on the y-axis for each curve may be arbitrary only relative change may be depicted.", "FIG.", "12 demonstrates the finding that the perceived pitch of simple, sinusoidal tones with frequencies below about 2000 Hz decrease as the level of the tone increases while the perceived pitch of sinusoidal tones with frequencies above about 2000 Hz increase as the level of the tone increases.", "The changes depicted here for simple tones may be used to predict the effects of changes in sound level on the perceived pitch of complex musical tones and sounds, which may be used to determine appropriate compensatory pitch-shift amounts.", "FIG.", "13 shows the dependence of perceived pitch on sound level at low frequencies 1300 according to various embodiments of the present subject matter.", "FIG.", "13 shows the dependence of perceived pitch on sound level at low frequencies as interpreted from experimental results by Snow, W. in his 1936 paper, “Change of Pitch with Loudness at Low Frequencies” (J. Acoust.", "Soc.", "Am., vol.", "8, no.", "1, pp.", "14-19, 1936).", "Experimentally determined curves such as these may be used in determining appropriate compensatory amounts of pitch-shift to apply in response to changes in signal intensity or level.", "As discussed above with respect to Multiplexed Convolution, any implementation of the relationship between signal analysis and modification may be controlled or adjusted by higher-level parameters to select a particular relationship from a collection of options: for example, a high-level analysis of the input signals may determine the type of audio content that may be currently being processed (a general, individual instrument or some identified particular instrument, a complex sound mixture of multiple instruments, a sound mixture identified to belong within a particular genre of music, etc.)", "and use this determination to choose a particular relationship between analysis output and modification from a collection of relationships that may be each most appropriate for a certain type of content.", "Such high-level control and any lower level parameters of the relationship between analysis and modification may be exposed to an end-user through some type of interface for manual adjustment, or may be performed automatically.", "Once an analysis configuration and relationship between analysis results and signal modifications has been determined, time-varying modifications may be made to the perceived pitch of the input signals.", "These pitch shifts may be small, such as in the range of a shift in frequency of 0.015 to 1.15 percent (e.g., 0.25 to 20 cents, where 100 cents represents the difference between each semitone within an octave).", "These small pitch shifts may be in accordance with the small perceptual shifts in pitch that occur for complex musical sounds with relative changes in level, and different amounts of pitch or frequency shift may be applied to separate spectral bands of the input signal.", "In one embodiment of the presently disclosed subject matter, positive pitch-shift may be applied to signal content at frequencies above about 2000 Hz as signal levels fall below a nominal reference level in order to compensate for the decrease in perceived pitch that occurs for sinusoidal components in this frequency range as level decreases.", "In another embodiment of the subject matter, the input signal may be split into numerous frequency bands and varying amount of pitch or frequency shift may be applied to each band according to analysis results in order to compensate for the changes in perceived pitch associated with the frequency content of each band during changes in relative sound level.", "Several algorithms for applying pitch-shift or frequency-shift may be known to those skilled in the art of audio signal processing.", "Any one or multiple of these algorithms may be applied to the input signals or portions of the input signals (isolated frequency bands, for example) to achieve the desired changes in perceived pitch, as determined by signal analysis.", "If multiple algorithms were implemented and made available to the operator, the operator may determine which algorithm may be best suited to a particular bit of audio signal.", "Alternatively, analysis of that audio signal may be used to determine automatically which algorithm may be best suited to each portion of audio signal.", "Multiplexed Convolution A multiplexed convolution signal processing architecture applies multiple distinct types of processing to an input signal simultaneously in an adaptive, signal-aware way through the calculation of one or more time-varying convolutions.", "The convolution kernels may be associated with points in a multidimensional behavior space, where coordinates correspond to parameters of the distinct processes being implemented.", "The values of these parameters change over time in response to input signal properties, adaptively changing the convolution kernels and thus the results of processing.", "In the present case, such processing may be achieved through the calculation of generalized convolution operations between an input signal and a time-varying convolution kernel, where the content of the kernel varies in response to properties of the input signal.", "The mathematical operation of convolution between two functions, (f*g)(t)≡∫−∞∞f(τ)g(t−τ)dτ, (8) may be fundamental to linear systems analysis and may be well known in a variety of fields, including the field of signal processing.", "The output of any linear time-invariant (LTI) system may be defined for arbitrary input signals as the result of convolution between those input signals and a function known as the system's impulse response.", "This input-output relationship provides an extremely concise and powerful way of mathematically characterizing a broad class of systems that may be commonly encountered in the physical world and that may be frequently used in an endless variety of applications.", "In more common notation, this relationship can be expressed as (y)(t)≡∫−∞∞h(τ)x(t−τ)dτ, (9) for an input signal x(t), time-invariant system impulse response h(t), and corresponding output signal y(t).", "The convolution defined in equation (8) and equation (9) may be a linear operation and thus cannot be used directly to implement nonlinear processing.", "However, it may be possible to formulate the input-output relationship of a system whose behavior varies over time in response to characteristics of the input signal as a form of generalized convolution that may achieve nonlinear system behavior.", "The main difference between such an alternative formulation of convolution and the standard definition presented in equation (8) may be that the two functions, f and g, do not remain independent of one another.", "In terms of the more standard linear system notation presented in equation (9), the system response h(t) becomes dependent upon not just time t, but the input signal x(t) itself.", "While this introduced dependence may make the analysis of overall system behavior much more complicated, it also creates the possibility for more complex system behavior such as nonlinear processing.", "For example, we may use the definition (y)(t)≡∫−∞∞H{SHIFT−t{X}}(τ)x(t−τ)dτ, (10) where H{⋅} and SHIFTt{⋅} define operators whose domains may be the set X of all functions x with the real numbers as both their domain and range, X={x|x: R→R}, and whose ranges may be the same set of functions, written as H: X→X and SHIFTt: X→X.", "In this case, the operator H{⋅} defines the relationship between the input signal x(t) and the system response h(t) in a general way, and the operator SHIFTt{⋅}, defined as SHIFTt{X(α)}≡X(α−t), (11) serves the purpose of making the input-output relationship of the overall system time-invariant, meaning that the relationship between the input signal x(t) and the system response h(t) defined by H{⋅} takes into account only relative differences in time between input and output and has no sense of overall time.", "The generalized convolution operation defined in equation (10) characterizes the dynamic system through a particular kind of time-varying system function known as an input delay-spread function, albeit one that has the unusual property of being dependent upon the input signal itself.", "A similar definition with slightly different behavior may be written as (y)(t)≡∫−∞∞H{SHIFT−(t-τ){X}}(τ)x(t−τ)dτ, (12) where the dynamic system may be instead characterized through a time-varying system function known as an output delay-spread function.", "The difference between these types of time-varying system functions may be in whether the particular system function at time t, ht(τ), may be constant for a time t associated with the output signal being calculated or with the input signal being used to calculate the output.", "Otherwise, both definitions capture the same dependence of system behavior upon the input signal x(t) and provide essentially the same definition of a generalized convolution operation.", "The system definitions given in equations (10) and (12) make it possible to achieve both linear and nonlinear system behavior with the same general system architecture, and thus provide a unifying framework through which multiple different processes may be applied to a signal simultaneously; however, this type of general, dynamic system description has not been used to unify multiple simultaneous processes in this way previously.", "Because multiple distinct processes performed on an input signal can interact in complex ways when some of the processes may be nonlinear, and because various system architectures may exhibit different behavior when made to be time-varying, it may be desirable to devise such a unified system so that all individual processes may be controlled simultaneously in a way that takes their interactions into account and allows for the desired overall processing to be consistently achieved when making changes to parameters of one or more of the individual processes.", "A method for processing digital signals in order to simultaneously implement multiple linear and/or nonlinear processes in a general way that allows for control of interactions between each stage of processing and adaptive, signal-aware system behavior is disclosed.", "The method consists of defining a range of system behaviors, each designed to achieve the best overall processing results associated with a set of parameter values describing the individual implemented processes, and then analyzing input signal properties to determine the desired values for these parameters at each moment in time.", "The connection between analysis results and system behavior may be described by a multidimensional system-behavior space in which coordinates correspond to parameters of the distinct processes being implemented: Each designed system behavior may be associated with a point in this space, and analysis of input signal properties determines where in the space the system should currently be operating.", "All possible system behaviors may be described in a consistent and general way as one or more generalized convolutions whose outputs may be combined to generate the system output, allowing for smooth transitions and interpolations between specified system behaviors, control of interactions between the various implemented processes by way of total system behavior specification, and consistent time-varying behavior across all implemented processes.", "A unified system may simultaneously implement multiple linear and/or nonlinear processes in a manner that may be time-varying responsive to various characteristics of the input signal.", "Because processes implemented through different architectures may exhibit inherently different behavior when made to be time-varying and because the interactions between multiple stages of processing may be complex when nonlinear processes may be performed, such a unified system may be intended to allow for more consistent and detailed control of overall system behavior when multiple processes may be to be applied to an input signal simultaneously in a time-varying, signal-aware manner.", "A system that processes digital signals in a way that allows for the simultaneous application of multiple linear and/or nonlinear processes in a general, well-controlled, and signal-aware way is disclosed.", "The system takes as its input one or more digital signals.", "These signals may each be a series of digitally stored values representing the instantaneous amplitude of a band-limited waveform that has been sampled at regularly spaced moments in time.", "In many embodiments of the presently disclosed subject matter, these digital signals may represent digital audio signals, corresponding to the sampled amplitude of an audio waveform over time.", "The presently disclosed system both analyzes and modifies these input signals, with the results of input signal analysis determining the modifications to be performed at each point in time.", "This relationship between analyzed signal properties and resulting modifications may be established by indexing into a multidimensional system-behavior space with coordinates that correspond to parameters of the various individual processes being implemented.", "The results of input signal analysis at any given moment determine the desired parameter values for all of the individual processes, and thus a particular point in behavior space.", "The pre-determined (designed) system behavior associated with that particular point in behavior space may be then used to calculate the system output.", "As input signal properties vary over time, so do the associated point in behavior space, and thus the overall system behavior.", "FIG.", "14 demonstrates the multiplexed convolution system architecture 1400 according to various embodiments of the present subject matter.", "FIG.", "14 consists of a signal-analysis and processing-control subsystem 1420 and a signal-modifying processing subsystem 1440, each of which may handle multiple signals of data at once.", "FIG.", "15 demonstrates the multiplexed convolution signal-analysis and processing-control architecture 1500 according to various embodiments of the present subject matter.", "FIG.", "15 illustrates the system architecture of the subject matter with the signal-analysis and processing-control subsystem depicted in more detail as consisting of three main steps: signal analysis 1520, mapping from analysis results to a point in behavior space 1530, and processing a kernel look-up 1550 by determining current system behavior based on the location of that point in behavior space.", "Mapping to behavior space 1530 may receive metadata 1540 as input.", "Subsystem architecture 1500 may include a processing module 1560 that combines one or more input channels 1510 with the output of the kernel look-up 1550 to generate an output waveform 1570.FIG.", "16 shows a multiplexed convolution signal analysis processing subsystem 1600 according to various embodiments of the present subject matter.", "FIG.", "16 shows an embodiment of the signal analysis subsystem for a case where digital audio signals may be processed.", "Several types of analysis, including spectral analysis 1630, loudness analysis 1640, transient analysis 1650, and a multichannel relationship analysis 1660 may be performed.", "The analysis results may be combined with each other, or may be combined within a data packager 1670 to determine the desired individual process parameter values associated with current input signal properties, and thus the system's current location in behavior space.", "FIG.", "17 shows an exemplary three-dimensional, discrete behavior space 1700 according to various embodiments of the present subject matter.", "FIG.", "17 shows an exemplary three-dimensional, discrete behavior space, denoted Θ, where each parameter, θi (i=1 (1710), i=2 (1720), i=3 (1730)) can take on any of 8 integer values ranging from 0 to 7.In this example, it may be the case that three individual processes may be being implemented simultaneously and that each parameter controls the general degree to which each process may be applied to the input signal.", "Each process would thus operate at one of 8 levels.", "A system behavior may then be specified for each point in this space, taking potential interactions between the individual processes into account and ensuring that the behavior varies smoothly everywhere.", "FIG.", "18 presents an illustrative diagram of behavior space mapping and system-behavior determination operations 1800 according to various embodiments of the present subject matter according to various embodiments of the present subject matter.", "FIG.", "18 presents an illustrative diagram of the overall system architecture, similar to FIG.", "15, but using a graphical representation of the behavior space mapping and system-behavior determination operations.", "FIG.", "18 demonstrates the general system architecture of the subject matter with the signal-analysis and processing-control subsystem depicted in more detail as consisting of three main steps: receiving signal analysis 1810, identifying a behavior space 1820, parameterizing the input signal 1830, mapping the analysis results to a point in behavior space 1840, and processing a kernel look-up 1850 by determining current system behavior based on the location of that point in behavior space, and generating an output waveform 1860.FIG.", "19 shows an exemplary digital computer embodiment of the system-behavior determination operation based on look-up tables 1900 according to various embodiments of the present subject matter.", "FIG.", "19 shows an exemplary digital computer embodiment of the system-behavior determination operation based on look-up tables.", "In this embodiment of the present subject matter, the point in behavior space corresponding to the current determined individual process parameter values takes on integer values 1910.The integer values 1910 may each correspond with one or more indices within a multidimensional array of memory addresses 1920 stored in a computer.", "At each moment in time, the current parameter value vector 1910 may be used to index into this array 1920, and the memory address at the specified index may be followed to a block of memory 1930 that specifies the system behavior for that particular set of parameter values.", "The first entry in this block of memory 1930 may be a code that specifies a combination topology for the outputs of the LTI process 1940 or for the outputs of the generalized convolutions 1950.The remaining entries may contain one memory address per individual process.", "For example, the embodiment in FIG.", "19 contains P such processes.", "The first value pointed to by each of these individual process addresses may be a Boolean flag indicating whether the process may be linear time-invariant (LTI).", "If Boolean flag indicates that the process is LTI, the entries following the flag specify the impulse response for that LTI system.", "If the process may be nonlinear, the flag may be set to false, and the subsequent single entry may be a function pointer used to evaluate the generalized convolution kernel for that nonlinear process.", "This effectively implements the overall system again recursively, as may be discussed in detail later.", "This look-up process repeats until all individual processes terminate at a set of LTI system impulse responses, which may be then combined and evaluated according to the indicated output topologies in order to form the system output.", "While FIG.", "19 shows a simple example, more complex embodiments may require the storing and interpreting of additional metadata for each process and response.", "In order to enable the simultaneous implementation of several types of processing in this continuously time-varying and general way, all possible system behaviors may be discussed using a consistent, general architecture: at all times, the system performs one or more generalized convolutions with input signals and combines the results of these convolutions according to a topology consisting of series and parallel connections to form the output signal.", "Thus, each point in behavior space dictates a set of generalized convolution kernels and a topology for combining the convolution outputs.", "These convolution kernels and combination topologies may be designed to best achieve the overall processing results specified by the associated set of individual process parameters, taking possible interactions between processes into account, and may be designed to vary in such a way across nearby points in behavior space that overall system behavior may be guaranteed to vary smoothly while changing in response to properties of the input signal.", "By describing the overall system behavior in this way, the system may be able to manage interactions between multiple individual processes.", "It may be often easiest to describe a desired overall processing system as a signal-flow graph consisting of several discrete, well-understood parts that each serve a particular function.", "However, complicated interactions between the effects of these individual processes in the presence of nonlinearity generally dictate that when the parameters of one process may be changed, the parameters of other processes must also be changed in order to compensate and keep their contribution to the overall system equivalent.", "These compensating changes may be difficult to derive for many multi-process systems when using common parameterizations and implementation architectures for each discrete process, which may vary significantly depending on the type of process being implemented.", "Further complication arises when imposing time-varying behavior on a multi-process system in which the various processes may be implemented using different architectures, as each individual architecture may have unique restrictions on its ability to change over time and may produce varying artifacts or undesirable consequences when changing configuration or parameter values.", "In order to allow better time-varying control of multiple simultaneous processes, an alternative approach may be used to control overall system behavior through the adjustment of individual process parameters.", "In particular, the desired overall system behavior for each combination of individual process parameters may be specified through the use of one or more generalized convolutions that may or may not reflect various discrete processing components, and that can be designed without the restrictions of discrete component parameterizations in order to better maintain overall desired system characteristics.", "The system response kernels for these convolutions can then be made to vary smoothly in response to changes in individual process parameters, allowing the system behavior to change smoothly over time and in a way that preserves the desired overall processing across all possible behavior trajectories without the need for directly analyzing the complex interactions between individual processes.", "Furthermore, by implementing all processing as generalized convolutions, overall system implementation may be simplified: various processes may be achieved using varying generalized convolution kernels rather than varying architectures and techniques, and consistent time-varying behavior can be achieved across all processes in the system.", "Additional analysis and modifications are discussed below in more detail.", "Multiplexed Convolution: Adaptive, Signal-Aware Control of System Behavior The first step in determining the system response at any particular moment in time may be to determine where, in behavior space, the system should be operating based on the current input signal content.", "If we denote the location of the system in behavior space at time sample n as θ[n], we can express this procedure as evaluating part of the operator H{⋅} presented in equation (10) as θ[n]=Hθ{SHIFT−n{X}}, (13) where H{⋅}=Hh{Hθ{SHIFT−n{X}}} (14) gives a decomposition of the relationship between input signals x and system response h, denoted as H{⋅}, into two separate steps: one operation which analyzes signal properties and maps to a point in system behavior space, Hθ{⋅}, and another operation which maps from that point in system behavior space to a description of system behavior in terms of generalized convolution kernels and system topology, Hh{⋅}.", "Here, our behavior space, which we may refer to as Θ, may be a p-dimensional space, where p may be the number of parameters characterizing the operation of the system, and we have that θ[n]ϵΘ for all n. In general, Θ need not be a continuous space; in some cases we may only allow θ[n] to take on values from a specific, discrete collection of settings or values.", "Note that FIG.", "18 shows signal analysis and Hθ{⋅} as separate blocks.", "This may be caused by embodiments of the presently disclosed subject matter in which the types of signal analyses performed and the way that their results map into behavior space may be separable, such as depicted in FIG.", "18.However, it may be more useful to describe Hθ{⋅} as representing all processing from input signals to θ[n].", "In general, any available data may be analyzed and used to determine the value of θ[n] at each point in time, including additional metadata supplied to the presently disclosed system separately from the input signals themselves.", "Furthermore, the relationship between analysis results and θ[n], represented by Hθ{⋅}, may itself be controlled or adjusted by higher-level parameters resulting from signal analysis or external controls.", "Depending on the particular implementation, this may be thought of as selecting one Hθ{⋅} from a collection of options or as warping the function mapping between signal analysis results and points in behavior space by some additional operation W: Θ→Θ, as W{Hθ{⋅}}.", "An example of a high-level parameter that might be used in this manner would be a specification of the general type of digital signal being processed, either determined through automatic classification or through manual specification by an operator prior to processing.", "This capability of altering Hθ{⋅} itself may be most applicable to embodiments of the presently disclosed subject matter that may be used for processing numerous types of signals, where the types of signals are fundamentally different in structure.", "In other embodiments, this capability of altering Hθ{⋅} itself may be excluded when not needed.", "In embodiments of the presently disclosed subject matter where the disclosed system is applied to audio signals, input signal properties such as spectral content, energy or perceptual loudness, the presence of transient changes in intensity or energy.", "Additionally, signal property relationships between multiple input signals designed to be reproduced together acoustically using a multichannel speaker array may play a large role in determining θ[n] at any moment in time.", "An exemplary signal-analysis subsystem that considers these properties may be depicted in FIG.", "18.In these embodiments, higher-level parameters such as the type of audio content contained in the signal (a specific instrument, speech, a musical recording adhering to a particular genre, etc.)", "may be determined automatically from analysis of the audio signals or specified manually by an operator through some type of user interface in order to determine the appropriate behavior space mapping Hθ{⋅} for the current class of signal.", "In one embodiment of the present subject matter, the entire durations of previously recorded digital signals may be analyzed and considered when determining the varying modifications to be made across the duration of the signals.", "In another embodiment of the presently disclosed subject matter, modifications may be made to digital signals in real-time that may be, as they may be being created, recorded, or presented as a stream of data.", "In this embodiment, analysis of the digital signals' previous and current content may be used in determining the modifications to be made to the signals at the present moment, or an overall delay may be imposed on the throughput of the system such that some amount of future data may be considered when determining the modifications to be performed.", "Furthermore, analyses of multiple signals that may be somehow related may inform the processing of those signals in any relevant way.", "For example, the same modifications may be applied to multiple digital signals as determined by analyses performed on each signal in the collection, multiple signals may be analyzed and modified independently, or an analysis of an entire collection of signals may inform the varying modifications to be made to each signal in the collection.", "Each of these exemplary configurations as well as other analysis and control configurations may be relevant and useful in various contexts.", "These analysis configurations are presented as illustrations of the wide range of possible configurations, and additional analysis configurations may be used.", "Practically, the connection between analyzed signal properties and associated points in behavior space, Hθ{⋅}, may be established in any suitable form that may be accessible by the system.", "In one embodiment of the presently disclosed subject matter, this relationship may be stored as a collection of pre-determined digital look-up tables that relate results of signal analysis to points in behavior space.", "Such look-up tables may be indexed by raw, numerical analysis results, by higher-level analysis results based on a synthesis of several signal properties including logical, rule-based processing of basic analysis results, or by some other result of signal analysis.", "They may map to a discrete-valued behavior space, Θ, or have tabulated values that may be interpolated in order to map into a continuous Θ.", "In another embodiment of the subject matter, this relationship may be established by a set of parameterized equations that relate numerical results of signal analysis to coordinates in a continuous Θ.", "It should be noted that the parameterizations of individual processes reflected in the coordinates of the behavior space Θ may correspond to a wide range of characteristics varying from low-level mathematical parameter values to high-level parameters describing the overall effects of processing or the degree of processing applied.", "The connection between points in behavior space and implemented system behavior, Hh{⋅}, may be chosen during design of a specific embodiment and may be entirely general; the relationship may be any that can be captured by the specification of system behavior associated with each point in behavior space, as may be discussed in more detail in the following subsection.", "Multiplexed Convolution: Description and Implementation of System Behavior Various system behaviors may be achieved through the calculation of one or more generalized convolutions, and by combining the convolution outputs according to a specified system output topology.", "For applying these behaviors to recorded digital signals, modifications of equations (10) and (12) may be used to calculate the discrete-time generalized convolution outputs as y  [ n ] = ∑ m = - ∞ ∞  H  { SHIFT - n  { x } }  [ m ] × [ n - m ] ( 15 ) in the input delay-spread function case and y  [ n ] = ∑ m = - ∞ ∞  H  { SHIFT - ( n - m )  { x } }  [ m ] × [ n - m ] ( 16 ) in the output delay-spread function case, either of which may be used.", "Each point in system-behavior space may be associated with a set of generalized convolution kernels and an associated output combination topology designed to achieve the specified underlying individual process parameters.", "With H{⋅} subdivided as in equation (14), this may be represented by the operator Hh{⋅}, which provides a mapping from points in behavior space Θ to the overall system response.", "Ultimately, this response may be characterized by a collection of traditional convolution kernels, one for each of P individual processes, hi[n], i=1, .", ".", ".", ", P, and a specified topology for evaluating and combining the outputs of these standard convolutions.", "Convolution with each kernel may be then evaluated using the standard discrete-time convolution operation, y i  [ n ] = ∑ m = - ∞ ∞  h i  [ m ] × [ n - m ] , ( 17 ) where hi[m] may be zero for all m<0 (as in causal systems), or at least for all m<M for some negative integer M (as in systems with some finite amount of look-ahead).", "In various embodiments, system behavior may not be specified directly for all points in Θ, particularly if Θ may not be a finite set.", "The set of points in Θ for which system behavior may be specified may be denoted Θh.", "If H74 {⋅} may be allowed to output parameter vectors θ that may not be in the set Θh, system behavior for those points may be determined by interpolating the generalized convolution kernels associated with nearby points in Θh.", "In some embodiments of the subject matter, system behavior may be defined for all points in continuous dimensions of Θ using parametric definitions of the generalized convolution kernels or through the re-calculation of these kernels as the result of some parametric system equation whenever changes may be made to that parameter value.", "Various embodiments matter may specify other generalized convolution kernels and combination topologies associated with each point in Θh.", "For linear time-invariant processes, a single convolution kernel hi[n] corresponding to the impulse response of the LTI process may be specified for each point in Θθ, as this characterizes the process entirely.", "For nonlinear processes, a generalized convolution kernel H{⋅} may be specified for each point in Θθ.", "Note that this definition may be somewhat recursive, as the overall system may be characterized by a single generalized convolution operation as well; however, the generalized convolution kernels associated with individual processes may be t much simpler than the generalized kernel describing the overall system, as they may be meant to implement one particular function and not a collection of simultaneous functions.", "These individual process kernels may involve a mapping into a low-dimensional process-behavior space (one or two dimensions may be common) and performing only low-level analysis of signal properties such as amplitude and average energy.", "Furthermore, individual process kernels may associate only traditional convolution kernels, hiθ[n], with each point in their process behavior spaces, meaning that various embodiments of the present subject matter may contain only two levels of generalized convolution kernel hierarchy.", "In general, any number of levels of hierarchy may exist; we place no restrictions on the possible complexity of particular embodiments here.", "Traditional convolution kernels (as used to characterize LTI systems) may be represented as a series of digitally stored numbers, representing the impulse response of a process, hi[n], over time n. Generalized convolution kernels may be stored in a manner analogous to that discussed here for the overall system: for the i-th process, a relationship between input signal analysis results and process parameter values may be specified through a relationship Hiθ{⋅} stored as discussed in the previous subsection, and a relationship Hih{⋅} may be again specified that maps from these parameter values to actual system responses.", "As stated previously, individual process behavior-space mappings Hih{⋅} may only map to a set of traditional convolution kernels, hiθ[n], rather than mapping again to a set of generalized convolution kernels.", "These traditional convolution kernels may be then each represented as a series of digitally stored numbers.", "In all cases, the hierarchy of processes may eventually terminate at sets of traditional convolution kernels together with a topology for evaluating the sets of traditional convolution kernels.", "This information may then be used to reduce the process to a single traditional convolution kernel representing the overall response of that process at time n, starting at the last level of hierarchy and working back up to the first generalized convolution kernel addressed by the overall system.", "In this way, evaluation of each individual process by the overall system, whether linear or nonlinear, may yield a single traditional convolution kernel describing that system's behavior at the current time moment, hi[n].", "These may be then evaluated at the top level to yield the system's overall output for that time.", "A system output topology must be specified in order to evaluate the overall response or output of a generalized convolution with more than one sub-process.", "This specification may be made in any way that may be accessible to and usable by the system.", "In one embodiment of the presently disclosed subject matter, predefined codes are used to indicate the particular topologies to be used.", "A code key containing one value for each possible process topology may be constructed, and a single code value may be associated with each point in behavior space in order to specify the particular topology that may be to be used.", "This embodiment may be illustrated in FIG.", "18.However, other embodiments may be used where a single topology may be suitable for all possible system behaviors.", "Using our developed notation, it should be noted that this method does not pertain to a specific choice of behavior space Θ, or particular choices of the relationships H74 {⋅} or Hh{⋅}, but rather to the overall system architecture and the way in which system behavior may be specified and implemented.", "This unified architecture may enable well-controlled, continuously time-varying, and input-signal-dependent system behavior.", "Automated Polarity Correction of Digital Audio A polarity correction signal processing technique modifies digital audio recordings to correct the polarity of component waveforms.", "These corrections compensate for a lack of standards in recording technique and equipment and improve the experience of listening to digital audio by restoring natural absolute polarity to recorded sounds.", "Acoustic waves in air may be comprised of compressions and rarefactions to pressures above and below ambient levels.", "During the process of electrically recording and later reproducing these temporary changes in air pressure using microphones, recording media, and loudspeakers, headphones, or other playback devices, it may be easy for the polarity of these pressure changes to become reversed such that the reproduced acoustic waveform has rarefactions at times that the original waveform had compressions and vice-versa.", "Whether or not humans can perceive such reversals in the absolute polarity of sound waves has been a topic of research and, at times, controversy; however, both scientific and anecdotal evidence exists that supports the reality and importance of correct absolute polarity in the perception of sound.", "At a low level, it has been observed that the peripheral auditory system of cats exhibits “microphonic” electrical responses that differ in polarity when presented with pulses of compression and rarefaction, demonstrating that the absolute polarity of sound does affect the fundamental input signal to the auditory system.", "Studies of spatial sound perception in humans have further supported the theory that different neural signals may be transmitted from the peripheral auditory system in response to compression and rarefaction stimuli, in some cases going so far as to indicate that the human auditory system may only respond to one of the two conditions (rarefaction), meaning that the auditory system receives only a half-wave rectified version of full acoustic signals.", "At a higher level, evidence of perceptual sensitivity to absolute polarity has been demonstrated in several experiments involving human listeners.", "For example, when presented with periodic waveforms that differ in shape during the positive (compression) and negative (rarefaction) portions of each period, listeners have been able to identify reversals in absolute polarity with nearly 100 percent accuracy for some waveforms and listening volumes.", "Furthermore, the previously mentioned experiments in spatial hearing have demonstrated that the absolute polarity of transient signals can affect their perceived timing.", "Together, these results demonstrate that absolute polarity may be important to the perception of both sustained and transient sounds.", "Significant anecdotal evidence in support of sensitivity to absolute polarity exists in the audio community as well, with many advocates stating that correct absolute polarity has substantial positive effects on perceived sound quality and suggesting explanations for why others may feel that they cannot perceive a difference in quality associated with the polarity of recordings.", "Often, these explanations include the fact that many modern recordings do not allow for the clear observation of correct absolute polarity during playback because the recordings may be comprised of many channels of audio that have been mixed together with little concern for the preservation of absolute polarity, resulting in recordings that contain a mixture of sounds with both natural and unnatural polarities.", "In this case, reversing the polarity of a recording as a whole during playback (by reversing the leads on a speaker, for example) cannot simultaneously correct the polarities of all of the component sounds, resulting in little discernible preference for either overall polarity and masking the perceptual benefits of correct absolute polarity.", "Perhaps surprisingly to the layperson, the audio industry has only begun to adopt standards and practices to regularize and preserve the absolute polarity of recorded music in any widespread manner during the last twenty years.", "Even through the 1980's, a universal agreement was lacking between recording studios in Europe and the USA (and between studios within the USA itself) on how the leads of the ubiquitous three-wire balanced line, or XLR cable, should be related to absolute polarity.", "Because this type of cable can be wired in two configurations that each carry sound but that produce output with opposite polarities, this lack of standardization inevitably resulted in numerous polarity inconsistencies, particularly in recordings where individual tracks were recorded in multiple studios and later combined.", "Furthermore, makers of electronic hardware devices that process, amplify, or mix electrical audio signals have never standardized design or reporting of the absolute polarity of their devices; in many cases it may be left to careful engineers to perform tests on equipment themselves in order to determine if the device outputs signal with the same or opposite polarity as its input.", "While in many cases modern digital audio workstations can aid in the identification of absolute polarity errors during recording and mixing, the music industry's history of general disregard for absolute polarity has continued to dominate recording and equipment-design practices, and even today the preservation of absolute polarity may be generally only considered at the very highest levels of professional audio work.", "Considering that the vast majority of the publics' consumed popular music, including the several trillions of digital multimedia files available online, may be increasingly being produced by small independent studios or personal residential studios where even the most basic polarity-aware practices may be lacking, it may be no surprise that most modern audio recordings continue to exhibit a mix of absolute polarities which cannot be corrected simply by inverting output as a whole during playback.", "Because of this, it may be desirable to develop digital signal processing techniques by which the absolute polarities of the individual sounds making up a recorded audio mixture may be corrected independently so that natural absolute polarity can be restored to all elements of a completed recording simultaneously.", "A method for processing digital audio signals in order to correct the polarities of the individual component sounds that make up a recorded audio mixture, or song, may be disclosed.", "The method consists of analyzing a digital audio recording and inverting component waveforms that may be determined to exhibit unnatural absolute polarity.", "Both transient events and sustained content (e.g., steady-state content) may be considered and processed.", "This method may compensate for modern recording techniques and equipment that fail to preserve the natural absolute polarity of recorded sounds and thus produce final mixed recordings where component sounds exhibit a combination of natural and inverted polarities.", "By establishing natural absolute polarity for all individual sounds without otherwise altering the content of these audio recordings, this method improves their perceived quality without changing their creative content.", "FIGS.", "20A-20D illustrate an audio mixture decomposition 2000 according to various embodiments of the present subject matter.", "FIG.", "20A illustrates an audio mixture including recorded sounds with transients and sustained components.", "The audio mixture in FIG.", "20A may include a sustained component 20B (e.g., steady-state component) and a transient component 20C-20D.", "Transient events may include a first transient component 20C or a second transient component 20D.", "This mixture may be decomposed into its components for further analysis and polarity correction.", "FIGS.", "21A-21C show the beginnings of the transient audio events 2100 according to various embodiments of the present subject matter.", "FIGS.", "21A-21C show the beginnings of the transient audio events shown in FIG.", "1, demonstrating that the polarities of these two percussive waveforms may not be consistent; in fact, transient component 1 shown in FIG.", "21A, corresponds to the waveform generated by an acoustic kick drum that has been recorded with inverted and unnatural polarity, while transient component 2 shown in FIG.", "21B corresponds to a waveform generated by an acoustic snare drum and recorded with absolute polarity preserved.", "FIG.", "21C shows the effect of inverting the polarity of a component waveform: the sign of the waveform values may be simply inverted, interchanging compression and rarefaction in the eventually reproduced acoustic wave.", "The system takes as its input one or more signals that each represent the amplitude of an audio waveform over time.", "These signals may each be a series of digitally stored values representing the instantaneous amplitude of a band-limited waveform that has been sampled at regularly spaced moments in time.", "In one embodiment, the system initially analyzes the input signal(s) to identify transient events: regions of time where one or more properties of the signal change rapidly.", "In audio signals, these events may coincide with percussive sounds, both tuned (mallet instruments, piano, plucked strings, etc.)", "and untuned (drums, traditional percussion), but these events may include any kind of signal whose energy level or other properties change significantly over a period of time relevant to the momentary perception of sound (for example, such time periods may be on the order of ones to tens of milliseconds).", "Those proficient in the art of audio transient analysis may know of numerous approaches to the detection of various types of transients in audio material.", "Any combination of these techniques may be used to identify transient events contained in a digital audio recording.", "Once a transient event has been identified, a model of the surrounding audio may be formed, and the transient content may be separated from its background signal content.", "In doing so, various modeling techniques may be used.", "The particular type of model and modeling parameters used to separate each transient event from its background may depend on an initial analysis of the region of audio under inspection, and may be chosen by automatic means with the goal of optimally preserving all perceivable properties of the recorded sound.", "After separation, the polarity of the transient content may be examined to determine if the initial rise of the signal and overall polarity correspond to naturally occurring absolute polarity.", "If the component waveform may be already found to have natural absolute polarity, the background model and separated transient waveform may be discarded and that time-region of the original audio recording may be left unaltered.", "If the transient component waveform may be found to have unnatural polarity, it may be inverted to restore natural absolute polarity and then recombined with the rest of the audio mixture using the previously established background model.", "This corrected and recombined time-region of the mixture may then undergo additional automatic processing to match the regions of the original recording optimally that come before and after it in time.", "In addition to this treatment of transient events, the polarity of sustained components (e.g., steady-state components) of an audio mixture may be analyzed.", "In this case, a further analysis of the audio recording may be performed after the polarity of transient waveforms have been analyzed in order to determine if the surrounding sustained or steady-state content has natural absolute polarity.", "If it may be determined that both the background content and the transient content it surrounds have unnatural polarity in need of correction, the entire waveform of the original recording may be inverted over the duration of the examined time-period in order to restore natural absolute polarity to the entire mixture instead of inverting only the transient component and then recombining it with a model of the mixture.", "In general, when considering both transient and sustained sound polarity, the entire digital audio recording may be analyzed to determine where background models should be used and where original waveforms may be inverted in full to restore consistently natural absolute polarity.", "Various embodiments may optimize these determinations to avoid the use of background-model-based separation and recombination wherever possible in favor of direct, complete polarity reversals of the original digital audio recording.", "It should be noted that in general any processing may be adaptive and may adjust to the content of the particular digital audio recording being processed such that optimal results may be achieved.", "In particular, various analysis and modeling techniques may be chosen for use based on automatic analysis of the digital audio recording's content, or may optionally be specified by an operator using either high-level controls that emphasize particular goals or low-level controls that directly indicate and parameterize the algorithms used.", "In one embodiment of the present subject matter, an emphasis may be placed on correcting low-frequency transients that often correspond to kick drums and similar low-frequency percussion.", "Because these components of an audio recording often contain considerable energy and exhibit waveforms that may be highly asymmetrical in their initial attack period, they may be especially good candidates for perceptual sound improvement by absolute polarity correction.", "In this embodiment, transient detection schemes that favor or exclusively target low-frequency transients may be employed, and background signal modeling for isolation of the transient may leverage the fact that only low-frequency content need be isolated.", "In other embodiments such an emphasis may be placed on low-frequency transients.", "In another embodiment, a digital audio recording may be analyzed in its entirety for a DC bias and inverted if necessary to establish a positive DC bias, thereby establishing a predominantly positive (compressive) polarity for the recording.", "This embodiment may be perhaps the simplest illustration of an absolute polarity correction that does not explicitly analyze the initial rise of transients or other component waveforms, and that may be suitable for use in correcting the absolute polarity of sustained waveforms with initial rises and polarities that may be more difficult to identify.", "Various techniques may be used to establish correct absolute polarity for sustained or other component sounds.", "Use of the Identification Word to Obtain Device, Service, and Settings Information A method enables identification of the audio transducer and application of the identifier to enable enhancement algorithms may be used to enhance the listening experience.", "The identification may contain a unique multi-bit identification word, created during manufacture of the device, which may be interrogated by a silent pulse through the audio connection.", "The identification word may be used to lookup information in one or more databases.", "The databases may reside on the player device, as well as on remotely connected systems, such as cloud-based content delivery systems.", "Users of these systems may purchase and register to enable premium audio enhancements, using the identification word to identify the user and the device uniquely.", "FIG.", "22 demonstrates a Digital Human Interface Identifier (DHI-ID) Serial Protocol 2200 according to various embodiments of the present subject matter.", "Various methods may be employed to interrogate the identifier from the audio transducer.", "In one method, the identification process may originate with a 16-bit ultra-sonic inquiry command sent from an audio port to the audio transducer.", "The transducer may respond with a unique identifier of between 24 and 48 bits, called the Digital Human Interface Identifier (DHI-ID).", "In one implementation, a DHI-ID enabled smart phone may poll the ear buds via the same wires that carry the audio signal to the ear buds.", "The DC support power for the DHI-ID identification circuit in the ear buds may be derived from the polling command from the smart phone.", "As illustrated in FIG.", "22, the poll signal may be a series of interrogation pulses, using a 20 msec ramp up 2210, 1 msec sustain 2220, and 20 msec ramp down 2230, so as to be inaudible to the user.", "The pulse train may use a voltage level of 1.6 volts to represent a binary 1 value 130 and may use 0 volts to represent a binary 0 value 140, though other voltage levels may be used.", "The pulse train may provide the DC power to a passive IC in the ear buds.", "FIG.", "23 demonstrates a Digital Human Interface Identifier (DHI-ID) Serial system 2300 according to various embodiments of the present subject matter.", "In one example, the identification process may originate with a 16-bit ultra-sonic inquiry command sent from an audio port of a playback device 2320 to an audio transducer 2330.The transducer 2330 may respond with a unique identifier of between 24 and 48 bits, called the Digital Human Interface Identifier (DHI-ID).", "Once fully powered, upon plugging the DHI-ID ear buds into the smart phone, the bits of the identification word may be sent back to the smart phone during the 1 msec sustain period.", "An identification word of 24 to 48 bits may be transmitted, allowing for identification of over 280 trillion devices.", "In another implementation, the sustain time 120 may be extended by an additional 750 microseconds, to allow the enabling device to transmit data to the transducer, to write information to non-volatile memory in the DHI-ID transducer device.", "On subsequent interrogations, the data in non-volatile memory becomes part of the DHI-ID identification word, and can provide user-customized settings for the device.", "A button may also be provided with the audio transducer to initiate the identification process, and enable or disable the use of the DHI-ID.", "The identification word (DHI-ID) may be used to obtain the device specifications, service information, and settings, collectively called the DHI-SSS.", "The DHI-ID may be a key used for lookup in databases local to the player, and in remote server databases.", "The device specifications include the manufacturer, date, model, color, impedance, frequency response, output sensitivity, dimensions, acoustic dimension, and other characteristics of the device.", "The specifications may be provided by the manufacturer for each device model that supports DHI-ID features.", "The device specifications can be used to control parameters of the sonic processing algorithms, such as frequency response to control equalization.", "Service information includes whether the device may be permitted to enable proprietary sonic processing for enhanced acoustic reception at the host device or at a streaming head end content source, such as head end 1 2310, head end 2 2340, or head end 3 2350.These non-manufacturer, non-user settings may be derived from a stored enabling device rewritable look-up table, or, other updatable online file sources, in the player 2320, the transducer 2330, or the cloud storage/processing (e.g., head end 2 2340).", "User-controllable settings may include EQ parameters, sensitivity, and other listener/user settings.", "Several novel aspect of this system that can be appreciated including (a) allowing the consumer to purchase an Acoustic Processing DHI-SSS enabled set of headphones, or (b) allowing the use of these headphones to enable free premium audio processing or a premium right to listen, which may be built into and sold with the hardware itself.", "Because service information may resides in updatable files which can reside either in the rewritable chip in the ear bud or headphone, in the player devices look up tables, or at the head end, a consumer can buy a subscription to an upgraded sound along with their listening hardware, and, can carry that permission within their audio playing device or earphones or ear buds.", "As well, the rights could be also be made dynamic, and, if necessary, terminated after a subscription expiration date, in the same three locations, cloud, player or ear bud/DHI enabled hardware.", "The system uses the DHI-SSS information to control, acoustically alter and/or process the content that may be being delivered to the audio transducer from various sources, including stored local content and streaming content from a head-end.", "The DHI-SSS may be used to control the processing of modified audio and video at the content's storage and consumer streaming source location, for both streamed and broadcast sources, as directed by the DHI-SSS derived from the DHI-ID from the listening device, or by other means.", "Processing of the content can be performed on the server and stored for later streaming, or processed in real-time by the server, or processed in real-time by the player if processing bandwidth may be sufficient.", "The process controller may select/enable DHI-ID content processing in both streaming and broadcast usage.", "The DHI-ID controls the processing originating from streaming web (or any other digital transmission system) head-end server(s), or from broadcasting radio transmitter(s), for the purposes of achieving an enhanced Digital-Human Interface (DHI) customer content experience, or any other processing necessary.", "This processing may be used a business-to-consumer function, and may also be used as a business-to-business function.", "The user may have content processing available both on-command from the Server/Broadcast end or by selecting one or more control inputs.", "Control inputs may include selection of a song (e.g., track), a video, or a duration.", "For example, the duration may be selected as always active, active hourly, active for any unit of time, or active according to a pre-determined time pattern.", "Example instances may include only active on weekends, only active on afternoons, active for 30 days total, active for the first 10 songs, active for every purchased movie over $12 in cost to the consumer, or another duration.", "The duration may be selected by the service providing the content or by the consumer.", "The processing software may be installed automatically at any processing location using an automated, process-controller controlled batch process.", "Automatic installation may occur instantly or over a pre-determined time.", "Installation may be configured to allow the process to be deployed quickly and effectively.", "The processing control innovation includes a dual-input, online visual control interface allowing the streaming operators to select which stream(s) may be processed, as well as processing them according to the multiple processing job combinations.", "The control interface can have as its input selections for processing made by the consumers' elective (paid for, in general) upstream choices.", "An example of this upstream dashboard input would be the use of a consumer smart phone app that would allow the consumer to pay for DHI-ID processing of songs to be streamed to them.", "The streaming/broadcast control innovation allows for the operator choice of processing the content a) in a batch format, thereby having the processed content reside on the source storage element permanently, giving two existing versions of the content for the control process controller to select from, or b) in real-time, where each individual content unit (stream or broadcast) may be processed anew for each processor controller request.", "Usage configuration (b) may halve the content file storage requirements, and usage configuration (a) may correspond to the instantaneous, no real-time CPU processing necessary.", "FIG.", "23 demonstrates the use of the DHI-ID and DHI-SSS in an exemplary system.", "The Audio Transducer may be polled by a playback device 2320, such as a smart phone or tablet.", "The Audio Transducer responds with its identifier, the DHI-ID.", "Content may reside in several locations in the system, including the playback device, head-end distribution channels, and cloud storage.", "In one use scenario, the DHI-ID may be used to retrieve the DHI-SSS from an internet database.", "The service information of the DHI-SSS may be then used to enable processing algorithms of content stored locally on the playback device.", "In another use scenario, the DHI-ID may be sent to a head-end processor.", "The identifier may be used to enable the real-time processing of content that may be streamed from the head-end to the playback device.", "In a third scenario, content has been placed in cloud storage.", "The content may be pre-processed by the cloud, or processed in real-time as files may be transferred from the cloud to the playback device.", "In all cases, the types of processing may be enabled by the service information and controlled by parameters included in the DHI-SSS.", "The following sections describe specific audio enhancement algorithms that can be enabled to provide an improved listening experience.", "FIG.", "24 demonstrates a recorded sound processing system 2400 according to various embodiments of the present subject matter.", "System 2400 may be configured to perform one or more of signal processing methods 2410, 2420, 2430, 2440, 2450, 2460, and 2470.Any of the methods in system 2400 may be used individually or in any combination with any other method in system 2400.All signal processing methods 2410, 2420, 2430, 2440, 2450, 2460, and 2470 may be used simultaneously.", "In various embodiments, system 2400 may be configured to perform any one or any combination of methods 2410, 2420, 2430, 2440, 2450, 2460, and 2470.In various embodiments, system 2400 may be configured to perform any combination of methods 2410, 2420, 2430, 2440, 2450, 2460, and 2470 simultaneously, concurrently, and/or at different times.", "In various embodiments, any systems and methods including their various examples as discussed in this document and their various combinations may be implemented in a system such as system 2400.The methods in system 2400 may include the methods discussed above.", "System 2400 includes a method to simulate nonlinear properties of sound 2410, which may use a sound processor to model in-air mixing and live performance to implement the Digital Audio Processing to Simulate the Nonlinear Properties of Sound Propagation and Mixing in Air.", "Method 2420 includes priming signal to implement Digital Signal Processing of Priming Signal for Reduction of Stress in the Auditory System, in which a preconditioned signal is mixed with delayed actual signal to reduce listening stress.", "Method 2430 includes Restoration of motion and dynamic timbre, which uses a sound processor to phase and flange to recreate motion and liveliness to implement Digital Audio Processing for the Restoration of Motion and Dynamic Timbre.", "Method 2440 includes automatic pitch correction, which uses a sound processor to pitch correct based on level to implement Automatic Level-Dependent Pitch Correction of Digital Audio.", "Method 2450 implements Multiplexed Convolution, which processes sound with adaptive and time-varying convolutions.", "Method 2460 includes polarity correction, which uses a sound processor to restore natural audio polarity due to lack of recording standards to implement Automated Polarity Correction of Digital Audio.", "Method 2470 includes automatic transducer identification, which provides processed sounds as a function of sensed transducer to implement Use of the Identification Word to Obtain Device, Service, and Settings Information.", "Various examples of the present subject matter are provided as follows: Example 1 includes a method for simulating propagation and mixing of sounds in air, the method comprising selecting a relationship between a virtual audio listener location and a virtual source location within an audio interaction volume, the audio interaction volume associated with a first audio waveform, the first audio waveform including audio generated using a first audio isotropic source; and, generating a second audio waveform using the first audio waveform, the second audio waveform including a plurality of simulated intermodulation products corresponding to the relationship between the virtual audio listener location and the virtual source location.", "Example 2 includes the method of example 1, the first audio waveform further including audio generated using a second isotropic audio source.", "Example 3 includes the method of any of examples 1-2, further including generating a simulated mixed output waveform, the generating including applying a first gain to the first audio waveform to generate a first amplified waveform, applying a second gain to the second audio waveform to generate a second amplified waveform, and summing the first amplified waveform and the second amplified waveform to generate the simulated mixed output waveform.", "Example 4 includes the method of any of examples 1-3, further including transducing the simulated mixed output waveform into audible sounds.", "Example 5 includes the method of any of examples 1-4, further including identifying an audio sample within the second audio waveform, identifying a frequency of the audio sample, and generating a frequency-dependent sample by applying frequency-dependent linear filtering to the audio sample, the frequency-dependent linear filtering simulating a frequency-dependent attenuation of the audio sample as the audio sample propagates through air.", "Example 6 includes the method of any of examples 1-5, further including receiving the first audio waveform from a remote source.", "Example 7 includes the method of any of examples 1-6, further including sending the simulated mixed output waveform to a remote physical audio listener location.", "Example 8 includes a system for simulating propagation and mixing of sounds in air, the system comprising a digital signal processing mixing simulation module, the simulation module configured to select a virtual source location within an audio interaction volume, the audio interaction volume associated with a first audio waveform, the first audio waveform including audio generated using a first audio isotropic source; select an observation location corresponding to a virtual audio listener location; and, determine a second audio waveform using the first audio waveform, the second audio waveform including a plurality of simulated intermodulation products corresponding to the observation location; and, a summing amplifier module configured to generate a second audio waveform, the second audio waveform including the first audio waveform and the second audio waveform.", "Example 9 includes the system of example 8, the first audio waveform further including audio generated using a second audio isotropic source.", "Example 10 includes the system of any of examples 8-9, further including a speaker, the speaker configured to transduce the second audio waveform into audible sounds.", "Example 11 includes the system of any of examples 8-10, further including a first amplifier module configured to apply a first gain to the first audio waveform to generate a first amplified waveform, and a second amplifier module configured to apply a second gain to the second audio waveform to generate a second amplified waveform, wherein the summing amplifier module is configured to sum the first amplified waveform and the second amplified waveform to generate the second audio waveform.", "Example 12 includes the system of any of examples 8-11, further including a frequency-dependent linear filter module, the frequency-dependent linear filter module configured to identify an audio sample within the second audio waveform, determine a frequency of the audio sample, and generate a frequency-dependent sample by applying frequency-dependent linear filtering to the audio sample, the frequency-dependent linear filtering simulating a frequency-dependent attenuation of the audio sample as the audio sample propagates through air.", "Example 13 includes the system of any of examples 8-12, further including a communication module, the communication module configured to receive the first audio waveform from a remote source.", "Example 14 includes the system of any of examples 8-13, the communication module further configured to send the second audio waveform to a remote physical audio listener location.", "Although the subject matter has been explained in relation to its preferred embodiment, it may be to be understood that many other possible modifications and variations can be made without departing from the spirit and scope of the subject matter as hereinafter claimed." ] ]
Patent_15875721
[ [ "RECURSIVE PUMPING FOR SYMMETRICAL GAS EXHAUST TO CONTROL CRITICAL DIMENSION UNIFORMITY IN PLASMA REACTORS", "Embodiments of the present invention provide apparatus and methods for reducing non-uniformity and/or skews during substrate processing.", "One embodiment of the present invention provides a flow equalizer assembly for disposing between a vacuum port and a processing volume in a processing chamber.", "The flow equalizing assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The first and second plates define a flow redistributing volume therebetween, and the at least one first opening and the two or more second openings are staggered." ], [ "1.A method for pumping a processing chamber, comprising: directing fluid flow from a processing volume to a vacuum port through a flow equalizer assembly, wherein the flow equalizer assembly comprises a first plate having at least one first opening, and a second plate having two or more second openings, the at least one first opening and the two or more second openings are staggered, and directing the fluid flow comprises: flowing one or more gases from the processing volume through the two or more second openings to a flow redistributing volume defined between the first and second plates; and flowing the one or more gases from the flow redistributing volume through the at least one first opening to the vacuum port.", "2.The method of claim 1, wherein flowing one or more gases to the flow redistributing volume comprises flowing the one or more gases through each of the two or more second openings to a corresponding sub-redistributing volume divided by two or more dividers positioned between the first and second plates, and each of the sub-redistributing volume is in connection with one of the at least one first opening.", "3.The method of claim 2, further comprising adjusting locations of the two or more dividers to adjust flow distribution in the flow redistributing volume.", "4.The method of claim 2, further comprising adding an additional first divider between the first and second plates to create a sub-redistributing volume that is not in fluid connection with any first openings or second openings.", "5.The method of claim 1, further comprising tuning the flow equalizer assembly to obtain symmetric fluid flow in the processing volume.", "6.The method of claim 1, further comprising tuning the flow equalizer assembly to compensate structural non-symmetries in the processing chamber." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>Embodiments of the present invention provide apparatus and methods for reducing non-uniformity and/or skews during substrate processing.", "One embodiment of the present invention provides a flow equalizer assembly for disposing between a vacuum port and a processing volume in a processing chamber.", "The flow equalizing assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The first and second plates define a flow redistributing volume therebetween, and the at least one first opening and the two or more second openings are staggered.", "Another embodiment of the present invention provides an apparatus for processing one or more substrates.", "The apparatus includes a chamber body defining a processing volume, wherein a pumping port is formed through the chamber body for connection with a vacuum pump, a substrate support disposed in the processing volume for supporting a substrate thereon, a gas delivery assembly configured to deliver one or more processing gas to the processing volume, and a flow equalizer assembly disposed between the processing volume and the pumping port.", "The flow equalizer assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The first plate faces the vacuum port.", "The first and second plates define a flow redistributing volume therebetween, the at least one first opening and the two or more second openings are staggered, and the second plate faces the processing volume.", "Yet another embodiment of the present invention provides a method for pumping a processing chamber.", "The method includes directing fluid flow from a processing volume to a vacuum port through a flow equalizer assembly.", "The flow equalizer assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The at least one first opening and the two or more second openings are staggered.", "Directing the fluid flow includes flowing one or more gases from the processing volume through the two or more second openings to a flow redistributing volume defined between the first and second plates, and flowing the one or more gases from the flow redistributing volume through the at least one first opening to the vacuum port." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a divisional of U.S. patent application Ser.", "No.", "14/452,228, filed Aug. 5, 2014, which claims priority to U.S.", "Provisional Patent Application No.", "61/864,929, filed on Aug. 12, 2013, both of which are herein incorporated by reference in their entireties.", "BACKGROUND Field Embodiments of the present invention relate to apparatus and methods for processing semiconductor substrates.", "More particularly, embodiments of the present invention provide apparatus and methods for reducing non-uniformity and/or skews during substrate processing.", "Description of the Related Art Electronic devices, such as flat panel displays and integrated circuits commonly are fabricated by a series of process steps in which layers are deposited on a substrate and the deposited material is etched into desired patterns.", "The process steps commonly include physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and other plasma processing.", "Specifically, a plasma process requires supplying a process gas mixture to a vacuum chamber, and applying electrical or electromagnetic power (RF power) to excite the process gas into a plasma state.", "The plasma decomposes the gas mixture into ion species that perform deposition, etch, implant or other processes.", "One problem encountered with plasma processes is the difficulty associated with establishing uniform plasma density over the substrate surface during processing, which leads to non-uniform processing between the center and edge regions of the substrate and non-uniform processing azimuthally within center and edge regions.", "One reason for the difficulty in establishing uniform plasma density involves gas flow skews due to asymmetry in the physical process chamber design.", "Such skews not only result in naturally, azimuthal, non-uniform plasma density, but also make it difficult to use other processing variables or “knobs” to control center-to-edge plasma uniformity.", "Therefore, a need exists for a plasma processing apparatus that improves gas flow symmetry for improved plasma uniformity control.", "SUMMARY Embodiments of the present invention provide apparatus and methods for reducing non-uniformity and/or skews during substrate processing.", "One embodiment of the present invention provides a flow equalizer assembly for disposing between a vacuum port and a processing volume in a processing chamber.", "The flow equalizing assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The first and second plates define a flow redistributing volume therebetween, and the at least one first opening and the two or more second openings are staggered.", "Another embodiment of the present invention provides an apparatus for processing one or more substrates.", "The apparatus includes a chamber body defining a processing volume, wherein a pumping port is formed through the chamber body for connection with a vacuum pump, a substrate support disposed in the processing volume for supporting a substrate thereon, a gas delivery assembly configured to deliver one or more processing gas to the processing volume, and a flow equalizer assembly disposed between the processing volume and the pumping port.", "The flow equalizer assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The first plate faces the vacuum port.", "The first and second plates define a flow redistributing volume therebetween, the at least one first opening and the two or more second openings are staggered, and the second plate faces the processing volume.", "Yet another embodiment of the present invention provides a method for pumping a processing chamber.", "The method includes directing fluid flow from a processing volume to a vacuum port through a flow equalizer assembly.", "The flow equalizer assembly includes a first plate having at least one first opening, and a second plate having two or more second openings.", "The at least one first opening and the two or more second openings are staggered.", "Directing the fluid flow includes flowing one or more gases from the processing volume through the two or more second openings to a flow redistributing volume defined between the first and second plates, and flowing the one or more gases from the flow redistributing volume through the at least one first opening to the vacuum port.", "BRIEF DESCRIPTION OF THE DRAWINGS So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings.", "It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.", "FIG.", "1A is a schematic sectional view of a plasma processing chamber according to one embodiment of the present invention.", "FIG.", "1B is a schematic top view of the plasma processing chamber of FIG.", "1A with a chamber lid removed.", "FIG.", "2A is a schematic perspective sectional view of a flow equalizer assembly according to one embodiment of the present invention.", "FIG.", "2B is a schematic cylindrical map projection of the flow equalizer assembly of FIG.", "2A showing azimuthal flow distributions.", "FIG.", "3A is a schematic perspective view of a flow equalizer assembly including one or more dividers according to one embodiment of the present invention.", "FIG.", "3B is a schematic sectional perspective view of a flow equalizer including one or more adjustable dividers according to one embodiment of the present invention.", "FIG.", "4A is a schematic top view of a flow equalizing plate according to one embodiment of the present invention.", "FIG.", "4B is a schematic top view of a flow equalizing plate according to one embodiment of the present invention.", "To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.", "It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.", "DETAILED DESCRIPTION Embodiments of the present invention generally provide various apparatus and methods for improving gas flow symmetry.", "In one embodiment, a flow equalizing assembly positioned between a processing volume and a vacuum port at a non-symmetrical position relative to the processing volume is provided.", "The flow equalizing assembly includes at least two plates each having openings formed therethrough.", "The flow equalizing assembly forms a plurality of flow paths so that different regions of the processing volume are at substantially the same distance from the vacuum port, thus, achieving symmetrical pumping conductance.", "In addition to reducing flow non-symmetry caused by non-symmetrical location of a vacuum port, the flow equalizing assembly can also be used to reduce other non-symmetries in a processing chamber, such as conductance and RF non-symmetry caused by a slit valve door.", "In one embodiment, a flow asymmetry may be introduced in the flow equalizing assembly to compensate for RF asymmetry to obtain processing symmetry.", "The flow equalizing assembly enables the symmetry of fluid flow in a processing chamber to be tuned, thus, reducing processing skew and improving uniformity of processing.", "FIG.", "1A is a schematic sectional view of a plasma processing chamber 100 according to one embodiment of the present invention.", "The plasma processing chamber 100 includes a flow equalizer assembly 160 to obtain fluid flow symmetry between a processing volume and a vacuum port.", "The flow equalizer assembly 160 may be used to obtain a symmetrical fluid flow, or may be used to tune the symmetry of the fluid flow to compensate for other chamber asymmetries to obtain symmetrical processing results.", "The plasma processing chamber 100 may be configured to process a variety of substrates, such as semiconductor substrates and reticles, and accommodating a variety of substrate sizes.", "The plasma processing chamber 100 includes a chamber body 110.A bottom chamber liner 112 and a top chamber liner 114 are disposed inside the chamber body 110.The bottom chamber liner 112, the top chamber liner 114 and a chamber lid 116 define a chamber volume 118.Slit valve openings 119, 120 may be formed through the chamber body 110 and the top chamber liner 114 to allow passage of a substrate 102 and substrate transfer mechanism (not shown).", "A slit valve door 122 may be utilized to selectively open and close the slit valve openings 119, 120.A substrate support assembly 124 is disposed in the chamber volume 118.The substrate support assembly 124 has a substrate supporting surface 126 for supporting a substrate thereon.", "A lift 132 may be coupled to lifting pins 134 to raise the substrate 102 from and to lower the substrate 102 on to the substrate support assembly 124.The substrate support assembly 124 may be an electrostatic chuck coupled to a chucking power source 136 to secure the substrate 102 thereon.", "The substrate support assembly 124 may also includes one or more embedded heating elements coupled to a heating power source 138 for heating the substrate 102 during processing.", "A cooling fluid source 140 may provide cooling or heating and adjust temperature profile of the substrate 102 being processed.", "An optional plasma screen 130 may be disposed surrounding the substrate support assembly 124.The plasma screen 130 may be positioned at a vertical level similar to the vertical level of the substrate supporting surface 126 and separates the chamber volume 118 into a processing volume 118a and a lower volume 118b located below the plasma screen 130.A showerhead assembly 142 may be positioned above the processing volume 118a to deliver one or more processing gases from a gas delivery system 144 to the processing volume 118a.", "Alternatively, the plasma processing chamber 100 may also include an antenna assembly for generating inductively coupled plasma in the plasma processing chamber 100.A vacuum pump 150 is in fluid communication with the chamber volume 118 to maintain a low pressure environment within the processing volume 118a.", "In one embodiment, the vacuum pump 150 may be coupled to the lower chamber volume 118b through a vacuum port 152 formed in the bottom chamber liner 112.As shown in FIG.", "1A, the vacuum port 152 is positioned at a bottom of the lower volume 118b and laterally offset from the substrate support assembly 124, and thus, the vacuum port 152 is located non-symmetrical relative to the substrate support assembly 124.The flow equalizer assembly 160 is disposed around the substrate support assembly 124 to azimuthally equalize the gas flow passing from the processing volume 118a to the lower volume 118b.", "In one embodiment, the flow equalizer assembly 160 may be disposed under the optional plasma screen 130.The vacuum pump 150 pumps out gas and process by products from the processing volume 118a through the vacuum port 152, the lower volume 118b and flow paths formed through the flow equalizer assembly 160.The flow equalizer assembly 160 may include two or more plates 162, 164 spaced apart from one another.", "A flow re-distributing volume 172 is defined between neighboring plates 162, 164.Each of the plates 162, 164 includes openings 168, 170 formed therethrough.", "During operation, driven by vacuum suction from vacuum port 152, gases and particles exit the processing volume 118a through the openings 170 of the plate 164, flow through the flow re-distributing volume 172, then flow through the openings 168 of the plate 162 towards the vacuum port 152.Numbers, size, and/or locations of the openings 168, 170 are arranged so that flow paths between the openings 170 to the openings 168 through the flow re-distributing volume 172 can be tuned to correct processing asymmetries by either adjusting or eliminating the flow asymmetries between the processing volume 118a and the vacuum port 152.In one embodiment of the present invention, each of the two or more plates 162, 164 may be a circular plate having a central opening for receiving the substrate support assembly 124 so that the flow equalizer assembly 160 is disposed around the substrate support assembly 124.In one embodiment, the top most plate 164 may be at a horizontal level similar to or slightly lower than the substrate supporting surface of the substrate support assembly 124.The openings 170 may be substantially evenly distributed along an outer edge of the substrate support assembly 124.The circular plates 162, 164 may be arranged parallel to each other.", "The openings 168 of the plate 162 and the openings 170 of the plate 164 may be arranged in a vertically staggered manner, instead of vertically aligned with each other, to enable flow equalizing within the flow re-distributing volume 172.The number, shape and sizes of openings 168 and the number of openings 170 may be the same or different.", "In one embodiment, the number of openings 170 on the plate 164 which is positioned closer to the processing volume 118a is greater than the number of openings 168 on the plate 162 which is positioned closer to the vacuum port 152.In one embodiment, the number of openings 170 may be at least twice as many as the number of the openings 168.The number, size and/or location of openings in the plates 162, 164 may be arranged to provide equal distances between the vacuum port 152 and different regions of the substrate support assembly 124 so that processing gases within the processing volume 118a can be uniformly and symmetrically pumped around the substrate support assembly 124.FIG.", "1B is a schematic top view of the plasma processing chamber 100 of FIG.", "1A with the lid 116 removed.", "As shown in FIG.", "1B, the vacuum port 152 is positioned off center from the centerline of the substrate support assembly 124.The plates 164, 162 are disposed concentric to the substrate support assembly 124.The openings 170 of the top plate 164 may be evenly distributed around the substrate support assembly 124.The number of openings 170 of the top late 164 may be at least twice as many as the number of openings 168 of the bottom plate 162.In the embodiment of FIG.", "1B, there are two openings 168 on the bottom plate 162.The two openings 168 are positioned at equal distance away from the vacuum port 152.There are four openings 170 on the top plate 164.The top plate 164 is positioned in an angle so that each opening 170 is located at the substantially the same distance away from a closest opening 168.As a result, each opening 170 on the top plate 164 is positioned at substantially the same distance away from the vacuum port 152, thus reducing the non-symmetry in flow paths through the flow equalizer assembly 160.In the embodiment of FIG.", "1B, each of the openings 170 is similar in shape and size and each of the openings 168 is similar in shape and size.", "Alternative, the shape and/or size of each opening 170 or opening 168 may be unique or different to provide a target flow resistance.", "Although two plates 164, 162 are shown in the flow equalizer assembly 160 of FIG.", "1A, additional plates may be included to tune the flow distribution through the flow equalizer assembly 160.For example, an additional plate may be disposed above the top plate 164.The additional plate may have twice as many openings as the top plate 164 to generate a recursive flow splitting and equalizing effect.", "FIG.", "2A is a schematic perspective view of a flow equalizer assembly 200 according to one embodiment of the present invention.", "The flow equalizer assembly 200 includes a first plate 202 having a plurality of openings 204 for receiving fluid flow from a processing volume and a second plate 206 having two or more openings 208 for directing fluid flow towards a vacuum port.", "The first plate 202 and the second plate 206 may be positioned parallel to each other.", "The first plate 202 may include a central opening 210 and the second plate 206 may include a central opening 212.The central openings 210, 212 are sized to receive a substrate support assembly so that the first plate 202 and the second plate 206 are surrounds the substrate support assembly.", "A sidewall 214 may extend above the first plate 202 from an outer perimeter.", "The sidewall 214 may be symmetrical, for example having a circular shape or a normal polygonal shape, to retain a symmetrical volume above the substrate support assembly.", "In one embodiment, the sidewall 214 may include an upper lip 215 so that the flow equalizer assembly 200 can be supported by a chamber liner.", "A sidewall 216 may connect between the first plate 202 and the second plate 206 to enclose a flow re-distributing volume 218.The sidewall 216 may be symmetrical, for example being circular.", "The distribution, shape, size and/or relative locations of the openings 204, 208 and the height of the flow re-distributing volume 218 may be tuned to achieve flow redistributing effect.", "The plurality of openings 204 may be evenly distributed along the first plate 202.A spacing 217 between the first plate 202 and the second plate may be provided to achieve targeted flow patterns.", "In one embodiment, a ratio of the spacing 217 and a width 219 of the flow re-distributing volume 218 may be between about 0.4 to about 0.5.FIG.", "2B is a schematic cylindrical map projection of the flow equalizer assembly 200 showing azimuthal flow distributions.", "The flow equalizer assembly 200 is arranged so that each opening 204 of the first plate 202 is substantially equal distance to the vacuum port.", "Since the openings 204 are positioned along an edge region of the plasma or processing environment, the processing environment can be symmetrically vacuumed by the vacuum port through the flow equalizer assembly 200.The two openings 208 closest to the vacuum port may be positioned at equal distances from the vacuum port.", "As shown in FIG.", "2B, the vacuum port is located at 180 degrees, while the openings 208 are positioned at 90 degrees and 270 degrees respectively.", "Similarly, the openings 204 are arranged such that each opening 204 is at substantial the same distance to a closest opening 208.In FIG.", "2B, there are four openings 204 positioned 90 degrees apart at 45 degrees, 135 degrees, 225 degrees and 315 degrees.", "Additional plates can be positioned above the first plate 202 to provide for further equalizing.", "For example, an additional having twice as many openings as the first plate 202 may be positioned above the first plate 202.In the embodiment of FIG.", "2B, the openings in the additional plate may include eight openings positioned 45 degrees apart at 22.5 degrees, 67.5 degrees, 112.5 degrees, 157.5 degrees, 202.5 degrees, 247.5 degrees, 292.5 degrees, and 337.5 degrees.", "Alternatively, the additional plate may include only four openings positioned at in staggered manner relative to openings 204 to avoid increased flow resistance caused by smaller openings.", "FIG.", "3A is a schematic perspective view of a flow equalizer assembly 300 including one or more dividers 302 according to one embodiment of the present invention.", "The flow equalizer assembly 300 is similar to the flow equalizer assembly 200 described above except that the two or more dividers 302 may be positioned between the first plate 202 and the second plate 206.The dividers 302 cut the flow re-distributing volume 218 into two or more isolated sub-volumes 306a, 306b, 306c, 306d.", "Each sub-volume 306a, 306b, 306c, 306d opens to at least one opening 204 of the first plate 202 and one opening 208 of the second plate 206.The dividers 302 provide additional direction to the flow passing through the flow equalizer assembly 300 to improve flow symmetry tuning.", "In the embodiment shown in FIG.", "3A, two or more dividers 302 may be positioned above the openings 208 dividing each opening 208 into two sections so that each opening 204 of the first plate 202 is exposed to similar level of suction.", "Optionally, the flow equalizer assembly 300 may also include dividers 304 attached to the second plate 206 isolating the two openings 208 from each other.", "When installed, one divider 304 may be positioned over the vacuum port so that each opening 208 is exposed to equal amount of suction from a vacuum pump.", "FIG.", "3B is a schematic sectional perspective view of a flow equalizer assembly 350 including one or more adjustable dividers according to one embodiment of the present invention.", "The flow equalizer assembly 350 is similar to the flow equalizer assembly 300 except the dividers 302 may be adjustable.", "The location of each divider 302 may be adjusted to obtain target flow resistance in each sub-volume 306.In one embodiment, each divider 302 may be positioned in one of a plurality of pre-determined locations.", "For example, a plurality of notches 310 may be formed under the first plate 202 for secure a divider 302 at a plurality of locations.", "In one embodiment, the dividers 302 may be arranged to enclose one or more isolated sections 314 within the flow re-distributing volume.", "The isolated sections 314 is not fluidly connected to any openings of the first plate 202 or the second plate 206.The isolated sections 314 may be used to reduce flow stagnation.", "FIG.", "4A is a schematic top view of a flow equalizing plate 400 for using in a flow equalizer assembly according to one embodiment of the present invention.", "The flow equalizing plate 400 may include a ring shaped planar body 402 having a plurality of openings 404a, 404b, 404c, 404d formed therethrough.", "The shape, size, and distribution of the plurality of openings 404a, 404b, 404c, 404d may be varied according to flow requirement and chamber geometry.", "In one embodiment, the plurality of openings 404a, 404b, 404c, 404d may have may be of the same shape or side and be evenly distributed.", "Alternatively, one or more openings 404a, 404b, 404c, 404d may be varied to compensate chamber geometry.", "For example, an opening 404d positioned adjacent to a slit valve door 406 of a processing chamber may be of a different size or shape to compensate the non-symmetry of the slit valve door 406.FIG.", "4B is a schematic top view of a flow equalizing plate 420 according to one embodiment of the present invention.", "The flow equalizing plate 420 may include a ring shaped planar body 422 having two or more openings 424 for directing fluid flow there through.", "In one embodiment, the flow equalizing plate 420 may include a shutter disk 426 to selectively close a portion of the opening 424 to adjust flow resistance through a particular opening.", "Embodiments of the present invention may be used alone or in combination.", "Even though plasma chambers are described in the above embodiments, flow equalizer assemblies according to embodiments of the present invention may be used in any suitable chambers.", "While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow." ] ]
Patent_15875726
[ [ "EXTRACTION OF LIPID FROM CELLS AND PRODUCTS THEREFROM", "The present invention relates to processes for obtaining a lipid from a cell by lysing the cell, contacting the cell with a base and/or salt, and separating the lipid.", "The present invention is also directed to a lipid prepared by the processes of the present invention.", "The present invention is also directed to microbial lipids having a particular anisidine value, peroxide value, and/or phosphorus content." ], [ "1.A process for obtaining a lipid from a cell composition, comprising: (a) lysing a cell of said cell composition to form a lysed cell composition; (b) demulsifying said lysed cell composition to form a demulsified cell composition, wherein the demulsifying comprises contacting said lysed cell composition with a first base to raise the pH of the lysed cell composition to 8 and contacting the lysed cell composition with a second base to raise the pH of the lysed cell composition to 7 or above to demulsify the cell composition; (c) separating said lipid from the demulsified cell composition; and (d) harvesting said lipid; wherein (b) comprises heating said lysed cell composition to a temperature of at least 70° C.", "2.", "(canceled) 3.", "(canceled) 4.The process of claim 1, wherein (b) comprises contacting said lysed cell composition with a salt to demulsify the cell composition.", "5.", "(canceled) 6.The process of claim 4, wherein the salt is added in an amount of 0.1% to 20% by weight of the lysed cell composition.", "7.", "(canceled) 8.The process of claim 4, wherein the salt is selected from: alkali metal salts, alkali earth metal salts, sulfate salts, and combinations thereof.", "9-30.", "(canceled) 31.The process of claim 1, comprising washing the cell or cell composition.", "32.The process of claim 1, comprising pasteurizing the cell or cell composition.", "33.The process of claim 1, comprising concentrating the lysed cell composition.", "34-38.", "(canceled) 39.A lipid obtained by the process of claim 1, the lipid comprising at least 35% by weight docosahexaenoic acid, at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "40.", "(canceled) 41.", "(canceled) 42.", "(canceled) 43.", "(canceled) 44.The lipid of claim 39, wherein the lipid has an anisidine value of 26 or less.", "45.The lipid of claim 39, wherein the lipid has a phosphorus content of 100 ppm or less.", "46.The lipid of claim 39, wherein the lipid has a peroxide value of 5 or less.", "47.", "(canceled) 48.", "(canceled) 49.The lipid of claim 39, wherein the lipid has a peroxide value of 5 or less.", "50.The lipid of claim 39, wherein the lipid is a crude oil.", "51.", "(canceled) 52-59.", "(canceled) 60.The process of claim 1, wherein (b) comprises heating said lysed cell composition to a temperature of from 70° C. to 100° C. 61.The process of claim 1, wherein (b) comprises agitating the lysed cell composition to demulsify the cell composition.", "62.The process of claim 1, wherein (a) comprises adding a salt to said cell composition to demulsify the cell composition.", "63.The process of claim 1, wherein (a) comprises raising the pH of said cell composition to 8 or above to demulsify or lyse the cell composition.", "64.The process of claim 1, wherein the lysed cell composition is in the form of an oil-in-water emulsion comprising a mixture of a continuous aqueous phase and a dispersed lipid phase.", "65.The process of claim 1, wherein (c) comprises centrifuging the demulsified cell composition.", "66.The process of claim 1, wherein the lipid is a crude lipid.", "67.The process of claim 69, comprising (e) refining said crude lipid to obtain a refined lipid.", "68.The process of claim 1, wherein said lipid has an anisidine value of 26 or less.", "69.The process of claim 1, wherein said lipid has a peroxide value of 5 or less.", "70.The process of claim 1, wherein said cell is a microbial cell.", "71.The process of claim 73, wherein said microbial cell is of the genus Thraustochytrium, Schizochytrium, or mixtures thereof.", "72.The process of claim 1, wherein said lipid comprises omega 3 and/or omega-6 polyunsaturated fatty acids.", "73.The process of claim 1, wherein said lipid comprises at least one of DHA, DPA(n-3), DPA(n-6), EPA, and ARA.", "74.The process of claim 1, wherein an organic solvent is not added to said cell composition, said lysed cell composition, or said lipid in an amount or concentration sufficient to extract said lipid from said cell.", "75.The process of claim 1, wherein the lipid contains less than 5% by weight of an organic solvent." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> BRIEF SUMMARY OF THE INVENTION <EOH>The present invention is directed to a process for obtaining a lipid from a microbial cell composition, the process comprising raising the pH of the cell composition to 8 or above, and separating a lipid from the cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the raising the pH lyses the cell composition.", "In some embodiments, the raising the pH demulsifies the cell composition.", "In some embodiments, the process comprises adding a salt to the cell composition to demulsify the cell composition.", "In some embodiments, the adding a salt is performed after the raising the pH.", "In some embodiments, the process further comprises heating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the heating is performed after the raising the pH.", "In some embodiments, the process further comprises raising the pH of the cell composition a second time to demulsify the cell composition.", "In some embodiments, the raising the pH a second time is performed after the adding a salt or the heating.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, raising the pH of the lysed cell composition to 8 or above to demulsify the cell composition, adding a salt to the lysed cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The present invention is directed to a process for obtaining a lipid from a cell composition, the process comprising raising the pH of the cell composition to 8 or above to lyse the cell composition and demulsify the cell composition, adding a salt to the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, agitating the cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the process further comprises heating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the heating is performed after the adding a salt.", "In some embodiments, the process further comprises agitating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the agitating is for 5 minutes to 96 hours.", "In some embodiments, the agitating comprises agitating the cell composition with an impeller having a tip speed of 350 centimeters per second to 900 centimeters per second.", "In some embodiments, the process further comprises raising the pH of the lysed cell composition to demulsify the cell composition.", "In some embodiments, raising the pH of the lysed cell composition to demulsify the cell composition comprises adding a base.", "In some embodiments, a second base is added after the adding of a salt or the heating.", "In some embodiments, the heating is for 10 minutes to 96 hours.", "In some embodiments, the cell composition is heated to a temperature of 60° C. to 100° C. In some embodiments, the cell composition is heated to a temperature of 90° C. to 100° C. In some embodiments, raising the pH comprises adding a base.", "In some embodiments, the base has a pK b of 1 to 12.In some embodiments, the separating a lipid occurs at a temperature of 10° C. to 100° C. In some embodiments, the process comprises agitating the lysed cell composition by stirring, mixing, blending, shaking, vibrating, or a combination thereof.", "In some embodiments, the process comprises agitating the lysed cell composition at 0.1 hp/1000 gal to 10 hp/1000 gal of lysed cell composition.", "In some embodiments, the process comprises agitating the lysed cell composition with an agitator having an impeller tip speed of 200 ft/min to 1,000 ft/min.", "In some embodiments, the lysing comprises mechanical treatment, physical treatment, chemical treatment, enzymatic treatment, or a combination thereof.", "In some embodiments, the mechanical treatment is homogenization.", "In some embodiments, the salt is added in an amount of 0.1% to 20% by weight of the lysed cell composition.", "In some embodiments, the salt is added to the lysed cell composition in an amount of 0.5% to 15% by weight of the lysed cell composition.", "In some embodiments, the salt is added to the lysed cell composition in an amount of 2% to 10% by weight of the lysed cell composition.", "In some embodiments, the salt is selected from the group consisting of: alkali metal salts, alkali earth metal salts, sulfate salts, and combinations thereof.", "In some embodiments, the salt is sodium chloride.", "In some embodiments, the salt is sodium sulfate.", "In some embodiments, the separating comprises centrifuging.", "In some embodiments, the separating comprises centrifuging at a temperature of 30° C. to 90° C. In some embodiments, the process provides a lipid comprising at least 50% by weight triglyceride.", "In some embodiments, the process provides a lipid having an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less.", "In some embodiments, the process provides a lipid having a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less.", "In some embodiments, the process provides a lipid having a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the process provides a lipid having at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired polyunsaturated fatty acid (PUFA).", "In some embodiments, the process provides a lipid having at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of docosahexaenoic acid (“DHA”), and/or at least 10%, at least 15%, or at least 20% by weight of docosapentaenoic acid (“DPA n-6”), and/or at least 10%, at least 15%, or at least 20% by weight of eicosapentaenoic acid (“EPA”), and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of arachidonic acid (“ARA”).", "In some embodiments, the cell is a microbial cell.", "In some embodiments, the process comprises concentrating a fermentation broth comprising the microbial cell.", "In some embodiments, the cell is an oilseed.", "In some embodiments, the oilseed is selected from the group consisting of sunflower seeds, canola seeds, rapeseeds, linseeds, castor oil seeds, coriander seeds, calendula seeds, and genetically modified variants thereof.", "In some embodiments, the process comprises washing the cell or cell composition.", "In some embodiments, the process comprises pasteurizing the cell or cell composition.", "In some embodiments, the process comprises concentrating the lysed cell composition.", "In some embodiments, the process comprises refining the lipid.", "In some embodiments, the refining is selected from the group consisting of: caustic refining, degumming, acid treatment, alkali treatment, cooling, heating, bleaching, deodorizing, deacidification, and combinations thereof.", "In some embodiments, the process comprises harvesting the lipid, wherein the harvesting comprises pumping the lipid without agitation.", "The present invention is also directed to a lipid obtained by any of the processes of the present invention.", "In some embodiments, the lipid comprises one or more polyunsaturated fatty acids.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DHA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "In some embodiments, the lipid has an overall aroma intensity of 3 or less.", "In some embodiments, the lipid has an overall aromatic intensity of 2 or less.", "In some embodiments, the lipid comprises a triacylglycerol fraction of at least 10% by weight, wherein at least 12% by weight of the fatty acids in the triacylglycerol fraction is eicosapentaenoic acid, wherein at least 25% by weight of the fatty acids in the triacylglycerol fraction is docosahexaenoic acid, and wherein less than 5% by weight of the fatty acids in the triacylglycerol fraction is arachidonic acid.", "In some embodiments, the lipid comprises at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "In some embodiments, the lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or Less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the lipid is a crude lipid.", "In some embodiments, the crude lipid optionally has less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a crude microbial lipid having an anisidine value of 26 or less, a peroxide value of 5 or less, a phosphorus content of 100 ppm or less, and optionally less than 5% by weight or volume of an organic solvent.", "In some embodiments, the crude microbial lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DI-IA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 50% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, and wherein the oil has an anisidine value of 26 or less.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 40% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, wherein the ratio of docosahexaenoic acid to docosapentaenoic acid n-6 is greater than 6:1, and wherein the oil has an anisidine value of 26 or less.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least about 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 60% by weight and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, the extracted lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the extracted microbial lipid is a crude lipid or a crude oil.", "In some embodiments, the crude lipid optionally has less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a process for obtaining a lipid, the process comprising refining a crude lipid of the present invention.", "In some embodiments, the refining is selected from the group consisting of: caustic refining, degumming, acid treatment, alkali treatment, cooling, heating, bleaching, deodorizing, deacidification, and combinations thereof." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application claims the benefit of U.S.", "Application No.", "61/350,363, filed on Jun.", "1, 2010, U.S.", "Application No.", "61/378,923, filed Aug. 31, 2010, and U.S.", "Application No.", "61/452,721, filed Mar.", "15, 2011, each of which is hereby incorporated by reference in its entirety.", "BACKGROUND OF THE INVENTION Field of the Invention The present invention relates to processes for obtaining a lipid from a cell by lysing the cell, raising a pH of the cell and/or contacting the cell with a salt, and separating the lipid.", "The present invention is also directed to lipids prepared by the processes of the present invention.", "The present invention is also directed to microbial lipids having a particular anisidine value, peroxide value, and/or phosphorus content.", "Background Art A typical process for obtaining lipids from a microbial cell, such as polyunsaturated fatty acids, involves growing microorganisms that are capable of producing the desired lipid in a fermentor, pond or bioreactor, separating the fermentation broth comprising a microbial cell biomass, drying the microbial cell biomass, and separating the lipids by solvent extraction.", "Steps in the separation can include diluting a fermentation broth with water, centrifuging the diluted broth, lysing the microbial cells, and extracting an intracellular lipid from the lysed cells by adding a water-immiscible solvent to the mixture in which the lipid is soluble, e.g., hexane.", "Another method of extraction to remove a lipid from a microbial cell is lysing a cell in a fermentation broth using mechanical force (e.g., homogenization), enzymatic treatment, or chemical treatment to disrupt the cell walls.", "Lipid can be extracted from the resulting composition comprising lipids, microbial cell biomass, and water using an organic solvent, e.g., isopropyl alcohol.", "The lipid can be separated mechanically from the composition and the alcohol must be removed from both the lipid and the aqueous biomass waste stream.", "See, e.g., International Pub.", "Nos.", "WO 01/76385 and WO 01/76715.However, industrial scale production of lipids using either of the above processes requires a large amount of volatile and flammable organic solvent, thereby creating hazardous operating conditions.", "The use of organic solvents in the extraction process can also necessitate using an explosion-proof lipid recovery system, thereby adding to the cost of lipid recovery.", "Moreover, use of an organic solvent in extracting lipid from a microbial cell can generate an organic solvent waste stream that requires a complete solvent recovery system or a proper method of disposal, which further increases the overall production cost of lipid extraction.", "For example, strict limits on volatile organic compound (VOC) emissions require greater manpower and added cost to vessels and other equipment.", "Therefore, there is a need for a process for obtaining lipids from a cell which does not use an organic solvent.", "Several processes have been proposed for separating a lipid from a cell without the use of an organic solvent.", "For example, U.S. Pat.", "No.", "6,750,048 discloses an aqueous washing process whereby an emulsion is washed with aqueous washing solutions until a substantially non-emulsified lipid is obtained.", "However, in some embodiments, this process requires multiple washing steps, which require substantial cost and time.", "U.S. Pat.", "No.", "7,431,952 discloses a process whereby lysed cells are centrifuged to remove cell wall debris and then oils are extracted and purified.", "However, this process provides a crude oil that requires extensive further purification.", "Thus, what is needed is a process that does not utilize a volatile solvent to extract a lipid from a cell, and which can be performed using readily available equipment and a minimum number of steps to provide a highly pure lipid.", "BRIEF SUMMARY OF THE INVENTION The present invention is directed to a process for obtaining a lipid from a microbial cell composition, the process comprising raising the pH of the cell composition to 8 or above, and separating a lipid from the cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the raising the pH lyses the cell composition.", "In some embodiments, the raising the pH demulsifies the cell composition.", "In some embodiments, the process comprises adding a salt to the cell composition to demulsify the cell composition.", "In some embodiments, the adding a salt is performed after the raising the pH.", "In some embodiments, the process further comprises heating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the heating is performed after the raising the pH.", "In some embodiments, the process further comprises raising the pH of the cell composition a second time to demulsify the cell composition.", "In some embodiments, the raising the pH a second time is performed after the adding a salt or the heating.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, raising the pH of the lysed cell composition to 8 or above to demulsify the cell composition, adding a salt to the lysed cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The present invention is directed to a process for obtaining a lipid from a cell composition, the process comprising raising the pH of the cell composition to 8 or above to lyse the cell composition and demulsify the cell composition, adding a salt to the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, agitating the cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the process further comprises heating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the heating is performed after the adding a salt.", "In some embodiments, the process further comprises agitating the lysed cell composition to demulsify the cell composition.", "In some embodiments, the agitating is for 5 minutes to 96 hours.", "In some embodiments, the agitating comprises agitating the cell composition with an impeller having a tip speed of 350 centimeters per second to 900 centimeters per second.", "In some embodiments, the process further comprises raising the pH of the lysed cell composition to demulsify the cell composition.", "In some embodiments, raising the pH of the lysed cell composition to demulsify the cell composition comprises adding a base.", "In some embodiments, a second base is added after the adding of a salt or the heating.", "In some embodiments, the heating is for 10 minutes to 96 hours.", "In some embodiments, the cell composition is heated to a temperature of 60° C. to 100° C. In some embodiments, the cell composition is heated to a temperature of 90° C. to 100° C. In some embodiments, raising the pH comprises adding a base.", "In some embodiments, the base has a pKb of 1 to 12.In some embodiments, the separating a lipid occurs at a temperature of 10° C. to 100° C. In some embodiments, the process comprises agitating the lysed cell composition by stirring, mixing, blending, shaking, vibrating, or a combination thereof.", "In some embodiments, the process comprises agitating the lysed cell composition at 0.1 hp/1000 gal to 10 hp/1000 gal of lysed cell composition.", "In some embodiments, the process comprises agitating the lysed cell composition with an agitator having an impeller tip speed of 200 ft/min to 1,000 ft/min.", "In some embodiments, the lysing comprises mechanical treatment, physical treatment, chemical treatment, enzymatic treatment, or a combination thereof.", "In some embodiments, the mechanical treatment is homogenization.", "In some embodiments, the salt is added in an amount of 0.1% to 20% by weight of the lysed cell composition.", "In some embodiments, the salt is added to the lysed cell composition in an amount of 0.5% to 15% by weight of the lysed cell composition.", "In some embodiments, the salt is added to the lysed cell composition in an amount of 2% to 10% by weight of the lysed cell composition.", "In some embodiments, the salt is selected from the group consisting of: alkali metal salts, alkali earth metal salts, sulfate salts, and combinations thereof.", "In some embodiments, the salt is sodium chloride.", "In some embodiments, the salt is sodium sulfate.", "In some embodiments, the separating comprises centrifuging.", "In some embodiments, the separating comprises centrifuging at a temperature of 30° C. to 90° C. In some embodiments, the process provides a lipid comprising at least 50% by weight triglyceride.", "In some embodiments, the process provides a lipid having an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less.", "In some embodiments, the process provides a lipid having a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less.", "In some embodiments, the process provides a lipid having a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the process provides a lipid having at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired polyunsaturated fatty acid (PUFA).", "In some embodiments, the process provides a lipid having at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of docosahexaenoic acid (“DHA”), and/or at least 10%, at least 15%, or at least 20% by weight of docosapentaenoic acid (“DPA n-6”), and/or at least 10%, at least 15%, or at least 20% by weight of eicosapentaenoic acid (“EPA”), and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of arachidonic acid (“ARA”).", "In some embodiments, the cell is a microbial cell.", "In some embodiments, the process comprises concentrating a fermentation broth comprising the microbial cell.", "In some embodiments, the cell is an oilseed.", "In some embodiments, the oilseed is selected from the group consisting of sunflower seeds, canola seeds, rapeseeds, linseeds, castor oil seeds, coriander seeds, calendula seeds, and genetically modified variants thereof.", "In some embodiments, the process comprises washing the cell or cell composition.", "In some embodiments, the process comprises pasteurizing the cell or cell composition.", "In some embodiments, the process comprises concentrating the lysed cell composition.", "In some embodiments, the process comprises refining the lipid.", "In some embodiments, the refining is selected from the group consisting of: caustic refining, degumming, acid treatment, alkali treatment, cooling, heating, bleaching, deodorizing, deacidification, and combinations thereof.", "In some embodiments, the process comprises harvesting the lipid, wherein the harvesting comprises pumping the lipid without agitation.", "The present invention is also directed to a lipid obtained by any of the processes of the present invention.", "In some embodiments, the lipid comprises one or more polyunsaturated fatty acids.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DHA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "In some embodiments, the lipid has an overall aroma intensity of 3 or less.", "In some embodiments, the lipid has an overall aromatic intensity of 2 or less.", "In some embodiments, the lipid comprises a triacylglycerol fraction of at least 10% by weight, wherein at least 12% by weight of the fatty acids in the triacylglycerol fraction is eicosapentaenoic acid, wherein at least 25% by weight of the fatty acids in the triacylglycerol fraction is docosahexaenoic acid, and wherein less than 5% by weight of the fatty acids in the triacylglycerol fraction is arachidonic acid.", "In some embodiments, the lipid comprises at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "In some embodiments, the lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or Less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the lipid is a crude lipid.", "In some embodiments, the crude lipid optionally has less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a crude microbial lipid having an anisidine value of 26 or less, a peroxide value of 5 or less, a phosphorus content of 100 ppm or less, and optionally less than 5% by weight or volume of an organic solvent.", "In some embodiments, the crude microbial lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DI-IA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 50% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, and wherein the oil has an anisidine value of 26 or less.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 40% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, wherein the ratio of docosahexaenoic acid to docosapentaenoic acid n-6 is greater than 6:1, and wherein the oil has an anisidine value of 26 or less.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least about 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 60% by weight and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, the extracted lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the extracted microbial lipid is a crude lipid or a crude oil.", "In some embodiments, the crude lipid optionally has less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a process for obtaining a lipid, the process comprising refining a crude lipid of the present invention.", "In some embodiments, the refining is selected from the group consisting of: caustic refining, degumming, acid treatment, alkali treatment, cooling, heating, bleaching, deodorizing, deacidification, and combinations thereof.", "BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the pertinent art to make and use the invention.", "FIGS.", "1-4 provide schematic flow charts describing processes of the present invention.", "FIG.", "5 is a graph providing the electron paramagnetic resonance (EPR) over time of lysed cells compositions at various pHs.", "The present invention will now be described with reference to the accompanying drawings.", "In the drawings, like reference numbers indicate identical or functionally similar elements.", "Additionally, the left-most digit(s) of a reference number can identify the drawing in which the reference number first appears.", "DETAILED DESCRIPTION OF THE INVENTION The present invention is directed to a process for obtaining a lipid from a microbial cell composition, the process comprising raising the pH of the cell composition to 8 or above and separating a lipid from the cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the process further comprises one or more of adding a salt to the cell composition to demulsify the cell composition, heating the cell to demulsify the cell composition, agitating the cell composition to demulsify the cell composition, and raising the pH of the cell composition a second time to demulsify the cell composition.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, raising the pH of the lysed cell composition to 8 or above to demulsify the cell composition, adding a salt to the lysed cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The cell can be a microbial cell or an oilseed cell.", "In some embodiments, the process further comprises one or more of: heating the lysed cell composition to demulsify the cell composition, agitating the lysed cell composition to demulsify the cell composition, and raising the pH of the lysed cell composition a second time to demulsify the cell composition.", "The present invention is directed to a process for obtaining a lipid from a cell composition, the process comprising raising the pH of the cell composition to 8 or above to lyse the cell composition and demulsify the cell composition, adding a salt to the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the process further comprises one or more of heating the cell composition to demulsify the cell composition, agitating the cell composition to demulsify the cell composition, and raising the pH of the cell composition a second time to demulsify the cell composition.", "The present invention is directed to a process for obtaining a lipid from a microbial cell, the process comprising lysing a microbial cell to form a lysed cell composition, adding a base to the lysed cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "In some embodiments, the process further comprises one or more of: adding a salt to the lysed cell composition to demulsify the cell composition, heating the lysed cell composition to demulsify the cell composition, agitating the lysed cell composition to demulsify the cell composition, and adding a second base to the lysed cell composition to demulsify the cell composition.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, adding a base to the lysed cell composition to demulsify the cell composition, adding a salt to the lysed cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The cell can be a microbial cell or an oilseed cell.", "In some embodiments, the process further comprises one or more of: heating the lysed cell composition to demulsify the cell composition, agitating the lysed cell composition to demulsify the cell composition, and adding a second base to the lysed cell composition to demulsify the cell composition.", "The present invention is also directed to a process for obtaining a lipid from a cell, the process comprising lysing a cell to form a lysed cell composition, agitating the cell composition to demulsify the cell composition, and separating a lipid from the demulsified cell composition, wherein the lipid optionally contains less than 5% by weight or volume of an organic solvent.", "The present invention is also directed to a lipid obtained by any of the processes of the present invention.", "The present invention is also directed to an extraction process for obtaining a lipid from a cell, the process comprising lysing the cell to form a lysed cell composition, contacting the lysed cell composition with a first base, contacting the lysed cell composition with a salt, heating the lysed cell composition for 5 minutes to 96 hours, contacting the lysed cell composition with a second base, and separating a lipid from the lysed cell composition at a temperature of 10° C. to 100° C. The present invention is also directed to an extraction process for obtaining a lipid from a cell, the process comprising lysing the cell to form a lysed cell composition, contacting the lysed cell composition with a salt, and agitating the lysed cell composition for 5 minutes to 96 hours to provide a treated lysed cell composition, and separating a lipid from the treated lysed cell composition at a temperature of 10° C. to 100° C. The present invention is also directed to an extraction process for obtaining a lipid from a cell, the process comprising lysing the cell to form a lysed cell composition, contacting the lysed cell composition with a salt, and separating a lipid from the lysed cell composition at a temperature of 10° C. to 100° C. In some embodiments, the base or second base have a pKb of 1 to 12.In some embodiments, the base or second base have a pKb of 3 to 5.In some embodiments, a process comprises agitating the lysed cell composition for 5 minutes to 96 hours, 10 minutes to 96 hours, 10 minutes to 4 hours, 12 hours to 84 hours, or 24 hours to 72 hours.", "In some embodiments, the process comprises agitating the lysed cell composition by stirring, mixing, blending, shaking, vibrating, or a combination thereof.", "In some embodiments, the process comprises agitating the lysed cell composition at 0.1 hp/1000 gal to 10 hp/1000 gal of lysed cell composition.", "In some embodiments, the process comprises agitating the lysed cell composition with an agitator having an impeller tip speed of 200 ft/min to 1000 ft/min.", "In some embodiments, lysing comprises a process selected from: mechanically treating, physically treating, chemically treating, enzymatically treating, or a combination thereof.", "In some embodiments, the lysed cell composition is contacted with a salt in an amount of 0.1% to 20% by weight, 0.5% to 15% by weight, or 2% to 10% by weight of the lysed cell composition.", "In some embodiments, the salt is selected from the group consisting of: alkali metal salts, alkali earth metal salts, sulfate salts and combinations thereof.", "In some embodiments, the salt is sodium chloride.", "In some embodiments, the salt is sodium sulfate.", "In some embodiments, the process comprises heating the lysed cell composition for 5 minutes to 96 hours, 10 minutes to 4 hours, 12 hours to 84 hours, or 24 hours to 72 hours.", "In some embodiments, the separating comprises centrifuging.", "In some embodiments, the separating comprises centrifuging at a temperature of 10° C. to 100° C. In some embodiments, the process comprises prior to the lysing: washing, centrifuging, evaporating, or a combination thereof, a broth that includes the cell.", "In some embodiments, the process provides a lipid having an anisidine value of 15 or less.", "In some embodiments, the process provides a lipid comprising at least 50% by weight triglycerides.", "In some embodiments, the process does not add an organic solvent to the lysed cell composition.", "Organic solvents include polar solvents, non-polar solvents, water-miscible solvents, water-immiscible solvents, and combinations thereof.", "In some embodiments, the process comprises concentrating a broth comprising a cell.", "In some embodiments, the process comprises concentrating the lysed cell composition.", "The present invention is also directed to the lipid prepared by a process described herein.", "In some embodiments, the lipid comprises one or more polyunsaturated fatty acids.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DHA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "In some embodiments, the lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the lipid comprises a triacylglycerol fraction of at least 10% by weight, wherein at least 12% by weight of the fatty acids in the triacylglycerol fraction is eicosapentaenoic acid, wherein at least 25% by weight of the fatty acids in the triacylglycerol fraction is docosahexaenoic acid, and wherein less than 5% by weight of the fatty acids in the triacylglycerol fraction is arachidonic acid.", "In some embodiments, the lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the lipid is a crude lipid.", "In some embodiments, the lipid comprises at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "In some embodiments, the lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the lipid is a crude oil.", "The present invention is also directed to a crude microbial lipid having an anisidine value of 26 or less, a peroxide value of 5 or less, a phosphorus content of 100 ppm or less, and optionally less than 5% by weight or volume of an organic solvent.", "In some embodiments, the crude microbial lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DHA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 50% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, the extracted lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the extracted lipid is a crude lipid.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 40% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, wherein the ratio of docosahexaenoic acid to docosapentaenoic acid n-6 is greater than 6:1, and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, the extracted lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the extracted lipid is a crude lipid.", "The present invention is also directed to an extracted microbial lipid comprising a triglyceride fraction of at least about 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 60% by weight and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, the extracted lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the extracted lipid is a crude lipid.", "The present invention is also directed to a crude lipid extracted from a microorganism of the species Crypthecodinium cohnii, having a phosphorus content of 100 ppm or less.", "In some embodiments, the crude lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "The present invention is also directed to a process for obtaining a lipid, the process comprising refining a crude lipid of the present invention.", "In some embodiments, the refining is selected from the group consisting of: caustic refining, degumming, acid treatment, alkali treatment, cooling, heating, bleaching, deodorizing, deacidification, and combinations thereof.", "Overview Generally, the processes of the present invention do not utilize an organic solvent in order to extract or otherwise separate a lipid.", "Thus, in some embodiments, an organic solvent is not added to a cell broth comprising plant material or fermentation broth comprising a microbial cell, is not added to a cell composition, is not added to a lysed cell composition, or is not added to a lipid during a process of the present invention in an amount or concentration sufficient to extract a lipid.", "In some embodiments, an organic solvent can be added to a cell composition, a lysed cell composition, or a demulsified cell composition.", "In such embodiments, the organic solvent is added in a concentration less than 5%, less than 4%, less than 3%, less than 2%, less than 1%, less than 0.5%, less than 0.1%, or less than 0.05% by volume.", "As used herein, an “organic solvent” refers to a solvent that includes at least one carbon atom.", "As used herein, “solvent” refers to an agent that is hydrophobic or lipophilic, and is not a lipid.", "As used herein, “hydrophobic” refers to an agent that is repelled from a mass of water.", "As used herein, “lipophilic” refers to an agent that dissolves lipids.", "Organic solvents that are not used in a process of the present invention include, but are not limited to, polar solvents, non-polar solvents, water-miscible solvents, water-immiscible solvents, and combinations thereof.", "Non-limiting examples of organic solvents include substituted and unsubstituted C4-C8 alkyls (e.g., hexane and the like), C5-C12 cylcolalkyls, C4-C12 alkenes, C1-C8 alcohols (e.g., iso-propanol and the like), C1-C8 aldehydes, C4-C8 ethers, C1-C8 esters, C6-C12 aryls, C1-C8 amides, C5-C12 heteroaryls, and combinations thereof.", "An organic solvent as defined herein can be optionally added to a lysed cell composition, for example, as a component of a base and/or a salt for contacting with the lysed cell composition.", "However, in such embodiments the organic solvent is present in a concentration such that the lipid is not substantially extracted from the cell composition, lysed cell composition, or demulsified cell composition by the solvent (i.e., in a concentration of less than 5%, less than 4%, less than 3%, less than 2%, less than 1%, less than 0.5%, less than 0.1%, or less than 0.05% by volume or weight).", "In some embodiments, a process of the present invention does not include washing, e.g., with water, or the process reduces the number of washings of, a lysed cell composition or a demulsified cell composition.", "“Washing” refers to a process of diluting a composition with, e.g., water or buffer and removing the water or buffer, e.g., by centrifugation.", "Washing a cell composition can decrease the overall yield of a lipid obtained from a cell.", "In the present invention, the washing can be decreased by 1 time, 2 times, 3 times or more.", "Definitions As used herein, “lipid” or “oil” refers to one or more fatty acids (including free fatty acids and esters of fatty acids), phospholipids, triacylglycerols (i.e.", "triglycerides), diacylglycerides, monoacylglycerides, lysophospholipids, soaps, phosphatides, waxes, sterols and sterol esters, carotenoids, xanthophylls, hydrocarbons, and other lipids known to one of ordinary skill in the art.", "Lipids include polar lipids and neutral lipids.", "As used herein, “polar lipid” refers to lipids that contain a polar group and are more readily soluble in polar solvents.", "Polar lipids include phospholipids.", "As used herein, “phospholipid” refers to lipids having a phosphate group.", "As used herein, “neutral lipid” refers to lipids that do not contain areas of polarity and are more readily soluble in non-polar solvents.", "Neutral lipids include triacylglycerols (TAG).", "Fatty acids are classified based on the length and saturation characteristics of the carbon chain.", "Fatty acids are termed short chain, medium chain, or long chain fatty acids based on the number of carbons present in the chain.", "Fatty acids are termed saturated fatty acids when no double bonds are present between the carbon atoms, and are termed unsaturated fatty acids when double bonds are present.", "Unsaturated long chain fatty acids are monounsaturated when only one double bond is present and are polyunsaturated when more than one double bond is present.", "Fatty acids present in the lipid can have 4 to 28 carbon atoms.", "In some embodiments, a lipid comprises one or more polyunsaturated fatty acids.", "Polyunsaturated fatty acids (PUFAs) are classified based on the position of the first double bond from the methyl end of the fatty acid: omega-3 (n-3) fatty acids contain a first double bond at the third carbon, while omega-6 (n-6) fatty acids contain a first double bond at the sixth carbon.", "For example, docosahexaenoic acid (“DHA”) is an omega-3 long chain polyunsaturated fatty acid (LC-PUFA) with a chain length of 22 carbons and 6 double bonds, often designated as “22:6 n-3.” For the purposes of this application, long chain polyunsaturated fatty acids (LC-PUFAs) are defined as fatty acids of 18 and more carbon chain length, and are preferably fatty acids of 20 or more carbon chain length, containing 3 or more double bonds.", "LC-PUFAs of the omega-6 series include, but are not limited to, di-homo-gammalinoleic acid (C20:3n-6), arachidonic acid (C20:4n-6) (“ARA”), docosatetraenoic acid or adrenic acid (C22:4n-6), and docosapentaenoic acid (C22:5n-6) (“DPA n-6”).", "The LC-PUFAs of the omega-3 series include, but are not limited to, eicosatrienoic acid (C20:3n-3), eicosatetraenoic acid (C20:4n-3), eicosapentaenoic acid (C20:5n-3) (“EPA”), docosapentaenoic acid (C22:5n-3), and docosahexaenoic acid (C22:6n-3).", "The LC-PUFAs also include fatty acids with greater than 22 carbons and 4 or more double bonds including, but not limited to, C24:6(n-3) and C28:8(n-3).", "The terms “fatty acid,” “polyunsaturated fatty acid,” and “PUFA” include not only the free fatty acid form, but other forms as well, such as the triacylglycerol (TAG) form, the phospholipid (PL) form and other esterified forms.", "As used herein, the terms “ester” and “esterified” refer to the replacement of the hydrogen in the carboxylic acid group of a PUFA molecule with another substituent.", "Typical esters are known to those in the art, a discussion of which is provided by Higuchi, T. et al., Pro-drugs as Novel Delivery Systems, Vol.", "14, A.C.S.", "Symposium Series, Bioreversible Carriers in Drug Design, Edward B. Roche ed., Amer.", "Pharma.", "Assoc., Pergamon Press (1987), and Protective Groups in Organic Chemistry, McOmie ed., Plenum Press, New York (1973), each of which is incorporated herein by reference in its entirety.", "Examples of common esters include methyl, ethyl, trichloroethyl, propyl, butyl, pentyl, tert-butyl, benzyl, nitrobenzyl, methoxybenzyl and benzhydryl.", "In some embodiments, a lipid comprises at least 10%, at least 20%, at least 30%, at least 35%, at least 40%, at least 50%, at least 60%, at least 70% or at least 80% by weight PUFA.", "In some embodiments, a lipid comprises at least 10%, at least 20%, at least 30%, at least 35%, at least 40%, at least 50%, at least 60%, at least 70% or at least 80% by weight DHA.", "In some embodiments, a lipid comprises less than 50%, less than 40%, less than 30%, less than 20%, less than 15%, less than 10%, or less than 5% by weight EPA.", "In some embodiments, a lipid comprises less than 10%, less than 5%, less than 2%, less than 1%, or less than 0.5% by weight sterols.", "In some embodiments, one or more PUFAs are present in a lipid in one or more forms, such as triglycerides, diglycerides, monoglycerides, phospholipids, free fatty acids, esterified fatty acids, alkali metal salts of fatty acids, alkali earth metal salts of fatty acids, and combinations thereof.", "In some embodiments, a lipid separated after centrifuging in a process of the present invention comprises at least 50%, at least 60%, at least 70%, at least 80%, at least 90%, at least 95%, or 50% to 95%, 50% to 90%, 50% to 85%, 50% to 80%, 50% to 75%, 60% to 95%, 60% to 90%, 60% to 85%, 70% to 95%, 70% to 90%, 70% to 85%, 75% to 95%, 75% to 90%, or 75% to 85%, by weight of triglycerides.", "In some embodiments, the triglycerides comprise at least 10%, at least 20%, at least 30%, at least 35%, at least 40%, at least 50%, at least 60%, at least 70% or at least 80% by weight DHA.", "In some embodiments, the triglycerides comprise at least 50%, at least 40%, at least 30%, at least 20%, at least 15%, at least 10%, or at least 5% by weight EPA.", "As discussed herein, additional refining of a lipid after the centrifuging can provide a lipid comprising at least 80%, at least 85%, at least 90%, at least 95%, at least 99%, or 80% to 99.5%, 80% to 99%, 80% to 97%, 80% to 95%, 80% to 90%, 85% to 99.5%, 85% to 99%, 85% to 97%, 85% to 95%, 85% to 90%, 90% to 99.5%, 90% to 99%, 90% to 97%, 90% to 95%, 95% to 99.5%, 95% to 99%, 95% to 97%, 97% to 99.5%, or 98% to 99.5% triglyceride by weight.", "As used herein, a “cell” refers to a lipid-containing biomaterial, such as biomaterial derived from plants or microorganisms.", "In some embodiments, suitable plant material includes, but is not limited to, plant parts and oilseeds.", "Oilseeds include, but are not limited to, sunflower seeds, canola seeds, rapeseeds, linseeds, castor oil seeds, coriander seeds, calendula seeds or the like, and genetically modified variants thereof.", "Oil produced from plant material and/or microorganisms, such as oleaginous microorganisms, according to the processes described herein, is also referred to as vegetable oil.", "Oil produced from algae and/or fungi is also referred to as algal and/or fungal oil, respectively.", "As used herein, a “microbial cell” or “microorganism” refers to organisms such as algae, bacteria, fungi, protist, and combinations thereof, e.g., unicellular organisms.", "In some embodiments, a microbial cell is a eukaryotic cell.", "A microbial cell suitable for use with the present invention includes, but is not limited to, golden algae (e.g., microorganisms of the kingdom Stramenopiles), green algae, diatoms, dinoflagellates (e.g., microorganisms of the order Dinophyceae including members of the genus Crypthecodinium such as, for example, Crypthecodinium cohnii or C. cohnii), yeast (Ascomycetes or Basidiomycetes), and fungi of the genera Mucor and Mortierella, including but not limited to Mortierella alpina and Mortierella sect.", "schmuckeri.", "A microbial cell suitable for use with the present invention can further include, but is not limited to genera found in the following groups of organisms: Stramenopiles, Hamatores, Proteromonads, Opalines, Develpayella, Diplophrys, Labrinthulids, Thraustochytrids, Biosecids, Oomycetes, Hypochytridiomycetes, Commotion, Reticulosphaera, Pelagomonas, Pelagococcus, Ollicola, Aureococcus, Parmales, Diatoms, Xanthophytes, Phaeophytes, Eustigmatophytes, Raphidophytes, Synurids, Axodines (including Rhizochromulinaales, Pedinellales, Dictyochales), Chrysomeridales, Sarcinochrysidales, Hydrurales, Hibberdiales, and Chromulinales.", "In some embodiments, a microbial cell for use with the present invention is a microorganism of the phylum Labyrinthulomycota.", "In some embodiments, a microbial cell of the phylum Labyrinthulomycota is a thraustochytrid, such as a Schizochytrium or Thraustochytrium.", "According to the present invention, the term “thraustochytrid” refers to any member of the order Thraustochytriales, which includes the family Thraustochytriaceae, and the term “labyrinthulid” refers to any member of the order Labyrinthulales, which includes the family Labyrinthulaceae.", "Members of the family Labyrinthulaceae were previously considered to be members of the order Thraustochytriales, but in more recent revisions of the taxonomic classification of such organisms, the family Labyrinthulaceae is now considered to be a member of the order Labyrinthulales.", "Both Labyrinthulales and Thraustochytriales are considered to be members of the phylum Labyrinthulomycota.", "Taxonomic theorists now generally place both of these groups of microorganisms with the algae or algae-like protists of the Stramenopile lineage.", "The current taxonomic placement of the thraustochytrids and labyrinthulids can be summarized as follows: Realm: Stramenopila (Chromista) Phylum: Labyrinthulomycota (Heterokonta) Class: Labyrinthulomycetes (Labyrinthulae) Order: Labyrinthulales Family: Labyrinthulaceae Order: Thraustochytriales Family: Thraustochytriaceae For purposes of the present invention, strains of microbial cells described as thraustochytrids include the following organisms: Order: Thraustochytriales; Family: Thraustochytriaceae; Genera: Thraustochytrium (Species: sp., arudimentale, aureum, benthicola, globosum, kinnei, motivum, multirudimentale, pachydermum, proliferum, roseum, and striatum), Ulkenia (Species: sp., amoeboidea, kerguelensis, minuta, profunda, radiata, sailens, sarkariana, schizochytrops, visurgensis, yorkensis, and sp.", "BP-5601), Schizochytrium (Species: sp., aggregatum, limnaceum, mangrovei, minutum, and octosporum), Japonochytrium (Species: sp., marinum), Aplanochytrium (Species: sp., haliotidis, kerguelensis, profunda, and stocchinoi), Althornia (Species: sp., crouchii), or Elina (Species: sp., marisalba, and sinorifica).", "For the purposes of this invention, species described within Ulkenia will be considered to be members of the genus Thraustochytrium.", "Aurantiacochytrium and Oblogospora are two additional genuses encompassed by the phylum Labyrinthulomycota in the present invention.", "In some embodiments, a microbial cell is of the genus Thraustochystrium, Schizochytrium, and mixtures thereof.", "Microbial cells suitable for use with the present invention include, but are not limited to, Labyrinthulids selected from: Order: Labyrinthulales, Labyrinthulaceae, Genera: Labyrinthula (Species: sp., algeriensis, coenocystis, chattonii, macrocystis, macrocystis atlantica, macrocystis macrocystis, marina, minuta, roscoffensis, valkanovii, vitellina, vitellina pacifica, vitellina vitellina, and zopfii), Labyrinthuloides (Species: sp., haliotidis, and yorkensis), Labyrinthomyxa (Species: sp., marina), Diplophrys (Species: sp., archeri), Pyrrhosorus (Species: sp., marinus), Sorodiplophrys (Species: sp., stercorea), and Chlamydomyxa (Species: sp., labyrinthuloides, and montana) (although there is currently not a consensus on the exact taxonomic placement of Pyrrhosorus, Sorodiplophrys, and Chlamydomyxa).", "Host cells of the phylum Labyrinthulomycota include, but are not limited to, deposited strains PTA-10212, PTA-10213, PTA-10214, PTA-10215, PTA-9695, PTA-9696, PTA-9697, PTA-9698, PTA-10208, PTA-10209, PTA-10210, PTA-10211, the microorganism deposited as SAM2179 (named “Ulkenia SAM2179” by the depositor), any Thraustochytrium species (including former Ulkenia species such as U. visurgensis, U. amoeboida, U. sarkariana, U. profunda, U. radiata, U. minuta and Ulkenia sp.", "BP-5601), and including Thraustochytrium striatum, Thraustochytrium aureum, Thraustochytrium roseum; and any Japonochytrium species.", "Strains of Thraustochytriales include, but are not limited to Thraustochytrium sp.", "(23B) (ATCC 20891); Thraustochytrium striatum (Schneider)(ATCC 24473); Thraustochytrium aureum (Goldstein) (ATCC 34304); Thraustochytrium roseum (Goldstein) (ATCC 28210); Japonochytrium sp.", "(L1) (ATCC 28207); ATCC 20890; ATCC 20892; a mutant strain derived from any of the aforementioned microorganisms; and mixtures thereof.", "Schizochytrium include, but are not limited to Schizochytrium aggregatum, Schizochytrium limacinum, Schizochytrium sp.", "(S31) (ATCC 20888), Schizochytrium sp.", "(58) (ATCC 20889), Schizochytrium sp.", "(LC-RM) (ATCC 18915), Schizochytrium sp, (SR 21), deposited strain ATCC 28209, deposited Schizochytrium limacinum strain IFO 32693, a mutant strain derived from any of the aforementioned microorganisms, and mixtures thereof.", "In some embodiments, the host cell is a Schizochytrium or a Thraustochytrium.", "Schizochytrium can replicate both by successive bipartition and by forming sporangia, which ultimately release zoospores.", "Thraustochytrium, however, replicate only by forming sporangia, which then release zoospores.", "In some embodiments, the host cell of the invention is a recombinant host cell.", "Effective culture conditions for a microbial cell for use with the invention include, but are not limited to, effective media, bioreactor, temperature, pH, and oxygen conditions that permit lipid production.", "An effective medium refers to any medium in which a microbial cell, e.g., Thraustoehytriales microbial cell, is typically cultured.", "Such media typically comprises an aqueous medium having assimilable carbon, nitrogen, and phosphate sources, as well as appropriate salts, minerals, metals, and other nutrients, such as vitamins.", "Microbial cells for use with the present invention can be cultured in conventional fermentation bioreactors, shake flasks, test tubes, microtiter dishes, and petri plates.", "In some embodiments, culturing is carried out at a temperature, pH, and oxygen content appropriate for a recombinant cell.", "In some embodiments, a microbial cell is capable of growth at a salinity level of 12 g/L or less, 5 g/L or less, or 3 g/L or less of sodium chloride.", "In some embodiments, a microbial cell produces a lipid comprising omega-3 and/or omega-6 PUFAs.", "In some embodiments, a microbial cell produces a lipid comprising DHA, DPA (n-3), DPA (n-6), EPA, arachidonic acid (ARA), or the like, and combinations thereof.", "Non-limiting examples of microorganisms that produce a lipid comprising a PUFA are disclosed above and are also found in U.S. Pat.", "Nos.", "5,340,594, 5,340,742 and 5,583,019, each of which is incorporated by reference herein in its entirety.", "In some embodiments, a microbial cell comprises at least 30% by weight lipids, at least 35% by weight lipids, at least 40% by weight lipids, at least 50% by weight lipids, at least 60% by weight lipids, at least 70% by weight lipids, or at least 80% by weight lipids.", "In some embodiments, a microbial cell for use with the present invention is capable of producing at least 0.1 grams per liter per hour (g/L/h) of DHA, at least 0.2 g/L/h of DHA, at least 0.3 g/L/h of DHA, or at least 0.4 g/L/h of DHA.", "Processes The processes of the present invention comprises lysing a cell or cell biomass to form a lysed cell composition.", "As used herein, the term “cell biomass” refers to a population of plant or microbial cells.", "As used herein, the terms “lyse” and “lysing” refer to a process of rupturing the cell wall and/or cell membrane of a cell.", "In some embodiments, lysing comprises a process such as: mechanically treating, chemically treating, enzymatically treating, physically treating, or combinations thereof.", "As used herein, mechanically treating includes, but is not limited to, homogenizing a cell, applying ultrasound to a cell, cold-pressing a cell, milling a cell or the like, and combinations thereof.", "In some embodiments, a process comprises lysing the cell by homogenization.", "In some embodiments, a process comprises lysing the cell with a homogenizer.", "Homogenizing a cell can include, but is not limited to, processes utilizing a French pressure cell press, a sonicator, a homogenizer, a ball mill, a rod mill, a pebble mill, a bead mill, a high pressure grinding roll, a vertical shaft impactor, an industrial blender, a high shear mixer, a paddle mixer, a polytron homogenizer or the like, and combinations thereof.", "In some embodiments, a cell is flowed through a homogenizer that is optionally heated.", "In some embodiments, suitable homogenization can include 1 to 3 passes through a homogenizer at either high and/or low pressures.", "In some embodiments, a pressure during homogenization can be 150 bar to 1,400 bar, 150 bar to 1,200 bar, 150 bar to 900 bar, 150 bar to 300 bar, 300 bar to 1,400 bar, 300 bar to 1,200 bar, 300 bar to 900 bar, 400 bar to 800 bar, 500 bar to 700 bar, or 600 bar.", "As used herein, physically treating can include, but is not limited to, heating a cell, drying a cell, or the like, and combinations thereof.", "Heating a cell can include, but is not limited to, resistive heating, convection heating, steam heating, heating in a fluid bath, heating with solar energy, heating with focused solar energy, and the like, any of which can be performed in a tank, pool, tube, conduit, flask, or other containment device.", "In some embodiments, a cell is heated in a tank that includes resistive coils in/on its walls.", "In some embodiments, a cell is heated in a liquid bath that includes a tubing passing there through.", "Drying a cell can include, but is not limited to, exposing to air flow, exposing to heat (e.g., convection heat, a heated surface, and the like), exposing to solar energy, freeze drying (lyophilizing), spray drying, and combinations thereof.", "In some embodiments, drying comprises applying a cell to a rotating drum that is optionally heated.", "As used herein, chemically treating includes, but is not limited to, raising a pH of a cell, contacting a cell with a chemical or the like.", "Raising a pH of a cell can include, but is not limited to, adding a base to a cell composition.", "In some embodiments, bases suitable for use with the present invention include, but are not limited to, hydroxide bases (e.g., LiOH, NaOH, KOH, Ca(OH)2, and the like, and combinations thereof), carbonate bases (e.g., Na2CO3, K2CO3, MgCO3, and the like, and combinations thereof), bicarbonate bases (e.g., LiHCO3, NaHCO3, KHCO3, and the like, and combinations thereof), and combinations thereof.", "A base can be in the form of a solid (e.g., crystals, a granulate, pellets, and the like) or a liquid (e.g., an aqueous solution, an alcoholic solution such as a hydroxide base in methanol, ethanol, propanol, and the like), and combinations thereof.", "In some embodiments, the pH of the cell composition is raised to 8 or above, 9 or above, 10 or above, 11 or above, 12 or above, or a pH of 7 to 13, 7 to 12, 7 to 11, 7 to 10, 7 to 9, 8 to 13, 8 to 12, 8 to 11, 8 to 10, 8 to 9, 9 to 12, 9 to 11, 9 to 10, 10 to 12, or 10 to 11.In some embodiments, raising a pH of a cell can include, but is not limited to, performing a chloralkali process.", "In some embodiments, a fermentation broth containing sodium chloride and a cell composition is subjected to electrolysis, which would result in the formation of sodium hydroxide.", "The formation of sodium hydroxide raises the pH of the cell.", "In some embodiments, a fermentation broth can include calcium chloride or potassium chloride in place of or in addition to sodium chloride.", "Subjecting such a fermentation broth to electrolysis results in the formation of calcium hydroxide or potassium hydroxide, respectively, thereby raising the pH of the cell.", "Enzymatic lysing refers to lysis of a cell wall or cell membrane of a cell by contacting the cell with one or more enzymes.", "Enzymes suitable for use with the present invention include, but are not limited to, proteases, cellulases, hemicellulases, chitinases, pectinases, and combinations thereof.", "Non-limiting examples of proteases include serine proteases, theronine proteases, cysteine proteases, aspartate proteases, metalloproteases, glutamic acid proteases, alacase, and combinations thereof.", "Non-limiting examples of cellulases include sucrase, maltase, lactase, alpha-glucosidase, beta-glucosidase, amylase, lysozyme, neuraminidase, galactosidase, alpha-mannosidase, glucuronidase, hyaluronidase, pullulanase, glucocerebrosidase, galactosylceramidase, acetylgalactosaminidase, fucosidase, hexosaminidase, iduronidase, maltase-glucoamylase, and combinations thereof.", "A non-limiting example of a chitinase includes chitotriosidase.", "Non-limiting examples of pectinases include pectolyase, pectozyme, polygalacturonase, and combinations thereof.", "In some embodiments, some enzymes are activated by heating.", "As used herein, a “lysed cell composition” refers to a composition comprising one or more lysed cells, including cell debris and other contents of the cell, in combination with a lipid (from the lysed cells), and optionally, broth that contains microbial cells or plant material.", "In some embodiments, plant material is contained in a broth or media comprising the plant material and water.", "In some embodiments, a microbial cell is contained in a fermentation broth or media comprising the microbial cell and water.", "In some embodiments, a lysed cell composition refers to a composition comprising one or more lysed cells, cell debris, a lipid, the natural contents of the cell, and aqueous components from a broth.", "In some embodiments, a lysed cell composition is in the form of an oil-in-water emulsion comprising a mixture of a continuous aqueous phase and a dispersed lipid phase.", "In some embodiments, a dispersed lipid phase is present in a concentration of 1% to 60%, 1% to 50%, 1% to 40%, 1% to 30%, 1% to 20%, 5% to 60%, 5% to 50%, 5% to 40%, 5% to 30%, 5% to 20%, 10% to 60%, 10% to 50%, 10% to 40%, 20% to 60%, 20% to 50%, 20% to 40%, 30% to 60%, 30% to 50%, or 40% to 60% by weight of an emulsified lysed cell composition.", "While not being bound to any particular theory, it is believed the processes of the present invention break up or demulsify an emulsified lysed cell composition, allowing a lipid to be separated from the lysed cell composition.", "As used herein, the terms “emulsion” and “emulsified” refers to a mixture of two or more immiscible phases or layers wherein one phase or layer is dispersed in another phase or layer.", "As used herein, the terms “break,” “break up,” “demulsify,” “demulsification,” “demulsifying,” and “breaking” refer to a process of separating immiscible phases or layers of an emulsion.", "For example, demulsifying or breaking an emulsified lysed cell composition refers to a process by which an emulsified lysed cell composition changes from an emulsion having one or more phases or layers to a composition having two or more phases or layers.", "For example, in some embodiments, a process of the present invention breaks an emulsified lysed cell composition from a single-phase to two or more phases.", "In some embodiments, the two or more phases include a lipid phase and an aqueous phase.", "In some embodiments, a process of the present invention breaks an emulsified lysed cell compositions from one or more phases to at least three phases.", "In some embodiments, the three phases include a lipid phase, an aqueous phase, and a solid phase.", "In some embodiments, the three phases include a lipid phase, an emulsion phase, and an aqueous phase.", "In some embodiments, the processes of the present invention demulsify a lysed cell composition to form a demulsified cell composition by removing or breaking at least 75% of the emulsion, at least 80% of the emulsion, at least 85% of the emulsion, at least 90% of the emulsion, at least 95% of the emulsion, at least 99% of the emulsion.", "In some embodiments, the process of the present invention demulsify a lysed cell composition by removing or breaking 75% of the emulsion to 99% of the emulsion, 75% of the emulsion to 95% of the emulsion, 75% of the emulsion to 90% of the emulsion, 75% of the emulsion to 85% of the emulsion, 75% of the emulsion to 80% of the emulsion, 80% of the emulsion to 99% of the emulsion, 80% of the emulsion to 95% of the emulsion, 80% of the emulsion to 90% of the emulsion, 80% of the emulsion to 85% of the emulsion, 85% of the emulsion to 99% of the emulsion, 85% of the emulsion to 95% of the emulsion, 85% of the emulsion to 90% of the emulsion, 90% of the emulsion to 99% of the emulsion, 90% of the emulsion to 95% of the emulsion, or 95% of the emulsion to 99% of the emulsion by weight or volume.", "In some embodiments, prior to lysing the cell, the cell can be washed and/or pasteurized.", "In some embodiments, washing the cell includes using an aqueous solution, such as water, to remove any extracellular water-soluble or water-dispersible compounds.", "In some embodiments, the cell can be washed once, twice, thrice, or more.", "In some embodiments, pasteurizing the cell includes heating the cell to inactivate any undesirable enzymes, for example any enzymes that might degrade lipid or reduce the yield of PUFAs.", "In some embodiments, the cell can be washed first and then pasteurized.", "In some embodiments, the cell is plant biomaterial and the plant biomaterial is formed prior to lysing.", "In some embodiments, the plant biomaterial is formed by removing or extracting oilseeds from a plant.", "In some embodiments, an interior of an oilseed is removed from an outer hull of an oilseed by grinding, milling, extruding, aspirating, crushing, or combinations thereof.", "In some embodiments, the dehulled oilseeds can be homogenized or expelled using processes known in the art, such as by passing the oilseeds through a press to grind the dehulled oilseeds into a cake.", "In some embodiments, water can be added to the cake to form an emulsified lysed cell composition.", "In some embodiments, the emulsified lysed cell composition can be filtered using processes known in the art to remove any excess hull fragments from the lysed cell composition.", "In some embodiments, treating a lysed cell composition with a first base breaks up (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, treating a lysed cell composition with a second base breaks (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, treating a lysed cell composition with a salt breaks (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, heating a lysed cell composition breaks (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, agitating a lysed cell composition breaks (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, simultaneous heating and agitating of a lysed cell composition breaks (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, one or more of the preceding treatments breaks up (i.e., demulsifies) an emulsified lysed cell composition.", "In some embodiments, the process of the invention comprises raising the pH of a cell composition to lyse and/or demulsify the cell composition.", "In some embodiments, the process of the invention comprises raising the pH of a lysed cell composition to demulsify the lysed cell composition.", "In some embodiments, raising the pH comprises contacting a cell composition or lysed cell composition with a base.", "In some embodiments, the process of the invention comprises contacting a lysed cell composition with a base to demulsify the lysed cell composition.", "As used herein, “contacting” refers to combining a cell composition or a lysed cell composition with a second composition (e.g., by adding a composition to a cell composition or a lysed cell composition, by adding a cell composition or a lysed cell composition to a composition, and the like).", "As used herein, a “composition” can comprise a pure material or include a combination of two or more materials, substances, excipients, portions, and the like.", "Contacting a lysed cell composition with a first base raises the pH of the lysed cell composition.", "In some embodiments, a lysed cell composition is contacted with a second base.", "In some embodiments, the pH of a lysed cell composition or a demulsified cell composition is raised a second time.", "In some embodiments, the second raising of the pH comprises contacting a lysed cell composition or demulsified cell composition with a second base.", "In some embodiments, a lysed cell composition is contacted with a first base, then heated, agitated, or a combination thereof, and subsequently contacted with a second base to provide a treated lysed cell emulsion.", "In some embodiments, the first base and/or second base has a pKb of 1 to 12, 1 to 10, 1 to 8, 1 to 6, 1 to 5, 2 to 12, 2 to 10, 2 to 8, 2 to 6, 2 to 5, 3 to 10, 3 to 6, 3 to 5, 4 to 10, 4 to 8, 4 to 6, 5 to 10, or 5 to 8.As used herein, the term “pKb” refers to the negative logarithm of the base association constant, Kb, of the base.", "Kb refers to the equilibrium constant for the ionization of the base in water, wherein: B+H2O HB++OH−; and the Kb of base, B, is defined as: K b = [ HB + ]  [ OH - ] [ B ] .", "Bases suitable for use with the present invention include, but are not limited to, hydroxide bases (e.g., LiOH, NaOH, KOH, Ca(OH)2, and the like, and combinations thereof), carbonate bases (e.g., Na2CO3, K2CO3, MgCO3, and the like, and combinations thereof), bicarbonate bases (e.g., LiHCO3, NaHCO3, KHCO3, and the like, and combinations thereof), and combinations thereof.", "A base can be in the form of a solid (e.g., crystals, a granulate, pellets, and the like) or a liquid (e.g., an aqueous solution, an alcoholic solution such as a hydroxide base in methanol, ethanol, propanol, and the like), and combinations thereof.", "Thus, a solvent can be optionally present in a base for use with the present invention.", "As used herein, “solvent” refers to an agent that is hydrophobic or lipophilic.", "As used herein, “hydrophobic” refers to an agent that is repelled from a mass of water.", "As used herein, “lipophilic” refers to an agent that dissolves in lipids.", "In some embodiments, contacting a cell composition or a lysed cell composition with a base raises the pH of the lysed cell composition.", "In some embodiments, contacting a lysed cell composition with a base raises the pH of the lysed cell composition to 8 or above, 9 or above, 10 or above, 11 or above, 12 or above, or a pH of 7 to 13, 7 to 12, 7 to 11, 7 to 10, 7 to 9, 8 to 13, 8 to 12, 8 to 11, 8 to 10, 8 to 9, 9 to 12, 9 to 11, 9 to 10, 10 to 12, or 10 to 11.In some embodiments, contacting a lysed cell composition with a base provides a pH of 8 or below, 7 or below, 6 or below, or 5 or below to the composition.", "In some embodiments, raising the pH of the cell composition or lysed cell composition with the addition of the base inhibits lipid oxidation, thereby minimizing the amount of free radicals in the lysed cell composition so that the crude lipid obtained from the processes of the invention has a low peroxide value (e.g., 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less) and/or a low anisidine value (e.g., 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less).", "As used herein, the terms “peroxide value” or “PV” refer to the measure of primary reaction products, such as peroxides and hydroperoxides, that occur during oxidation of the lipid.", "As used herein peroxide value is measured in meq/kg.", "As used herein, the terms “anisidine value” or “AV” refer to the measure of secondary reaction products, such as aldehydes and ketones, that occur during oxidation of the lipid.", "In some embodiments, free radicals in the lysed cell composition after adjusting the pH with a base are detected using an Electron Paramagnetic Resonance spectrometer, e.g., Bruker BioSpin e-scan EPR (system number SC0274) (Bruker BioSpin, Billerica, Mass.).", "In some embodiments, a sample of the lysed cell composition is diluted in about 1:1 ratio with deionized water prior to measuring the EPR.", "In some embodiments, in order to measure the EPR, a spin trap chemical is added to a sample of the lysed cell composition.", "In some embodiments, the spin trap chemical is any spin trap chemical known in the art, including, but not limited to, POBN (α-(4-Pyridyl 1-oxide)-N-tert-butylnitrone) or DMPO (5,5-dimethyl-1-pyrroline-N-oxide).", "In some embodiments, the spin trap chemical is about 1.25 M and about 50 μL is added to about 0.5 gram sample of the lysed cell composition.", "In some embodiments, a sample containing the spin trap chemical is incubated at room temperature (e.g., about 20° C.).", "In some embodiments, the following spectrometer parameters are used: modulation frequency of about 86 Hz, modulation amplitude of about 2 gauss, microwave power of about 5 mW, time constant of about 20 seconds, sweep time of about 10 seconds, sweep width of about 100 gauss, and a number of scans of about 8.The EPR is measured over time to determine the concentration of free radicals present in the lipid.", "In some embodiments, the EPR is measured hourly over a course of four hours.", "In some embodiments, the lysed cell composition has an EPR signal strength (intensity or amplitude) at the above listed parameters of less than 0.15×106, less than 0.14×106, less than 0.13×106, less than 0.12×106, less than 0.11×106, less than 0.1×106, less than 0.09×106, less than 0.08×106, less than 0.07×106, less than 0.06×106, or less than 0.05×106 after 4 hours.", "In some embodiments, the lysed cell composition has an EPR of 0.05×106 to 0.15×106, 0.05×106 to 0.14×106, 0.05×106 to 0.13×106, 0.05×106 to 0.12×106, 0.05×106 to 0.11×106, 0.05×106 to 0.1×106, 0.05×106 to 0.09×106, 0.07×106 to 0.15×106, 0.07×106 to 0.13×106, 0.07×106 to 0.11×106, 0.08×106 to 0.14×106, 0.08×106 to 0.12×106, 0.08×106 to 0.1×106, 0.09×106 to 0.13×106, or 0.09×106 to 0.11×106.In some embodiments, the pH of the lysed cell composition resulting in an EPR specified above is 8 to 12, 8 to 11, 8 to 10, 8 to 9, 9 to 12, 9 to 11, 9 to 10, 10 to 12, or 10 to 11.In some embodiments, a lysed cell composition having an EPR signal strength specified above results in a crude lipid having an AV of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less.", "In some embodiments, a lysed cell composition having an EPR specified above results in a crude lipid having a PV of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less.", "In some embodiments, a process comprises contacting a cell composition or lysed cell composition with a salt to demulsify the lysed cell composition.", "As used herein, a “salt” refers to an ionic compound formed by replacing a hydrogen ion from an acid with a metal (e.g., an alkali metal, an alkali earth metal, a transition metal, and the like) or a positively charged compound (e.g., NH4+ and the like).", "Salts suitable for use with the present invention include, but are not limited to, alkali metal salts, alkali earth metal salts, or the like, and combinations thereof.", "Negatively charged ionic species present in a salt for use with the present include, but are not limited to, halides, sulfate, bisulfate, sulfite, phosphate, hydrogen phosphate, dihydrogen phosphate, carbonate, bicarbonate, or the like, and combinations thereof.", "In some embodiments, a salt for use with the present invention is selected from: sodium chloride, sodium sulfate, sodium carbonate, calcium chloride, potassium sulfate, magnesium sulfate, monosodium glutamate, ammonium sulfate, potassium chloride, iron chloride, iron sulfate, aluminum sulfate, and combinations thereof.", "In some embodiments, a salt does not include NaOH.", "A salt can be added as a solid (e.g., in crystalline, amorphous, pelletized, and/or granulated form), and/or as a solution (e.g., a dilute solution, a saturated solution, or a super-saturated solution) containing, for example, water, an alcohol, and the like, and combinations thereof.", "In some embodiments, the salt is added in an amount of 5 g/l to 25 g/l, 5 g/l to 10 g/l, 10 g/l to 15 g/l, 15 g/l to 20 g/l, 20 g/l to 25 g/l, or 10 g/l to 20 g/l.", "In some embodiments, a temperature of a cell composition or a lysed cell composition is less than or equal to 60° C., less than or equal to 55° C., less than or equal to 45° C., less than or equal to 40° C., less than or equal to 35° C., less than or equal to 30° C., or less than or equal to 25° C. when a salt is added to demulsify the cell composition or the lysed cell composition.", "In some embodiments, a temperature of a lysed cell composition is 0° C. to 60° C., 0° C. to 55° C., 0° C. to 50° C., 0° C. to 45° C., 0° C. to 40° C., 0° C. to 35° C., 0° C. to 30° C., 0° C. to 25° C., 20° C. to 60° C., 20° C. to 55° C., 20° C. to 50° C., 20° C. to 45° C., 20° C. to 40° C., 20° C. to 35° C., 20° C. to 30° C., 30° C. to 60° C., 30° C. to 55° C., 30° C. to 50° C., 30° C. to 45° C., 30° C. to 40° C., 30° C. to 40° C., 40° C. to 60° C., 40° C. to 55° C., 40° C. to 50° C., or 50° C. to 60° C. when a salt is added to demulsify the cell composition or the lysed cell composition.", "In some embodiments, the process comprises contacting a cell composition or a lysed cell composition with 20% or less, 15% or less, 10% or less, 7.5% or less, 5% or less, or 2% or less salt by weight, of the lysed cell composition or the cell composition.", "In some embodiments, a process comprises contacting a cell composition or a lysed cell composition with 0.1% to 20%, 0.1% to 15%, 0.1% to 10%, 0.5% to 20%, 0.5% to 15%, 0.5% to 10%, 0.5% to 5%, 0.5% to 4%, 0.5% to 3%, 0.5% to 2.5%, 0.5% to 2%, 0.5% to 1.5%, 0.5% to 1%, 1% to 20%, 1% to 15%, 1% to 10%, 1% to 5%, 1% to 4%, 1% to 3%, 1% to 2.5%, 1% to 2%, 1% to 1.5%, 1.5% to 5%, 1.5% to 4%, 1.5% to 3%, 1.5% to 2.5%, 1.5% to 2%, 2% to 20%, 2% to 15%, 2% to 10%, 2% to 5%, 2% to 4%, 2% to 3%, 2% to 2.5%, 2.5% to 5%, 2.5% to 4%, 2.5% to 3%, 3% to 5%, 3% to 4%, 4% to 5%, 5% to 20%, 5% to 15%, 5% to 10%, 10% to 20%, 10% to 15%, or 15% to 20% salt, by weight, of the cell composition or lysed cell composition (e.g., a total broth weight).", "For example, when a lysed cell composition weighs 1,000 kg, contacting with 0.5% to 20% salt, by weight, requires combining 5 kg to 200 kg of salt with the lysed cell composition.", "In some embodiments, the process comprises heating a cell composition or a lysed cell composition to demulsify the lysed cell composition.", "In some embodiments the cell composition or the lysed cell composition is heated for a sufficient period of time for a base and/or a salt to demulsify a cell composition or a lysed cell composition.", "In some embodiments, the process comprises heating a cell composition or a lysed cell composition for at least 5 minutes, at least 10 minutes, at least 20 minutes, at least 30 minutes, at least 1 hour, at least 2 hours, at least 4 hours, at least 8 hours, at least 12 hours, at least 18 hours, at least 24 hours, at least 30 hours, at least 36 hours, at least 42 hours, at least 48 hours, at least 54 hours, at least 60 hours, at least 66 hours, at least 72 hours, at least 78 hours, at least 84 hours, at least 90 hours or at least 96 hours.", "In some embodiments, the process comprises heating a lysed cell composition for 5 minutes to 96 hours, 5 minutes to 4 hours, 5 minutes to 2 hours, 5 minutes to 1 hour, 10 minutes to 4 hours, 10 minutes to 2 hours, 10 minutes to 1 hour, 1 hour to 96 hours, 1 hour to 84 hours, 1 hour to 72 hours, 1 hour to 60 hours, 1 hour to 48 hours, 1 hour to 36 hours, 1 hour to 24 hours, 1 hour to 4 hours, 4 hours to 96 hours, 4 hours to 84 hours, 4 hours to 72 hours, 4 hours to 60 hours, 4 hours to 48 hours, 4 hours to 36 hours, 4 hours to 24 hours, 8 hours to 96 hours, 8 hours to 84 hours, 8 hours to 72 hours, 8 hours to 60 hours, 8 hours to 48 hours, 8 hours to 36 hours, 8 hours to 24 hours, 8 hours to 12 hours, 12 hours to 96 hours, 12 hours to 84 hours, 12 hours to 72 hours, 12 hours to 60 hours, 12 hours to 48 hours, 12 hours to 36 hours, 12 hours to 24 hours, 24 hours to 96 hours, 24 hours to 84 hours, 24 hours to 72 hours, 24 hours to 60 hours, 24 hours to 48 hours, or 24 hours to 36 hours.", "In some embodiments, a cell composition or a lysed cell composition can be heated at a temperature of at least 10° C., at least 20° C., at least 25° C., at least 30° C., at least 35° C., at least 40° C., at least 45° C., at least 50° C., at least 55° C., at least 60° C., at least 65° C., at least 70° C., at least 75° C., at least 80° C., at least 85° C., at least 90° C., at least 95° C., or at least 100° C. In some embodiments, a process comprises heating a cell composition or a lysed cell composition at a temperature of 10° C. to 100° C., 10° C. to 90° C., 10° C. to 80° C., 10° C. to 70° C., 20° C. to 100° C., 20° C. to 90° C., 20° C. to 80° C., 20° C. to 70° C., 30° C. to 100° C., 30° C. to 90° C., 30° C. to 80° C., 30° C. to 70° C., 40° C. to 100° C., 40° C. to 90° C., 40° C. to 80° C., 50° C. to 100° C., 50° C. to 90° C., 50° C. to 80° C., 50° C. to 70° C., 60° C. to 100° C., 60° C. to 90° C., 60° C. to 80° C., 70° C. to 100° C., 70° C. to 90° C., 80° C. to 100° C., 80° C. to 90° C., or 90° C. to 100° C. In some embodiments, a salt can be added to the cell composition or the lysed cell composition during the heating.", "In some embodiments, a cell composition or a lysed cell composition can be heated in a closed system or in a system with an evaporator.", "In some embodiments, a cell composition or lysed cell composition can be heated in a system with an evaporator such that a portion of the water present in the cell composition or the lysed cell composition is removed by evaporation.", "In some embodiments, a process comprises heating a cell composition or a lysed cell composition in a system with an evaporator to remove up to 1%, 5%, 10%, 15%, 20%, 25%, 30%, 35%, 40%, 45% or 50% by weight of water present in the cell composition or lysed cell composition.", "In some embodiments, a process comprises heating a cell composition or a lysed cell composition in a system with an evaporator to remove 1% to 50%, 1% to 45%, 1% to 40%, 1% to 35%, 1% to 30%, 1% to 25%, 1% to 20%, 1% to 15%, 1% to 10%, 1% to 5%, 5% to 50%, 5% to 45%, 5% to 40%, 5% to 35%, 5% to 30%, 5% to 25%, 5% to 20%, 5% to 15%, 5% to 10%, 10% to 50%, 10% to 45%, 10% to 40%, 10% to 35%, 10% to 30%, 10% to 25%, 10% to 20%, 10% to 15%, 15% to 50%, 15% to 45%, 15% to 40%, 15% to 35%, 15% to 30%, 15% to 25%, 15% to 20%, 20% to 50%, 20% to 45%, 20% to 40%, 20% to 35%, 20% to 30%, 20% to 25%, 25% to 50%, 25% to 45%, 25% to 40%, 25% to 35%, 25% to 30%, 30% to 50%, 30% to 45%, 30% to 40%, 30% to 35%, 35% to 50%, 35% to 45%, 35% to 40%, 40% to 50%, 40% to 45%, or 45% to 50%.", "In some embodiments, the process comprises holding a cell composition or a lysed cell composition in a vessel for a predetermined time to demulsify the lysed cell composition.", "In some embodiments, the process comprises holding a cell composition or a lysed cell composition in a vessel for at least 5 minutes, at least 10 minutes, at least 20 minutes, at least 30 minutes, at least 1 hour, at least 2 hours, at least 4 hours, at least 8 hours, at least 12 hours, at least 18 hours, at least 24 hours, at least 30 hours, at least 36 hours, at least 42 hours, at least 48 hours, at least 54 hours, at least 60 hours, at least 66 hours, at least 72 hours, at least 78 hours, at least 84 hours, at least 90 hours or at least 96 hours.", "In some embodiments, the process comprises holding a cell composition or a lysed cell composition for 5 minutes to 96 hours, 5 minutes to 4 hours, 5 minutes to 2 hours, 5 minutes to 1 hour, 10 minutes to 4 hours, 10 minutes to 2 hours, 10 minutes to 1 hour, 1 hour to 96 hours, 1 hour to 84 hours, 1 hour to 72 hours, 1 hour to 60 hours, 1 hour to 48 hours, 1 hour to 36 hours, 1 hour to 24 hours, 1 hour to 4 hours, 4 hours to 96 hours, 4 hours to 84 hours, 4 hours to 72 hours, 4 hours to 60 hours, 4 hours to 48 hours, 4 hours to 36 hours, 4 hours to 24 hours, 8 hours to 96 hours, 8 hours to 84 hours, 8 hours to 72 hours, 8 hours to 60 hours, 8 hours to 48 hours, 8 hours to 36 hours, 8 hours to 24 hours, 8 hours to 12 hours, 12 hours to 96 hours, 12 hours to 84 hours, 12 hours to 72 hours, 12 hours to 60 hours, 12 hours to 48 hours, 12 hours to 36 hours, 12 hours to 24 hours, 24 hours to 96 hours, 24 hours to 84 hours, 24 hours to 72 hours, 24 hours to 60 hours, 24 hours to 48 hours, or 24 hours to 36 hours.", "In some embodiments, the process comprises contacting an antioxidant with a lysed cell emulsion.", "Antioxidants suitable for use with the present invention include, but are not limited to, a tocopherol, a tocotrienol, a polyphenol, resveratrol, a flavonoid, a carotenoid, lycopene, a carotene, lutein, ascorbic acid, ascorbyl palmitate, or the like, and combinations thereof.", "In some embodiments, the process comprises allowing an emulsified lysed cell composition to stand, wherein the lipid is separated from the emulsified lysed cell composition using gravity.", "As used herein, the terms “agitating” and “agitation” refer to a process of affecting motion in a lysed cell composition through an application of force.", "In some embodiments, the process of the invention comprises agitating a cell composition or a lysed cell composition by stirring, mixing, blending, shaking, vibrating, or a combination thereof.", "In some embodiments, the process of agitating a cell composition or a lysed cell composition demulsifies the cell composition or the lysed cell composition.", "In some embodiments, the process of the invention comprises agitating a lysed cell composition at 0.1 hp/1,000 gal to 10 hp/1,000 gal, 0.5 hp/1,000 gal to 8 hp/1,000 gal, 1 hp/1,000 gal to 6 hp/1,000 gal, or 2 hp/1,000 gal to 5 hp/1,000 gal of lysed cell composition.", "In some embodiments, the process of the invention comprises agitating a cell composition or a lysed cell composition using an agitator.", "In some embodiments, the agitator is a dispersion style agitator that disperses a base and/or salt in the cell composition or the lysed cell composition.", "In some embodiments, an agitator has one or more impellers.", "As used herein, “impeller” refers to a device arranged to impart motion to a cell composition or a lysed cell composition when rotated.", "Impellers suitable for use with the present invention include straight blade impellers, Rushton blade impellers, axial flow impellers, radial flow impellers, concave blade disc impellers, high-efficiency impellers, propellers, paddles, turbines, or the like, and combinations thereof.", "In some embodiments, a process includes agitating a cell composition or a lysed cell composition using an agitator having an impeller tip speed of 90 ft/min to 1,200 ft/min, 200 ft/min to 1,000 ft/min, 300 ft/min to 800 ft/min, 400 ft/min to 700 ft/min, or 500 ft/min to 600 ft/min.", "In some embodiments, a process includes agitating a cell composition or a lysed cell composition using an agitator having an impeller tip speed of 350 centimeters/second to 900 centimeters per second, 350 centimeters/second to 850 centimeters per second, 350 centimeters/second to 800 centimeters/second, 350 centimeters/second to 750 centimeters/second, 350 centimeters/second to 700 centimeters/second, 350 centimeters/second to 650 centimeters/second, 350 centimeters/second to 600 centimeters/second, 350 centimeters/second to 550 centimeters/second, 350 centimeters/second to 500 centimeters/second, 350 centimeters/second to 450 centimeters/second, 350 centimeters/second to 400 centimeters/second, 400 centimeters/second to 900 centimeters per second, 400 centimeters/second to 850 centimeters per second, 400 centimeters/second to 800 centimeters/second, 400 centimeters/second to 750 centimeters/second, 400 centimeters/second to 700 centimeters/second, 400 centimeters/second to 650 centimeters/second, 400 centimeters/second to 600 centimeters/second, 400 centimeters/second to 550 centimeters/second, 400 centimeters/second to 500 centimeters/second, 400 centimeters/second to 450 centimeters/second, 450 centimeters/second to 900 centimeters per second, 450 centimeters/second to 850 centimeters per second, 450 centimeters/second to 800 centimeters/second, 450 centimeters/second to 750 centimeters/second, 450 centimeters/second to 700 centimeters/second, 450 centimeters/second to 650 centimeters/second, 450 centimeters/second to 600 centimeters/second, 450 centimeters/second to 550 centimeters/second, 450 centimeters/second to 500 centimeters/second, 500 centimeters/second to 900 centimeters per second, 500 centimeters/second to 850 centimeters per second, 500 centimeters/second to 800 centimeters/second, 500 centimeters/second to 750 centimeters/second, 500 centimeters/second to 700 centimeters/second, 500 centimeters/second to 650 centimeters/second, 500 centimeters/second to 600 centimeters/second, 500 centimeters/second to 550 centimeters/second, 550 centimeters/second to 900 centimeters per second, 550 centimeters/second to 850 centimeters per second, 550 centimeters/second to 800 centimeters/second, 550 centimeters/second to 750 centimeters/second, 550 centimeters/second to 700 centimeters/second, 550 centimeters/second to 650 centimeters/second, 550 centimeters/second to 600 centimeters/second, 600 centimeters/second to 900 centimeters per second, 600 centimeters/second to 850 centimeters per second, 600 centimeters/second to 800 centimeters/second, 600 centimeters/second to 750 centimeters/second, 600 centimeters/second to 700 centimeters/second, 600 centimeters/second to 650 centimeters/second, 650 centimeters/second to 900 centimeters per second, 650 centimeters/second to 850 centimeters per second, 650 centimeters/second to 800 centimeters/second, 650 centimeters/second to 750 centimeters/second, 650 centimeters/second to 700 centimeters/second, 700 centimeters/second to 900 centimeters per second, 700 centimeters/second to 850 centimeters per second, 700 centimeters/second to 800 centimeters/second, 700 centimeters/second to 750 centimeters/second, 750 centimeters/second to 900 centimeters per second, 750 centimeters/second to 850 centimeters per second, 750 centimeters/second to 800 centimeters/second, 800 centimeters/second to 900 centimeters per second, 800 centimeters/second to 850 centimeters per second, or 850 centimeters/second to 900 centimeters/second.", "As used herein, “impeller tip speed” refers to the speed of the outer most portion of the impeller as it rotates around its central axis.", "In some embodiments, the agitating (and optionally additional steps as described herein) is performed in a container comprising an impeller, wherein a ratio of the impeller diameter to the container volume is 0.1 to 0.5, 0.1 to 0.4, 0.2 to 0.5, 0.2 to 0.4, 0.3 to 0.5, or 0.3 to 0.4.In some embodiments, the agitating (and optionally additional steps as described herein) is performed in a container comprising an impeller, wherein a ratio of the impeller diameter to the inner diameter of the container is at least 0.25, at least 0.34, at least 0.65, 0.25 to 0.65, 0.25 to 0.33, 0.3 to 0.6, 0.3 to 0.5, 0.3 to 0.4, 0.34 to 0.65, 0.34 to 0.6, 0.34 to 0.55, 0.37 to 0.55, 0.4 to 0.65, 0.4 to 0.6, 0.4 to 0.5, or 0.42 to 0.55.In some embodiments, agitating comprises mixing a cell composition or a lysed cell composition such that the cell composition or the lysed cell composition is placed under flow conditions described by a Reynolds number of 10 to 10,000, 1,000 to 10,000, 1,500 to 10,000, or 2,000 to 10,000.In some embodiments, a lysed cell emulsion during the agitating has a Reynolds number of 2,000 or more, 3,000 or more, or 5,000 or more, or 2,000 to 10,000, 3,000 to 10,000, or 5,000 to 10,000.In some embodiments, a process comprises agitating a cell composition or a lysed cell composition for at least 5 minutes, at least 10 minutes, at least 20 minutes, at least 30 minutes, at least 1 hour, at least 2 hours, at least 4 hours, at least 8 hours, at least 12 hours, at least 18 hours, at least 24 hours, at least 30 hours, at least 36 hours, at least 42 hours, at least 48 hours, at least 54 hours, at least 60 hours, at least 66 hours, at least 72 hours, at least 78 hours, at least 84 hours, at least 90 hours or at least 96 hours.", "In some embodiments, a process comprises agitating a cell composition or a lysed cell composition for 5 minutes to 96 hours, 5 minutes to 4 hours, 5 minutes to 2 hours, 5 minutes to 1 hour, 10 minutes to 4 hours, 10 minutes to 2 hours, 10 minutes to 1 hour, 1 hour to 96 hours, 1 hour to 84 hours, 1 hour to 72 hours, 1 hour to 60 hours, 1 hour to 48 hours, 1 hour to 36 hours, 1 hour to 24 hours, 1 hour to 4 hours, 4 hours to 96 hours, 4 hours to 84 hours, 4 hours to 72 hours, 4 hours to 60 hours, 4 hours to 48 hours, 4 hours to 36 hours, 4 hours to 24 hours, 8 hours to 96 hours, 8 hours to 84 hours, 8 hours to 72 hours, 8 hours to 60 hours, 8 hours to 48 hours, 8 hours to 36 hours, 8 hours to 24 hours, 8 hours to 12 hours, 12 hours to 96 hours, 12 hours to 84 hours, 12 hours to 72 hours, 12 hours to 60 hours, 12 hours to 48 hours, 12 hours to 36 hours, 12 hours to 24 hours, 20 hours to 40 hours, 24 hours to 96 hours, 24 hours to 84 hours, 24 hours to 72 hours, 24 hours to 60 hours, 24 hours to 48 hours, or 24 hours to 36 hours.", "In some embodiments, a process comprises simultaneously agitating and heating a cell composition or a lysed cell composition to demulsify the cell composition or the lysed cell composition.", "In some embodiments, a process comprises agitating a cell composition or a lysed cell composition at a temperature of at least 10° C., at least 20° C., at least 25° C., at least 30° C., at least 35° C., at least 40° C., at least 45° C., at least 50° C., at least 55° C., at least 60° C., at least 65° C., at least 70° C., at least 75° C., at least 80° C., at least 85° C., at least 90° C., at least 95° C., or at least 100° C. In some embodiments, a process comprises agitating a cell composition or a lysed cell composition at a temperature of 10° C. to 100° C., 10° C. to 90° C., 10° C. to 80° C., 10° C. to 70° C., 20° C. to 100° C., 20° C. to 90° C., 20° C. to 80° C., 20° C. to 70° C., 30° C. to 100° C., 30° C. to 90° C., 30° C. to 80° C., 30° C. to 70° C., 40° C. to 100° C., 40° C. to 90° C., 40° C. to 80° C., 50° C. to 100° C., 50° C. to 90° C., 50° C. to 80° C., 50° C. to 70° C., 60° C. to 100° C., 60° C. to 90° C., 60° C. to 80° C., 70° C. to 100° C., 70° C. to 90° C., 80° C. to 1000° C., 80° C. to 90° C., or 90° C. to 100° C. In some embodiments, the various combinations of forming a lysed cell composition, contacting a lysed cell composition with a base or raising the pH of a lysed cell composition, contacting a lysed cell composition with a salt, heating the lysed cell composition, and agitating a lysed cell composition can occur in a single vessel.", "In some embodiments, the various combinations of forming a cell composition, contacting a cell composition with a base or raising the pH of a cell composition, contacting a cell composition with a salt, heating the cell composition, and agitating a cell composition can occur in a single vessel.", "In some embodiments, the single vessel includes a fermentation vessel.", "In some embodiments, the fermentation vessel can have a volume of at least 20,000 liters, at least 50,000 liters, at least 100,000 liters, at least 120,000 liters, at least 150,000 liters, at least 200,000 liters, or at least 220,000 liters.", "In some embodiments, the fermentation vessel can have a volume of 20,000 liters to 220,000 liters, 20,000 liters to 100,000 liters, 20,000 liters to 50,000 liters, 50,000 liters to 220,000 liters, 50,000 liters to 150,000 liters, 50,000 liters to 100,000 liters, 100,000 liters to 220,000 liters, 100,000 liters to 150,000 liters, 100,000 liters to 120,000 liters, 150,000 liters to 220,000 liters, 150,000 liters to 200,000 liters, or 200,000 liters to 220,000 liters.", "In some embodiments, a quantity of cell composition or lysed cell composition formed in a vessel can be transferred into one or more agitation vessels.", "In some embodiments, the agitation vessels can have a volume of at least 20,000 liters, at least 30,000 liters, at least 40,000 liters or at least 50,000 liters.", "In some embodiments, the agitation vessels can have a volume of 20,000 liters to 50,000 liters, 20,000 liters to 40,000 liters, 20,000 liters to 30,000 liters, 30,000 liters to 50,000 liters, 30,000 liters to 40,000 liters or 40,000 liters to 50,000 liters.", "In some embodiments, the agitation vessels can have any combination of the following properties.", "In some embodiments, the agitation vessels can have two impellers.", "In some embodiments, the impellers are Rushton blade impellers.", "In some embodiments, the impellers are separated from each other by a distance at least equal to a diameter of the smallest impeller.", "In some embodiments, the impellers are 30 inches to 40 inches, 33 inches to 37 inches, 33 inches, 34 inches, 35 inches, 36 inches or 37 inches from tip to tip.", "In some embodiments, the agitation vessels have a volume of at least 10,000 liters, at least 20,000 liters, at least 30,000 liters, at least 40,000 liters or at least 50,000 liters.", "In some embodiments, the agitation vessels have an inner diameter of 90 inches to 110 inches, 95 inches to 105 inches, 98 inches, 99 inches, 100 inches, 101 inches, or 102 inches.", "In some embodiments, a first impeller is located 15 inches to 20 inches, 16 inches to 19 inches, or 17 inches to 18 inches from a bottom of the agitation vessel and a second impeller is located 60 inches to 80 inches, 65 inches to 75 inches, 68 inches, 69 inches, 70 inches, 71 inches, 72 inches, 73 inches, 74 inches, or 75 inches above the first impeller.", "In some embodiments, a lysed cell composition is agitated at least 50 rpm, at least 60 rpm, or at least 70 rpm.", "In some embodiments, a lysed cell composition is agitated at 50 rpm to 70 rpm, 50 rpm to 60 rpm, or 60 rpm to 70 rpm.", "In some embodiments, the cell composition, the lysed cell composition, or the lipid are harvested from a vessel by pumping the cell composition, the lysed cell composition, or the lipid from the vessel.", "In some embodiments, the cell composition, the lysed cell composition, or the lipid are harvested from a vessel without agitating the vessel.", "In some embodiments, the cell composition, the lysed cell composition, or the lipid are harvested from a vessel by pumping, without agitation, the cell composition, the lysed cell composition, or the lipid from the vessel.", "In some embodiments, the cell composition, the lysed cell composition, or the lipid are harvested from a vessel without blowing air.", "In some embodiments, harvesting the cell composition, the lysed cell composition, or the lipid by the techniques described above results in a crude lipid having a low anisidine value (e.g., 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less) and/or a low phosphorus content (e.g., 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less).", "As described herein, the present invention utilizes various combinations of contacting a lysed cell composition with a first base or raising the pH of a lysed cell composition, contacting a lysed cell composition with a salt, heating a lysed cell composition, and agitating a lysed cell composition to provide a treated lysed cell composition.", "As described herein, the present invention utilizes various combinations of contacting a cell composition with a first base or raising the pH of a cell composition, contacting a cell composition with a salt, heating a cell composition, and agitating a cell composition to provide a treated cell composition.", "The treated cell composition or treated lysed cell composition is at least partially demulsified compared with an untreated cell composition or treated lysed cell composition.", "Thus, a treated cell composition or treated lysed cell composition can be placed in a centrifuge and a lipid can be separated therefrom.", "In some embodiments, after raising the pH of a cell composition or lysed cell composition, e.g., by contacting with a first base, the heating the cell composition or lysed cell composition and/or the agitating the cell composition or lysed cell composition can decrease the pH of the treated cell composition or treated lysed cell composition.", "In order for a lipid to be more effectively separated from a treated cell composition or treated lysed cell composition by centrifuging, the pH of the treated cell composition or treated lysed cell composition is raised a second time, e.g., by contacting the treated cell composition or the treated lysed cell composition with a second base.", "In some embodiments, contacting a treated lysed cell composition with a second base raises the pH of the treated cell composition or the treated lysed cell composition.", "In some embodiments, a treated cell composition or a treated lysed cell composition is contacted with a second base to raise the pH of the treated cell composition or the treated lysed cell composition to 7 or above, 7.5 or above, 8 or above, 8.5 or above, 9 or above, 9.5 or above, 10 or above, 10.5 or above, 11 or above, 11.5 or above, or 12 or above.", "In some embodiments, a treated cell composition or a treated lysed cell composition is contacted with a second base to raise the pH of the treated lysed cell composition to 7 to 13, 7 to 12, 7 to 11, 7 to 10, 7 to 9, 7 to 8, 7 to 7.5, 7.5 to 8, 8 to 13, 8 to 12, 8 to 11, 8 to 10, 8 to 9, 8 to 8.5, 8.5 to 9, 9 to 12, 9 to 11, 9 to 10, 9 to 9.5, 9.5 to 10, 10 to 12, or 10 to 11.In some embodiments, the pH of a treated cell composition or a treated lysed cell emulsion is 7 or less, 6 or less, 5 or less, 4 or less, or 3 or less.", "The processes of the present invention comprise separating a lipid from a treated cell composition or a treated lysed cell composition.", "In some embodiments, a lipid is separated from a lysed cell emulsion after contacting a lysed cell emulsion with a second base, after the agitating a lysed cell emulsion, or after contacting a lysed cell emulsion with a salt by, for example, permitting the treated lysed cell emulsion to rest for a period of time sufficient for a lipid to separate from the treated lysed cell emulsion (e.g., as a separate layer).", "The lipid can be subsequently removed, for example, by decanting, skimming, vacuuming, pumping, sucking off, drawing off, siphoning, or otherwise removing the lipid from the surface of the treated lysed cell emulsion.", "In some embodiments, the separating comprises centrifuging a treated cell composition or a treated lysed cell composition (e.g., at a temperature of 30° C. to 100° C.), whereby the centrifuging separates a lipid from the treated cell composition or the treated lysed cell composition.", "In some embodiments, a process comprises centrifuging a treated cell composition or a treated lysed cell composition at a temperature of at least 10° C., at least 20° C., at least 25° C., at least 30° C., at least 35° C., at least 40° C., at least 45° C., at least 50° C., at least 55° C., at least 60° C., at least 65° C., at least 70° C., at least 75° C., at least 80° C., at least 85° C., at least 90° C., at least 95° C., or at least 100° C. In some embodiments, a process comprises centrifuging a treated cell composition or a treated lysed cell composition at a temperature of 10° C. to 100° C., 10° C. to 90° C., 10° C. to 80° C., 20° C. to 100° C., 20° C. to 90° C., 20° C. to 80° C., 25° C. to 100° C., 25° C. to 90° C., 25° C. to 80° C., 25° C. to 75° C., 30° C. to 100° C., 30° C. to 90° C., 30° C. to 80° C., 40° C. to 100° C., 40° C. to 90° C., 40° C. to 80° C., 50° C. to 100° C., 50° C. to 90° C., 50° C. to 80° C., 50° C. to 70° C., 60° C. to 100° C., 60° C. to 90° C., 60° C. to 80° C., 60° C. to 70° C., 70° C. to 100° C., or 70° C. to 90° C. In some embodiments, centrifuging is conducted at a feed rate (of a treated cell composition or a treated lysed cell composition into a centrifuge) of 1 kilogram per minute (kg/min) to 500 kg/min, 1 kg/min to 400 kg/min, 1 kg/min to 300 kg/min, 1 kg/min to 200 kg/min, 1 kg/min to 100 kg/min, 1 kg/min to 75 kg/min, 1 kg/min to 50 kg/min, 1 kg/min to 40 kg/min, 1 kg/min to 30 kg/min, 1 kg/min to 25 kg/min, 1 kg/min to 10 kg/min, 10 kg/min to 500 kg/min, 10 kg/min to 400 kg/min, 10 kg/min to 300 kg/min, 10 kg/min to 200 kg/min, 10 kg/min to 100 kg/min, 10 kg/min to 75 kg/min, 10 kg/min to 50 kg/min, 10 kg/min to 40 kg/min, 10 kg/min to 30 kg/min, 20 kg/min to 500 kg/min, 20 kg/min to 400 kg/min, 20 kg/min to 300 kg/min, 20 kg/min to 200 kg/min, 20 kg/min to 100 kg/min, 20 kg/min to 75 kg/min, 20 kg/min to 50 kg/min, 20 kg/min to 40 kg/min, 25 kg/min to 500 kg/min, 25 kg/min to 400 kg/min, 25 kg/min to 300 kg/min, 25 kg/min to 200 kg/min, 25 kg/min to 100 kg/min, 25 kg/min to 75 kg/min, 25 kg/min to 50 kg/min, 30 kg/min to 60 kg/min, 30 kg/min to 50 kg/min, 30 kg/min to 40 kg/min, 50 kg/min to 500 kg/min, 100 kg/min to 500 kg/min, or 200 kg/min to 500 kg/min.", "The total time required for the separating can vary depending on the volume of the treated cell composition or the treated lysed cell composition.", "Typical total time for separation (e.g., centrifuge time) is at least 0.1 hour, at least 0.2 hour, at least 0.5 hour, at least 1 hour, at least 2 hours, at least 4 hours, at least 6 hours, at least 8 hours, at least 10 hours, at least 12 hours, or 0.1 hour to 24 hours, 0.5 hour to 24 hours, 1 hour to 12 hours, 2 hours to 10 hours, or 4 hours to 8 hours.", "In some embodiments, a process of the invention comprises centrifuging a treated cell composition or a treated lysed cell composition at a centrifugal force of 1,000 g to 25,000 g, 1,000 g to 20,000 g, 1,000 g to 10,000 g, 2,000 g to 25,000 g, 2,000 g to 20,000 g, 2,000 g to 15,000 g, 3,000 g to 25,000 g, 3,000 g to 20,000 g, 5,000 g to 25,000 g, 5,000 g to 20,000 g, 5,000 g to 15,000 g, 5,000 g to 10,000 g, 5,000 g to 8,000 g, 10,000 g to 25,000 g, 15,000 g to 25,000 g, or at least 1,000 g, at least 2,000, g, at least 4,000 g, at least 5,000 g, at least 7,000 g, at least 8,000 g, at least 10,000 g, at least 15,000 g, at least 20,000 g, or at least 25,000 g. As used herein, “g” refers to standard gravity or approximately 9.8 m/s2.In some embodiments, a process of the invention comprises centrifuging a treated cell composition or a treated lysed cell composition at 4,000 rpm to 14,000 rpm, 4,000 rpm to 10,000 rpm, 6,000 rpm to 14,000 rpm, 6,000 rpm to 12,000 rpm, 8,000 to 14,000 rpm, 8,000 rpm to 12,000 rpm, or 8,000 rpm to 10,000 rpm.", "In some embodiments, a process of the invention comprises drying a lipid after separation of the lipid from a treated cell composition or a treated lysed cell composition in order to remove water from the lipid.", "In some embodiments, drying the lipid can include, but is not limited to, heating the lipid to evaporate water.", "In some embodiments, after drying, the lipid has a water content by weight percentage of lipid that is less than 3%, less than 2.5%, less than 2%, less than 1.5%, less than 1%, less than 0.5%, less than 0.1%, or 0%.", "In some embodiments, after drying, the lipid has a water content by weight percentage of lipid of 0% to 3%, 0% to 2.5%, 0% to 2%, 0% to 1.5%, 0% to 1%, 0% to 0.5%, 0.1% to 3%, 0.1% to 2.5%, 0.1% to 2%, 0.1% to 1.5%, 0.1% to 1%, 0.1% to 0.5%, 0.5% to 3%, 0.5% to 2.5%, 0.5% to 2%, 0.5% to 1.5%, 0.5% to 1%, 1% to 3%, 1% to 2.5%, 1% to 2%, 1% to 1.5%, 1.5% to 3%, 1.5% to 2.5%, 1.5% to 2%, 2% to 3%, 2% to 2.5%, or 2.5% to 3%.", "In some embodiments, a process further comprises refining a lipid by one or more processes selected from caustic refining, degumming, alkali-refining, bleaching, deodorization, deacidification, or the like, and combinations thereof to remove one or more phospholipids, free fatty acids, phosphatides, color bodies, sterols, odors, and other impurities.", "As used herein, a “refined oil” is a crude lipid or crude oil that has been refined.", "As used herein, “a crude lipid” or “a crude oil” is a lipid or oil that has not been refined.", "In some embodiments, the lipid separated from a demulsified cell composition is a crude lipid.", "Various exemplary processes of the present invention are described schematically in FIGS.", "1-4.Referring to FIG.", "1, in some embodiments, the present invention is directed to a process (100) for obtaining a lipid (110) from a cell (101), comprising lysing (102) the cell (101) to form a lysed cell composition (103).", "The lysed cell composition is contacted with a first base (104) to demulsify lysed cell composition (103), contacted with a salt (105) to demulsify lysed cell composition (103), and heated (106), e.g., for 10 minutes to 96 hours, to provide a treated lysed cell composition (107).", "The treated lysed cell composition (107) is contacted with a second base (108) and separated (109), e.g., at a temperature of 10° C. to 100° C., to provide a lipid (110).", "Referring to FIG.", "2, in some embodiments, the present invention is directed to a process (200) for obtaining a lipid (210) from a cell, the process comprising lysing (102) a cell (101) to form a lysed cell composition (103).", "The lysed cell composition is then contacted with a base (204) to demulsify lysed cell composition (103) and to provide a treated lysed cell composition (207).", "The treated lysed cell composition (207) is separated (209), e.g., at a temperature of 10° C. to 100° C., to provide a lipid (210).", "Referring to FIG.", "3, in some embodiments, the present invention is directed to a process (300) for obtaining a lipid (310) from a cell, the process comprising lysing (102) a cell (101) to form a lysed cell composition (103).", "The lysed cell composition is then contacted with a salt (305) to demulsify lysed cell composition (103) and to provide a treated lysed cell composition (307), which is separated (309), e.g., at a temperature of 10° C. to 100° C., to provide a lipid (310).", "Referring to FIG.", "4, in some embodiments, the present invention is directed to a process (400) for obtaining a lipid (410) from a cell, the process comprising lysing (102) a cell (101) to form a lysed cell composition (103).", "The lysed cell composition is then contacted with a salt (405) to demulsify lysed cell composition (103) and agitated (401), e.g., for 5 minutes to 96 hours, and optionally heated (402), to provide a treated lysed cell composition (407).", "The treated lysed cell composition is then separated (409), e.g., at a temperature of 10° C. to 100° C., to provide a lipid (410).", "In some embodiments, a process of the present invention comprises concentrating a broth comprising a microbial cell, a broth comprising plant material and/or concentrating a lysed cell composition.", "As used herein, “concentrating” refers to removing water from a composition.", "Concentrating can include, but is not limited to, evaporating, chemical drying, centrifuging, and the like, and combinations thereof.", "In some embodiments, a broth comprising a microbial cell or a broth comprising plant material is concentrated to provide a lipid concentration of at least 4%, at least 5%, at least 10%, at least 15%, at least 20%, at least 25%, or at least 30% by weight of the broth.", "In some embodiments, a broth comprising a microbial cell or a broth comprising plant material is concentrated to provide a lipid concentration of 4% to 40%, 4% to 30%, 4% to 20%, 4% to 15%, 5% to 40%, 5% to 30%, 5% to 20%, 10% to 40%, 10% to 30%, 10% to 20%, 15% to 40%, 15% to 30%, 20% to 40%, 20% to 30%, 25% to 40%, or 30% to 40% by weight of the broth.", "In some embodiments, a cell composition or a lysed cell composition is concentrated to provide a lipid concentration of at least 4%, at least 5%, at least 10%, at least 15%, at least 20%, at least 25%, or at least 30% by weight of the lysed cell composition.", "In some embodiments, a cell composition or a lysed cell composition is concentrated to provide a lipid concentration of 4% to 40%, 4% to 30%, 4% to 20%, 4% to 15%, 5% to 40%, 5% to 30%, 5% to 20%, 10% to 40%, 10% to 30%, 10% to 20%, 15% to 40%, 15% to 30%, 20% to 40%, 20% to 30%, 25% to 40%, or 30% to 40% by weight of the lysed cell composition.", "In some embodiments, a lipid prepared by a process of the present invention has an overall aroma intensity of 2 or less.", "As used herein, the term “overall aroma intensity” refers to the olfactory sensory rating given to the lipid by a panel of sensory analysts.", "As used herein, the term “sensory analyst” refers to a trained individual that provides feedback on and/or rates the sensory characteristics of a substance.", "In some embodiments, a lipid prepared by a process of the present invention has an overall aromatic intensity of 3 or less.", "As used herein, the term “overall aromatic intensity” refers to the gustatory, or taste, sensory rating given to the lipid by a panel of sensory analysts.", "In some embodiments, the Universal Spectrum descriptive analysis method is used to assess the aroma and aromatic characteristics of samples.", "This method uses an intensity scale of 0-15, where 0=none detected and 15=very high intensity, to measure the aroma and aromatic attributes of the oils.", "In some embodiments, a lipid prepared by a process of the present invention does not have an aftertaste characterized as fishy.", "As used herein, the term “aftertaste” refers to the persistence of a sensation of a flavor in the lipid, as characterized by a panel of sensory analysts.", "In some embodiments, a process of the present invention provides a crude lipid having a peroxide value (PV) of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less.", "As used herein, the terms “peroxide value” or “PV” refer to the measure of primary reaction products, such as peroxides and hydroperoxides, that occur during oxidation of the lipid.", "In some embodiments, the PV is an indicator of the quality of the lipid and the extent of oxidation which has occurred in the lipid having a low PV (i.e., 5 or less) demonstrates increased stability and sensory profiles than lipids having a PV greater than 5.In some embodiments, adding a base to a lysed cell composition, as discussed above, raises the pH of the lysed cell composition and inhibits lipid oxidation, thereby minimizing the amount of free radicals in the lysed cell composition so that the crude lipid obtained from the processes of the invention has a low PV (i.e., 5 or less).", "In some embodiments, a process of the present invention provides a crude lipid having an anisidine value (AV) of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less.", "As used herein, the terms “anisidine value” or “AV” refer to the measure of secondary reaction products, such as aldehydes and ketones, that occur during oxidation of the lipid.", "In some embodiments, the AV is an indicator of the quality of the lipid and the extent of oxidation which has occurred in the lipid.", "A lipid having a low AV (i.e., 26 or less) demonstrates increased stability and sensory profiles than lipids having an AV greater than 26.In some embodiments, adding a base to a lysed cell composition, as discussed above, raises the pH of the lysed cell composition and inhibits lipid oxidation, thereby minimizing the amount of free radicals in the lysed cell composition so that the crude lipid obtained from the processes of the invention has a low AV (i.e., 26 or less).", "In some embodiments, a process of the present invention provides a crude lipid having a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, a process of the present invention provides a crude lipid that has a lower anisidine value, lower peroxide value, lower phosphorus content and/or a higher extraction yield than if extraction was performed using a solvent (e.g., atypical hexane extraction or a FRIOLEX® process (Westfalia Separator AG, Germany)).", "The FRIOLEX® process which is a process of extracting lipids with a water-soluble organic solvent as described in U.S. Pat.", "No.", "5,928,696 and International Pub.", "Nos.", "WO 01/76385 and WO 01/76715, each of which is incorporated by reference herein in its entirety.", "In some embodiments, heating the lysed cell composition causes the secondary reaction products (e.g., aldehydes and ketones) to participate in a reaction similar to the Maillard reaction with proteins present in the lysed cell composition.", "The reaction is believed to create products that possess antioxidant activity, which reduces the oxidation of the lipid.", "In some embodiments, additional protein, e.g., soy protein, can be added to the lysed cell composition to increase the antioxidant activity.", "The reduction in oxidation of the lipid reduces the AV of the lipid, reduces any aftertaste of the lipid and/or increases the stability of the lipid.", "In some embodiments, the stability is increased at least 5%, at least 10%, at least 15% or at least 20%.", "In some embodiments, a lipid extracted by a process of the present invention, the biomass remaining after extraction of the lipid, or combinations thereof can be used directly as a food or food ingredient, such as an ingredient in baby food, infant formula, beverages, sauces, dairy based foods (such as milk, yogurt, cheese and ice-cream), oils (e.g., cooking oils or salad dressings), and baked goods; nutritional supplements (e.g., in capsule or tablet forms); feed or feed supplement for any non-human animal (e.g., those whose products (e.g., meat, milk, or eggs) are consumed by humans); food supplements; and pharmaceuticals (in direct or adjunct therapy application).", "The term “animal” refers to any organism belonging to the kingdom Animalia and includes any human animal, and non-human animal from which products (e.g., milk, eggs, poultry meat, beef, pork or lamb) are derived.", "In some embodiments, the lipid and/or biomass can be used in seafood.", "Seafood is derived from, without limitation, fish, shrimp and shellfish.", "The term “products” includes any product derived from such animals, including, without limitation, meat, eggs, milk or other products.", "When the lipid and/or biomass are fed to such animals, polyunsaturated lipids can be incorporated into the flesh, milk, eggs or other products of such animals to increase their content of these lipids.", "Microbial Lipids In some embodiments, the present invention is directed to a microbial lipid extracted according to the processes of the present invention.", "In some embodiments, a crude microbial lipid has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the crude microbial lipid has less than 5%, less than 4%, less than 3%, less than 2%, or less than 1% by weight or volume of an organic solvent.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of a desired PUFA.", "In some embodiments, the crude microbial lipid has at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of DHA, and/or at least 10%, at least 15%, or at least 20% by weight of DPA n-6, and/or at least 10%, at least 15%, or at least 20% by weight of EPA, and/or at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 45%, or at least 50% by weight of ARA.", "In some embodiments a crude microbial lipid extracted according to the processes of the present invention result in a lower anisidine value, lower peroxide value, lower phosphorus content and/or a higher extraction yield than if extraction was performed using a solvent (e.g., a typical hexane extraction or a FRIOLEX® process (Westfalia Separator AG, Germany)).", "Lipids Extracted from a First Set of Isolated Thraustochytrid Microorganisms In some embodiments, the present invention is further directed to a microbial lipid extracted from a thraustochytrid as described in U.S. Pub.", "No.", "2010/0239533 and Int'l.", "Pub.", "No.", "WO 2010/107415, each of which is incorporated by reference herein in its entirety.", "In some embodiments, the method comprises growing a thraustochytrid in a culture to produce a biomass and extracting a lipid comprising omega-3 fatty acids from the biomass.", "The lipid can be extracted from a freshly harvested biomass or can be extracted from a previously harvested biomass that has been stored under conditions that prevent spoilage.", "Known methods can be used to culture a thraustochytrid of the invention, to isolate a biomass from the culture, and to analyze the fatty acid profile of oils extracted from the biomass.", "See, e.g., U.S. Pat.", "No.", "5,130,242, incorporated by reference herein in its entirety.", "The lipid can be extracted according to the processes of the present invention.", "A microbial lipid of the invention can be any lipid derived from a microorganism, including, for example: a crude oil extracted from the biomass of the microorganism without further processing; a refined oil that is obtained by treating a crude microbial oil with further processing steps such as refining, bleaching, and/or deodorizing; a diluted microbial oil obtained by diluting a crude or refined microbial oil; or an enriched oil that is obtained, for example, by treating a crude or refined microbial oil with further methods of purification to increase the concentration of a fatty acid (such as DHA) in the oil.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of 0%, at least 0.1%, at least 0.2%, at least 0.5%, at least about 1%, at least 1.5%, at least 2%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of from 0% to 1.5%, 0% to 2%, 0% to 5%, 1% to 1.5%, 0.2% to 1.5%, 0.2% to 2%, or 0.2% to 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of less than 5%, less than 4%, less than 3%, or less than 2% by weight.", "In some embodiments, the microbial lipid comprises a triglyceride fraction of at least 65%, at least 70%, at least 75%, at least 80%, at least 85%, or at least 90% by weight.", "In some embodiments, the microbial lipid comprises a triglyceride fraction of from 65% to 95%, 75% to 95%, or 80% to 95% by weight, or 97% by weight, or 98% by weight.", "In some embodiments, the microbial lipid comprises a free fatty acid fraction of at least 0.5%, at least 1%, at least 1.5%, at least 2%, at least 2.5%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a free fatty acid fraction of from 0.5% to 5%, 0.5% to 2.5%, 0.5% to 2%, 0.5% to 1.5%, 0.5% to 1%, 1% to 2.5%, 1% to 5%, 1.5% to 2.5%, 2% to 2.5%, or 2% to 5% by weight.", "In some embodiments, the microbial lipid comprises a free fatty acid fraction of less than 5%, less than 4%, less than 3%, less than 2%, or less than 1% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of at least 0.5%, at least 1%, at least 1.5%, at least 2%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of from 0.5% to 1.5%, 1% to 1.5%, 0.5% to 2%, 0.5% to 5%, 1% to 2%, or 1% to 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of less than 5%, less than 4%, less than 3%, less than 2%, or less than 1% by weight.", "In some embodiments, the microbial lipid comprises a diglyceride fraction of at least 1.5%, at least 2%, at least 2.5%, at least 3%, at least 3.5%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a diglyceride fraction of from 1.5% to 3%, 2% to 3%, 1.5% to 3.5%, 1.5% to 5%, 2.5% to 3%, 2.5% to 3.5%, or 2.5% to 5% by weight.", "In some embodiments, the microbial lipid comprises unsaponifiables of less than 2%, less than 1.5%, less than 1%, or less than 0.5% by weight of the oil.", "The lipid classes present in the microbial oil, such as the triglyceride fraction, can be separated by flash chromatography and analyzed by thin layer chromatography (TLC), or separated and analyzed by other methods know in the art.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, and combinations thereof, comprises at least 40%, at least 45%, at least 50%, at least 55%, at least 60%, at least 65%, at least 70%, at least 75%, or at least 80% by weight DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, and combinations thereof, comprises from 40% to 45%, 40% to 50%, 40% to 60%, 50% to 60%, 55% to 60%, 40% to 65%, 50% to 65%, 55% to 65%, 40% to 70%, 40% to 80%, 50% to 80%, 55% to 80%, 60% to 80%, or 70% to 80% by weight DHA.", "In some embodiments, the microbial lipid comprises a sterol esters fraction comprising 45% or less, 40% or less, 35% or less, 30% or less, 25% or less, 20% or less, 15% or less, or 13% or less by weight DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, and combinations thereof, comprises 10% or less, 9% or less, 8% or less, 7% or less, 6% or less, 5% or less, 4% or less, 3% or less, 2% or less, or 1% or less by weight EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, and combinations thereof, comprises from 2% to 3%, 2% to 3.5%, 2.5% to 3.5%, 2% to 6%, 2.5% to 6%, 3.0% to 6%, 3.5% to 6%, 5% to 6%, or 2% to 10% by weight EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, is substantially free of EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises a weight ratio of DHA to EPA of at least 5:1, at least 7:1, at least 9:1, at least 10:1, at least 15:1, at least 20:1, at least 25:1, at least 30:1, or at least 50:1, wherein the microbial lipid and/or one or more fractions thereof comprises 10% or less by weight of EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises a weight ratio of DHA to EPA of at least 5:1, but less than 20:1.In some embodiments, the weight ratio of DHA to EPA is from 5:1 to 18:1, from 7:1 to 16:1, or from 10:1 to 15:1.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof comprises from 0.1% to 0.25%, 0.2% to 0.25%, 0.1% to 0.5%, or 0.1% to 1.5% by weight ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises 1.5% or less, 1% or less, 0.5% or less, 0.2% or less, or 0.1% or less by weight ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, is substantially free of ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises a weight ratio of DHA to ARA of at least 20:1, at least 30:1, at least 35:1, at least 40:1, at least 60:1, at least 80:1, at least 100:1, at least 150:1, at least 200:1, at least 250:1, or at least 300:1.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises from 0.5% to 1%, 0.5% to 2%, 0.5% to 2.5%, 0.5% to 3%, 0.5% to 3.5%, 0.5% to 5%, 0.5% to 6%, 1% to 2%, 2% to 3%, 2% to 3.5%, 1% to 2.5%, 1% to 3%, 1% to 3.5%, 1% to 5%, or 1% to 6% by weight DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises 6% or less, 5% or less, 3% or less, 2.5% or less, 2% or less, 1% or less, or 0.5% or less by weight DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, is substantially free of DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises a weight ratio of DHA to DPA n-6 of greater than 6:1, of at least 8:1, at least 10:1, at least 15:1, at least 20:1, at least 25:1, at least 50:1, or at least 100:1.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises 5% or less, 4% or less, 3% or less, 2% or less, 1.5% or less, 1% or less, or 0.5% or less by weight each of linoleic acid (18:2 n-6), linolenic acid (18:3 n-3), eicosenoic acid (20:1 n-9), and erucic acid (22:1 n-9).", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the sterol esters fraction, the triglyceride fraction, the free fatty acid fraction, the sterol fraction, the diglyceride fraction, the polar fraction (including the phospholipid fraction), and combinations thereof, comprises 5% or less, 4% or less, 3% or less, 2% or less, 1.5% or less, or 1% or less by weight of heptadecanoic acid (17:0).", "In some embodiments, the microbial lipid and/or one or more fractions thereof comprise 0.01% to 5% by weight, 0.05% to 3% by weight, or 0.1% to 1% by weight of heptadecanoic acid.", "In some embodiments, an extracted microbial lipid comprises a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 50% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, and wherein the oil has an anisidine value of 26 or less.", "In some embodiments, an extracted microbial lipid comprises a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 40% by weight, wherein the docosapentaenoic acid n-6 content of the triglyceride fraction is from at least 0.5% by weight to 6% by weight, wherein the ratio of docosahexaenoic acid to docosapentaenoic acid n-6 is greater than 6:1, and wherein the lipid has an anisidine value of 26 or less.", "In some embodiments, an extracted microbial lipid comprises a triglyceride fraction of at least 70% by weight, wherein the docosahexaenoic acid content of the triglyceride fraction is at least 60% by weight and wherein the lipid has an anisidine value of 26 or less.", "In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles is extracted from an isolated thraustochytrid microorganism having the characteristics of the thraustochytrid species deposited under ATCC Accession No.", "PTA-9695, PTA-9696, PTA-9697, or PTA-9698.In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles is a crude lipid.", "In some embodiments, the crude lipid has less than 5% by weight or volume of an organic solvent.", "In some embodiments the microbial lipid extracted according to the processes of the present invention result in a lower anisidine value, lower peroxide value, lower phosphorus content and/or a higher extraction yield if extraction was performed using a solvent (e.g., atypical hexane extraction or a FRIOLEX™ process (Westfalia Separator AG, Germany)).", "Lipids Extracted from a Second Set of Isolated Thraustochytrid Microorganisms In some embodiments, the present invention is further directed to a microbial lipid extracted from a thraustochytrid as described in U.S. application Ser.", "No.", "12/729,013 and PCT/US2010/028175, each of which is incorporated by reference herein in its entirety.", "In some embodiments, the method comprises growing a thraustochytrid in a culture to produce a biomass and extracting a lipid comprising omega-3 fatty acids from the biomass.", "The lipid can be extracted from a freshly harvested biomass or can be extracted from a previously harvested biomass that has been stored under conditions that prevent spoilage.", "Known methods can be used to culture a thraustochytrid of the invention, to isolate a biomass from the culture, and to analyze the fatty acid profile of oils extracted from the biomass.", "See, e.g., U.S. Pat.", "No.", "5,130,242, incorporated by reference herein in its entirety.", "The lipid can be extracted according to the processes of the present invention.", "A microbial lipid of the invention can be any lipid derived from a microorganism, including, for example: a crude oil extracted from the biomass of the microorganism without further processing; a refined oil that is obtained by treating a crude microbial oil with further processing steps such as refining, bleaching, and/or deodorizing; a diluted microbial oil obtained by diluting a crude or refined microbial oil; or an enriched oil that is obtained, for example, by treating a crude or refined microbial oil with further methods of purification to increase the concentration of a fatty acid (such as DHA) in the oil.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of 0%, at least 0.1%, at least 0.2%, at least 0.5%, at least 1%, at least 1.5%, at least 2%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of 0% to 1.5%, 0% to 2%, 0% to 5%, 1% to 1.5%, 0.2% to 1.5%, 0.2% to 2%, or 0.2% to 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol esters fraction of 5% or less, 4% or less, 3% or less, 2% or less, 1% or less, 0.5% or less, 0.3% or less, 0.2% or less, 0.5% or less, 0.4% or less, 0.3% or less, or 0.2% or less by weight.", "In some embodiments, the microbial lipid comprises a triacylglycerol fraction of at least 35%, at least 40%, at least 45%, at least 50%, at least 55%, at least 60%, at least 65%, at least 70%, at least 75%, at least 80%, at least 85%, or at least 90% by weight.", "In some embodiments, the microbial lipid comprises a triacylglycerol fraction of 35% to 98%, 35% to 90%, 35% to 80%, 35% to 70%, 35% to 70%, 35% to 65%, 40% to 70%, 40% to 65%, 40% to 55%, 40% to 50%, 65% to 95%, 75% to 95%, 75% to 98%, 80% to 95%, 80% to 98%, 90% to 96%, 90% to 97%, 90% to 98%, 90%, 95%, 97%, or 98% by weight.", "In some embodiments, the microbial lipid comprises a diacylglycerol fraction of at least 10%, at least 11%, at least 12%, at least 13%, at least 14%, at least 15%, at least 16%, at least 17%, at least 18%, at least 19%, or at least 20% by weight.", "In some embodiments, the microbial lipid comprises a diacylglycerol fraction of 10% to 45%, 10% to 40%, 10% to 35%, 10% to 30%, 15% to 40%, 15% to 35%, or 15% to 30% by weight.", "In some embodiments, the microbial lipid comprises a 1,2-diacylglycerol fraction of at least 0.2%, at least 0.3%, at least 0.4%, at least 0.5%, at least 1%, at least 5%, at least 10%, at least 11%, at least 12%, at least 13%, at least 14%, at least 15%, at least 16%, at least 17%, at least 18%, at least 19%, or at least 20% by weight.", "In some embodiments, the microbial lipid comprises a diacylglycerol fraction of 0.2% to 45%, 0.2% to 30%, 0.2% to 20%, 0.2% to 10%, 0.2% to 5%, 0.2% to 1%, 0.2% to 0.8%, 0.4% to 45%, 0.4% to 30%, 0.4% to 20%, 0.4% to 10%, 0.4% to 5%, 0.4% to 1%, 0.4% to 0.8%, 0.5% to 1%, 0.5% to 0.8%, 10% to 45%, 10% to 40%, 10% to 35%, 10% to 30%, 15% to 40%, 15% to 35%, 15% to 30%, or 15% to 25% by weight.", "In some embodiments, the microbial lipid comprises a 1,3-diacylglycerol fraction of at least 0.1%, at least 0.2%, at least 0.5%, at least 1%, at least 2%, at least 2.5%, or at least 3% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of at least 0.3%, at least 0.4%, at least 0.5%, at least 1%, at least 1.5%, at least 2%, or at least 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of 0.3% to 5%, 0.3% to 2%, 0.3% to 1.5%, 0.5% to 1.5%, 1% to 1.5%, 0.5% to 2%, 0.5% to 5%, 1% to 2%, or 1% to 5% by weight.", "In some embodiments, the microbial lipid comprises a sterol fraction of 5% or less, 4% or less, 3% or less, 2% or less, 1.5% or less, or 1% or less by weight.", "In some embodiments, the microbial lipid comprises a phospholipid fraction of at least 2%, at least 5%, or at least 8% by weight.", "In some embodiments, the microbial lipid comprises a phospholipid fraction of 2% to 25%, 2% to 20%, 2% to 15%, 2% to 10%, 5% to 25%, 5% to 20%, 5% to 20%, 5% to 10%, or 7% to 9% by weight.", "In some embodiments, the microbial lipid comprises a phospholipid fraction of less than 20%, less than 15%, less than 10%, less than 9%, or less than 8% by weight.", "In some embodiments, the microbial lipid is substantially free of phospholipids.", "In some embodiments, the microbial lipid comprises unsaponifiables of less than 2%, less than 1.5%, less than 1%, or less than 0.5% by weight of the oil.", "The lipid classes present in the microbial lipid, such as a triacylglycerol fraction, can be separated by flash chromatography and analyzed by thin layer chromatography (TLC), or separated and analyzed by other methods known in the art.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the free fatty acid fraction, the sterol fraction, the diacylglycerol fraction, and combinations thereof, comprises at least 5%, at least 10%, more than 10%, at least 12%, at least 13%, at least 14%, at least 15%, at least 16%, at least 17%, at least 18%, at least 19%, at least 20%, at least 25%, at least 30%, least 35%, at least 40%, or at least 45% by weight EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the free fatty acid fraction, the sterol fraction, the diacylglycerol fraction, and combinations thereof, comprises 5% to 55%, 5% to 50%, 5% to 45%, 5% to 40%, 5% to 35%, 5% to 30%, 10% to 55%, 10% to 50%, 10% to 45%, 10% to 40%, 10% to 35%, 10% to 30%, at least 12% to 55%, at least 12% to 50%, at least 12% to 45%, at least 12% to 40%, at least 12% to 35%, or at least 12% to 30%, 15% to 55%, 15% to 50%, 15% to 45%, 15% to 40%, 15% to 35%, 15% to 30%, 15% to 25%, 15% to 20%, 20% to 55%, 20% to 50%, 20% to 45%, 20% to 40%, or 20% to 30% by weight EPA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises at least 5%, at least 10%, at least 15%, at least 20%, at least 25%, at least 30%, at least 35%, at least 40%, at least 50%, or at least 60% by weight DI-IA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 5% to 60%, 5% to 55%, 5% to 50%, 5% to 40%, 10% to 60%, 10% to 50%, 10% to 40%, 20% to 60%, 25% to 60%, 25% to 50%, 25% to 45%, 30% to 50%, 35% to 50%, or 30% to 40% by weight DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 10% or less, 9% or less, 8% or less, 7% or less, 6% or less, 5% or less, 4% or less, 3% or less, 2% or less, or 1% or less by weight DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 1% to 10%, 1% to 5%, 2% to 5%, 3% to 5%, or 3% to 10% by weight of the fatty acids as DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, is substantially free of DHA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 0.1% to 5%, 0.1% to less than 5%, 0.1% to 4%, 0.1% to 3%, 0.1% to 2%, 0.2% to 5%, 0.2% to less than 5%, 0.2% to 4%, 0.2% to 3%, 0.2% to 2%, 0.3% to 2%, 0.1% to 0.5%, 0.2% to 0.5%, 0.1% to 0.4%, 0.2% to 0.4%, 0.5% to 2%, 1% to 2%, 0.5% to 1.5%, or 1% to 1.5% by weight ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 5% or less, less than 5%, 4% or less, 3% or less, 2% or less, 1.5% or less, 1% or less, 0.5% or less, 0.4% or less, 0.3% or less, 0.2% or less, or 0.1% or less by weight ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, is substantially free of ARA.", "In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 0.4% to 2%, 0.4% to 3%, 0.4% to 4%, 0.4% to 5%, 0.4% to less than 5%, 0.5% to 1%, 0.5% to 2%, 0.5% to 3%, 0.5% to 4%, 0.5% to 5%, 0.5% to less than 5%, 1% to 2%, 1% to 3%, 1% to 4%, 1% to 5%, or 1% to less than 5% by weight DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises 5%, less than 5%, 4% or less, 3% or less, 2% or less, 1% or less, 0.75% or less, 0.6% or less, or 0.5% or less by weight DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, is substantially free of DPA n-6.In some embodiments, the microbial lipid and/or one or more fractions thereof selected from the triacylglycerol fraction, the diacylglycerol fraction, the sterol fraction, the sterol esters fraction, the free fatty acids fraction, the phospholipid fraction, and combinations thereof, comprises fatty acids with 5% or less, less than 5%, 4% or less, 3% or less, or 2% or less by weight of oleic acid (18:1 n-9), linoleic acid (18:2 n-6), linolenic acid (18:3 n-3), eicosenoic acid (20:1 n-9), erucic acid (22:1 n-9), stearidonic acid (18:4 n-3), or combinations thereof.", "In some embodiments, an extracted microbial lipid comprises at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "In some embodiments, an extracted microbial lipid comprises a triacylglycerol fraction of at least 10% by weight, wherein at least 12% by weight of the fatty acids in the triacylglycerol fraction is eicosapentaenoic acid, wherein at least 25% by weight of the fatty acids in the triacylglycerol fraction is docosahexaenoic acid, and wherein less than 5% by weight of the fatty acids in the triacylglycerol fraction is arachidonic acid.", "In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, 2 or less, or 1 or less, and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles is extracted from an isolated thraustochytrid microorganism having the characteristics of the thraustochytrid species deposited under ATCC Accession No.", "PTA-10208, PTA-10209, PTA-10210, PTA-10211, PTA-10212, PTA-10213, PTA-10214, or PTA-10215.In some embodiments, an extracted microbial lipid having any of the above fatty acid profiles is a crude lipid.", "In some embodiments, the crude lipid has less than 5% by weight or volume of an organic solvent.", "In some embodiments a microbial lipid extracted according to the processes of the present invention result in a lower anisidine value, and/or lower peroxide value, and/or lower phosphorus content than if extraction was performed using a typical hexane extraction or a FRIOLEX® process (Westfalia Separator AG, Germany).", "In some embodiments, a lipid obtained by any of the processes of the present invention comprises at least 20% by weight eicosapentaenoic acid and less than 5% by weight each of arachidonic acid, docosapentaenoic acid n-6, oleic acid, linoleic acid, linolenic acid, eicosenoic acid, erucic acid, and stearidonic acid.", "In some embodiments, a lipid obtained by any of the processes of the present invention comprises a triacylglycerol fraction of at least 10% by weight, wherein at least 12% by weight of the fatty acids in the triacylglycerol fraction is eicosapentaenoic acid, wherein at least 25% by weight of the fatty acids in the triacylglycerol fraction is docosahexaenoic acid, and wherein less than 5% by weight of the fatty acids in the triacylglycerol fraction is arachidonic acid.", "In some embodiments, a lipid obtained by any of the processes of the present invention having any of the above fatty acid profiles has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, or 2 or less, or 1 or less and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less, and/or a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, a lipid obtained by any of the processes of the present invention having any of the above fatty acid profiles is extracted from an isolated thraustochytrid microorganism having the characteristics of the thraustochytrid species deposited under ATCC Accession No.", "PTA-10208, PTA-10209, PTA-10210, PTA-10211, PTA-10212, PTA-10213, PTA-10214, or PTA-10215.In some embodiments, a lipid obtained by any of the processes of the present invention having any of the above fatty acid profiles is a crude lipid.", "In some embodiments, the crude lipid has less than 5% by weight or volume of an organic solvent.", "In some embodiments a lipid extracted according to the processes of the present invention result in a lower anisidine value, lower peroxide value, lower phosphorus content and/or a higher extraction yield if extraction was performed using a solvent (e.g., atypical hexane extraction or a FRIOLEX® process (Westfalia Separator AG, Germany)).", "Lipids Extracted from an Isolated Microorganism of the Species Crypthecodinium cohnii In some embodiments, the present invention is further directed to a crude lipid extracted from a microorganism of the species Crypthecodinium cohnii.", "In some embodiments, the method comprises growing a microorganism of the species Crypthecodinium cohnii in a culture to produce a biomass and extracting a lipid comprising omega-3 fatty acids from the biomass.", "The lipid can be extracted from a freshly harvested biomass or can be extracted from a previously harvested biomass that has been stored under conditions that prevent spoilage.", "Known methods can be used to culture a microorganism of the species Crypthecodinium cohnii, and to isolate a biomass from the culture.", "See, e.g., U.S. Pat.", "No.", "7,163,811, incorporated by reference herein in its entirety.", "The lipid can be extracted according to the processes of the present invention.", "In some embodiments, the crude lipid extracted from a microorganism of the species Crypthecodinium cohnii according to the extraction methods of the present invention can have a lower phosphorus content compared to using a typical hexane extraction method.", "In some embodiments, the crude lipid extracted from a microorganism of the species Crypthecodinium cohnii comprises a phosphorus content of 100 ppm or less, 95 ppm or less, 90 ppm or less, 85 ppm or less, 80 ppm or less, 75 ppm or less, 70 ppm or less, 65 ppm or less, 60 ppm or less, 55 ppm or less, 50 ppm or less, 45 ppm or less, 40 ppm or less, 35 ppm or less, 30 ppm or less, 25 ppm or less, 20 ppm or less, 15 ppm or less, 10 ppm or less, 5 ppm or less, 4 ppm or less, 3 ppm or less, 2 ppm or less, or 1 ppm or less.", "In some embodiments, the crude oil has an anisidine value of 26 or less, 25 or less, 20 or less, 15 or less, 10 or less, 5 or less, or 2 or less, or 1 or less and/or a peroxide value of 5 or less, 4.5 or less, 4 or less, 3.5 or less, 3 or less, 2.5 or less, 2 or less, 1.5 or less, 1 or less, 0.5 or less, 0.2 or less, or 0.1 or less.", "In some embodiments a crude microbial lipid extracted according to the processes of the present invention result in a lower anisidine value, lower peroxide value, lower phosphorus content and/or a higher extraction yield if extraction was performed using a solvent (e.g., atypical hexane extraction or a FRIOLEX® process (Westfalia Separator AG, Germany)).", "Having generally described the invention, a further understanding can be obtained by reference to the examples provided herein.", "These examples are given for purposes of illustration only and are not intended to be limiting.", "The following examples are illustrative, but not limiting, of a process and a lipid prepared by a process of the present invention.", "Other suitable modifications and adaptations of the variety of conditions and parameters normally encountered in extraction of a lipid from a cell, and which would become apparent to those skilled in the art, and are within the spirit and scope of the invention.", "EXAMPLES Example 1 A cell broth (20,000 kg) containing microbial cells (Schizochytrium) was heated to 60° C. Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was first treated with a first base (NaOH, 250 kg of 50% w/w solution) until the pH of the lysed cell composition was from 10.4 to 10.6.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 85° C. to 102° C. and held at that temperature level for 24 hours to 70 hours.", "A second base (NaOH, 50% w/w solution, 40 kg) was then added to the lysed cell composition until the pH was above 8.The lysed cell composition was then centrifuged to separate the lysed cell composition into three phases: a top phase containing a lipid layer, a middle phase containing an emulsion layer, and a bottom phase containing a solid layer.", "The lysed cell composition was then centrifuged at 40° C. to 80° C. using a Westfalia RSE110 Centrifuge (Westfalia Separator Industry GmbH, Germany), operating at 6,000 rpms at a feed rate of 30 kg/min to separate a lipid from the lysed cell composition.", "The centrifuging provided three phases: an upper phase containing a lipid, a middle phase containing an emulsion, and a bottom phase containing a solid/liquid emulsion.", "The pH of the lysed cell composition was maintained at 7.5 to 8.5 during the centrifuging.", "The total time to centrifuge the entire 20,000 kg batch was approximately 10 to 11 hours.", "The lipid layer was separated and had a moisture content of approximately 1% by weight.", "Example 2 A cell broth (500 g) containing microbial cells (Crypthecodinium cohnii) was concentrated from approximately 7% biomass to 13.5% biomass, by weight of the broth.", "The broth was homogenized at a pressure of 10,000 psi (2 passes) to form a lysed cell composition.", "The lysed cell composition was treated with a base (i.e., NaOH, 10 g of a 50% w/w solution) until the pH of the lysed cell composition was 10.4 to 10.6.A salt (solid NaCl, in an amount of 2% by weight of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 85° C. to 92° C. and held at that temperature range for 15 minutes to 2 hours.", "The lysed cell composition was then centrifuged at a temperature of 70° C. to 90° C. using a Bench Top Sigma 6K15 Centrifuge (SIGMA Laborzentrifugen GmbH, Germany), operating at 5,400 rpm to separate the lysed cell composition into three phases: an upper phase containing a lipid, a middle phase containing an emulsion, and a bottom phase containing a solid/liquid emulsion.", "The pH of the lysed cell composition during centrifuging was maintained at 6.5 to 8.5.The total time to centrifuge was 5 minutes.", "The lipid layer was separated and had a moisture content of approximately 1% by weight.", "Example 3 A cell broth (20,000 kg) containing microbial cells (Schizochytrium) was heated to 60° C. Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form a lysed cell composition.", "Next, a salt (solid Na2SO4, 2,000 kg, or 10%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then agitated for 24 hours to 48 hours at room temperature.", "The lysed cell composition was then centrifuged at 40° C. to 75° C. using a Westfalia RSE110 Centrifuge (Westfalia Separator Industry GmbH, Germany), operating at 6,000 rpm at a feed rate of 40 kg/min to separate a lipid from the lysed cell composition.", "The centrifuging provided three phases: an upper phase containing a lipid, a middle phase containing an emulsion, and a bottom phase containing a solid/liquid emulsion.", "The total time to centrifuge the entire 20,000 kg batch was approximately 8 to 9 hrs.", "The lipid layer was separated from the centrifuged lysed cell composition.", "Example 4 A pasteurized cell broth (500 g) containing microbial cells (ATCC Accession No.", "PTA-10208) was provided.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a first base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 10.5.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 95° C. and held at that temperature level for 2 hours while agitating the lysed cell composition.", "A second base (i.e., a 25% solution of NaOH) was then added to the lysed cell composition until the pH was 8.3.The lysed cell composition was then centrifuged at 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer and a small emulsion layer.", "Example 5 A cell broth (500 g) that was concentrated and pasteurized containing microbial cells (ATCC Accession No.", "PTA-9695) was provided.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 10.5.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 95° C. and held at that temperature level for 1 hour while agitating the lysed cell composition and the pH dropped to 8.5.After an hour in the fermentation broth having a total of 10 ml, there was an about 1 ml layer of oil (lipid) and an about 6 ml layer of emulsion.", "The lysed cell composition was heated for a total of 220 minutes and the emulsion layer started to disappear.", "The lysed cell composition was then centrifuged at 5,100 rpm for 5 minutes to separate the lysed cell composition.", "The extraction yield of the lipid was 58.8 by weight % The anisidine value (AV) of the crude oil was 11.3.The cell breakage yield was in a range of 93% to 95% by weight.", "Example 6 A pasteurized cell broth (473 g) containing microbial cells of the isolated thraustochytrid deposited under ATCC Accession No.", "PTA-9695 was provided.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a first base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 10.62.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 95° C. and held at that temperature level for 3 hours while agitating the lysed cell composition.", "A second base (i.e., a 25% caustic solution of NaOH) was then added to the lysed cell composition until the pH was 8.13.The lysed cell composition was then centrifuged at 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer and an emulsion layer in equal amounts.", "In order to determine if raising the pH increased the yield of the lipid layer, additional second base (i.e., a 25% solution of NaOH) was added to the separated lysed cell composition until the pH was 9.02 and the lysed cell composition was again centrifuged at 5,100 rpm for 5 minutes.", "This resulted in a similar yield of lipid layer.", "Additional second base was added again to the separated lysed cell composition until the pH was 10.12 and the lysed cell composition was again centrifuged at 5,100 rpm for 5 minutes.", "Again, this resulted in a similar yield of lipid layer.", "Example 7 A pasteurized cell broth (470 g) containing microbial cells (ATCC Accession No.", "PTA-9695) was provided.", "The cell biomass was mechanically homogenized to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a first base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 10.5.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 95° C. and held at that temperature level for 3 hours while agitating the lysed cell composition.", "A second base (i.e., a 25% solution of NaOH) was then added to the lysed cell composition until the pH was 8.07.The lysed cell composition was then centrifuged at 5400 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer and an emulsion layer wherein the emulsion layer was larger than the lipid layer.", "In order to determine if raising the pH increased the yield of the lipid layer, additional second base was added to the separated lysed cell composition until the pH was 9.11 and the lysed cell composition was again centrifuged at 5,100 rpm for 5 minutes.", "This resulted in a similar yield of lipid layer.", "Additional second base was added again to the separated lysed cell composition until the pH was 10.09 and the lysed cell composition was again centrifuged at 5,100 rpm for 5 minutes.", "Again, the resulted in a similar yield of lipid layer.", "Example 8 A cell broth containing microbial cells (Crypthecodinium cohnii) was used in a decreased biotin trial fermentor.", "20,000 kg of washed, concentrated, and pasteurized broth was harvested.", "This was pulled out at the startup of pasteurization.", "It was held for approximately 1 day before being transferred and homogenized.", "The material was homogenized at 813 bar/one pass and collected back into a treatment tank.", "Through microscopic inspection, it was estimated that approximately 80% of the cells were lysed.", "The broth was heated to about 40° C. before treatment began.", "The pH was adjusted to 10.5 and 2% NaCl was added and heated to 66° C. At this point a significant oil layer had already formed and the pH had dropped to 9.5 after 1-2 hours.", "The broth was held at 66° C. overnight.", "The next day, the broth was centrifuged on the Westfalia RSE-110 with a 155 mm ring dam installed.", "The viscosity was about 180 cP at 40° C. The centrifuge was fed at 48 kg/min, with 5-10 psi backpressure on the light phase and 30 psi backpressure on the heavy phase.", "The feed temperature was maintained at 70° C. No oil was present in the waste phase and only a few drops were visible after isopropyl alcohol was added.", "Table 1 shows the results of analyses performed on the crude oil obtained from this procedure.", "TABLE 1 Specifications of crude oil obtained using process of Example 8.% Oil 87.79 DHA (mg/g) 531.02 % DHA 60.49 PV 1.95 (0.6*) AV 15 % FFA 0.18 Phosphorus (ppm) 8.65 Copper (ppm) 0.22 Iron (ppm) 0.7 Lead (ppm) 0.63 *PV of the centrifuged oil.", "Of the 20,000 kg of broth provided, 10.5% by weight (2,100 kg) was biomass.", "Of the biomass, 50% by weight was oil (1,050 kg).", "Of the oil, 58.9% by weight was DHA (618 kg).", "After running the process described above, there was 592.5 kg of material in the lipid layer, of which about 87.8% by weight (520.2 kg) was oil.", "Thus, the extraction yield of oil from the biomass was 49.5%.", "Of that oil, 60.5% by weight (314.6 kg) was DHA, thereby resulting in an extraction yield of 51% by weight DHA from the biomass.", "Example 9 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 25% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 5.8 to 11.2.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "Next, a salt (solid Na2SO4, in an amount of 5%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature in a range of 90° C. to 95° C. and held at that temperature level for 90 minutes and the pH of the lysed cell composition dropped to 9.7.After the 90 minutes there was an about 2.5 ml of oil layer per 45 ml of fermentation broth and there was no moisture loss.", "After 3 hours, the pH had dropped to 9.2.The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid was 81% by weight.", "The anisidine value (AV) of the crude oil was 20.1.The cell breakage yield was in a range of 92% to 98% by weight.", "Example 10 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 25% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 4.8 to 11.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature in a range of 90° C. to 95° C. and held at that temperature level for 3.5 hours and the pH of the lysed cell composition dropped to 8.7 and there was no moisture loss.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid after 3.5 hours was 92% by weight.", "A portion of the lysed cell composition was held for 6 hours and the pH of the lysed cell composition dropped to 8.6.The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid after 6 hours was 89% by weight.", "The anisidine value (AV) of the crude oil was 14.4.The cell breakage yield was 95% by weight.", "Example 11 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 50% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 5.8 to 11.2.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "The lysed cell composition was then heated to 70° C. under vacuum to reduce the water content from 88.7% to 85.5%.", "During evaporation, the pH dropped to 10.36.The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid was 83.9% by weight.", "The anisidine value (AV) of the crude oil was 10.5.The cell breakage yield was 93.17% by weight.", "The process was repeated except the lysed cell composition was heated to 70° C. under vacuum to reduce the water content from 88.7% to 79.2%.", "The extraction yield of the lipid was 87.5% by weight when the water content was reduced to 79.2% and the cell breakage yield was 92.3% by weight.", "The process was also repeated to reduce the water content from 88.7% to 80.8%.", "The extraction yield of the lipid was 90% by weight when the water content was reduced to 80.8% and the cell breakage yield was 95.9% by weight.", "Example 12 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 50% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 5.6 to 11.1.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "The lysed cell composition was then heated to 90° C. in a closed system for 40 minutes.", "After the 40 minutes, there was an about 1 ml layer of oil (lipid) per 40 ml of fermentation broth.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid was 85.1% by weight.", "The anisidine value (AV) of the crude oil was 16.3.The cell breakage yield was 97.6% by weight.", "Example 13 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 50% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 4.9 to 11.2.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "The lysed cell composition was then mixed at room temperature for 4 hours.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a small lipid layer.", "A portion of the lysed cell composition was mixed at room temperature for about 96 hours.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a larger lipid layer.", "The extraction yield of lipid was 61.4% by weight.", "The anisidine value (AV) of the crude oil was 22.6.Example 14 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (ATCC Accession No.", "PTA-9695) was provided.", "The broth was chemically treated with a base (i.e., a 50% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 5.6 to 11.1.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "The lysed cell composition was then heated in a range of 70° C. to 75° C. for 3 hours.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "The extraction yield of the lipid was 84.4% by weight.", "A portion of the lysed cell composition was heated for a total of 5 hours.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a similar lipid layer.", "The extraction yield of lipid was 87.3% by weight.", "The cell breakage yield was 89.1% by weight.", "Example 15 A cell broth (about 500 g) that was washed, concentrated, and pasteurized containing microbial cells (Schizochytrium) was provided.", "The broth was chemically treated with a base (i.e., a 50% solution of NaOH) without a prior cell lysis step.", "The addition of the base raised the pH of the broth from 7.3 to 11.The addition of the base and the rise in the pH lysed the cells to form a lysed cell composition.", "Next, a salt (solid Na2SO4, in an amount of 5%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 90° C. and held at that temperature level for 2 hours.", "While maintaining a temperature of 90° C. for additional 2 to 4 hours, the vessel containing the lysed cell composition was opened to allow evaporation of water.", "The solution was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer.", "Extraction yield of the lipid was greater than 70% by weight.", "The anisidine value (AV) of the crude oil was 11.6.Example 16 A cell broth (9,925 kg) containing microbial cells (ATCC Accession No.", "PTA-9695) was provided.", "The cell broth was diluted with water in a 1:1 ratio by weight to form a diluted broth of 20,000 kg.", "The solid content of the broth prior to dilution was 16.13% by weight and after dilution was 8.25% by weight.", "The diluted broth was mixed and centrifuged with a desludging centrifuge at 6,400 rpm to remove extracellular water-soluble or water-dispersible compounds.", "The concentrate (10,250 kg) from the centrifuge was collected and had a solids content of 10.5% by weight.", "The collected concentrate was heated to 62° C. to 64° C. to pasteurize the concentrate.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the pasteurized concentrate to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 11.Next, a salt (solid Na2SO4, in an amount of 5%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 95° C. and held at that temperature level for 10 hours to 12 hours while agitating the lysed cell composition.", "After agitation, the pH of the lysed cell composition was 8.6 and there was a very small emulsion layer.", "The agitation tank was allowed to cool to 60° C. and the pH of the lysed cell composition increased to 9.6 while cooling.", "The pH of the lysed cell composition was lowered to 8.2 by adding phosphoric acid.", "The addition of the phosphoric acid did not harm the separation of the lipid layer and the very small emulsion layer.", "The lysed cell composition was then centrifuged at 5,100 rpm at a feed rate of 48 kg/min for 5 minutes at 60° C. to 63° C. to separate the lysed cell composition and yield a lipid layer having a moisture content of 1.7% to 2.3% by weight.", "Example 17 A cell broth (500 g) that was washed, concentrated, and pasteurized containing microbial cells (Crypthecodinium cohnii) was provided.", "The broth was homogenized at a pressure of 8,000 to 12,000 psi (2 passes) to form a lysed cell composition.", "The lysed cell composition was treated with a base (i.e., a 12.5% solution of NaOH) until the lysed cell composition reached a pH of 7.8 to 8.2.A salt (solid Na2SO4, in an amount of 5% by weight of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 60° C. and held at that temperature.", "The pH of the lysed cell composition was maintained at the 7.8 to 8.2 level by the addition of base (i.e., a 12.5% solution of NaOH) for 10 to 15 hours in a closed system with little to no moisture loss.", "The lysed cell composition was then centrifuged at about 5,100 rpm for 5 minutes to separate the lysed cell composition and yield an oil layer.", "This resulted in an oil layer of about 2 ml in a sample of 40 ml.", "The extraction yield of the oil was 73% by weight.", "The anisidine value (AV) of the crude oil was 13.5.The cell breakage yield was 82% to 86% by weight.", "Example 18 A pasteurized cell broth (1,000 g) containing microbial cells (Schizochytrium) was provided.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a base (i.e., a 12.5% solution of NaOH) to adjust the pH of the lysed cell composition from 7.21 to 10.52.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The broth was then separated into 4 portions with each portion being held at 4 different temperatures and times: 1) Trial #1 was held at 90° C. for 22 hours; 2) Trial #2 was held at 90° C. for 2 hours and then held at 25° C. for 20 hours; 3) Trial #3 was held at 60° C. for 22 hours; and 4) Trial #4 was held at 25° C. for 22 hours.", "The individual trials were then centrifuged without further pH adjustment.", "For Trials #1, #2, and #3, the broth was centrifuged at approximately 6,600 rpm (a g-force of 4,800) for 5 minutes to separate the lysed cell composition.", "Because the separation for Trial #4 was not good (<20%) at a g-force of 4,800, the g-force was increased to 15,000 and the broth was spun at a g-force of 15,000 for 5 minutes.", "The extraction yield of the lipid as a weight percent and the anisidine value (AV) are listed in the table below.", "TABLE 2 Conditions and Results When Varying Temperature and Heating Time of the Lysed Cell Composition.", "Treatment Time Centrifugation Oil Yield Trial # and Temp.", "Conditions AV (%) 1 90° C. for 22 hours pH = 6.22 58.7 51.4 g-force = 4,800 2 90° C. for 2 hours, pH = 8.19 109.2 82.2 25° C. for 20 hours g-force = 4,800 3 60° C. for 22 hours pH = 8.38 91.2 27.2 g-force = 4,800 4 25° C. for 22 hours pH = 10.03 105.2 55.7 g-force =15,000 The anisidine values in Table 2 were higher than expected.", "One difference between previous examples and this example was that the lysed cell composition was allowed to sit for a long period of time before the lipids were extracted.", "It is hypothesized that the long period of time before extraction leads to the oxidation of the dissolved oxygen present in the lysed cell composition.", "The increased oxidation then leads to an increase in the anisidine value.", "The fact that the trial heated at the highest temperature for the longest time (Trial #1) had the lowest anisidine value supports this hypothesis because the dissolved oxygen content of a lysed cell composition generally decreases as the temperature is increased.", "The increased anisidine values are therefore believed to be an anomaly that was a result of the delay in extracting the lipids from the lysed cell composition.", "In production, there would be no delay time in extracting the lipids from the lysed cell composition and the anisidine values would be consistent with previous results of anisidine values of 26 or less.", "Example 19 A pasteurized cell broth (1,000 g) containing microbial cells (Schizochytrium) was provided.", "The broth was then split into 3 portions and diluted as follows: 1) Trial #1 was not diluted at all and served as the control portion; 2) Trial #2 was diluted 25% with water; and 3) Trial #3 was diluted 50% with water.", "Enzymes (i.e., Alcalase 2.4 L PG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was treated with a base (i.e., a 12.5% solution of NaOH) to adjust the pH of the lysed cell composition from 6.8 to 10.6.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The broth was then heated to 90° C. and held for 20 hours.", "After the hold time, the broth for each trial was separated into two with one half being centrifuged as is and the other half having its pH adjusted to approximately 8.5 before centrifugation.", "Both portions were then centrifuged at approximately 8,545 rpm (a g-force of 8,000) for 5 minutes.", "The extraction yield of the lipid as a weight percent and the anisidine value (AV) are listed in the table below.", "TABLE 3 Conditions and Results When Varying Dilution of the Pasteurized Broth.", "Centrifugation Trial # Diluted?", "Conditions AV Oil Yield (%) 1 No dilution pH = 6.0 51.8 81.2 g-force = 8,000 1a No dilution pH = 8.4 44.3 78.1 g-force = 8,000 2 25% dilution pH = 5.5 76.1 88.9 with water g-force = 8,000 2a 25% dilution pH = 8.4 85.3 82.1 with water g-force = 8,000 3 50% dilution pH = 5.7 68.5 85.0 with water g-force = 8,000 3a 50% dilution pH = 8.5 79.6 84.0 with water g-force = 8,000 The anisidine values in Table 3 were higher than expected.", "One difference between previous examples (excluding Example 18) and this example was that the lysed cell composition was allowed to sit for a long period of time before the lipids were extracted.", "It is hypothesized that the long period of time before extraction leads to the oxidation of the dissolved oxygen present in the lysed cell composition.", "The increased oxidation then leads to an increase in the anisidine value.", "The increased anisidine values are therefore believed to be an anomaly that was a result of the delay in extracting the lipids from the lysed cell composition.", "In production, there would be no delay time in extracting the lipids from the lysed cell composition and the anisidine values would be consistent with previous results of anisidine values of 26 or less.", "Example 20 Cell broths obtained from various fermentation lots were treated using the process described in Example 2 except the timing of adding the salt (e.g., before and after homogenization) and the amount of salt were varied.", "The resulting separated lipids were analyzed and the analyses are provided in Table 4.TABLE 4 Specifications of lipids obtained using processes of the present invention varying the timing of salt addition and the amount of salt added.", "Fermentation Lot P2137 P2137 P4167 P4167 P2137 P2137 Addition of Before Before After After After After NaCl* % NaCl by 2 5 2 5 5 5 weight % Lipid* 27 37 51 72 59 15 % Starting 13 12.9 19.2 19.2 13.3 7.5+ Solids by weight % Solids by 19.7 21.7 19.7 20.1 20.2 8.6 weight before Centrifugation *Addition of NaCl was before or after homogenization; % lipid refers to the percentage of lipids in triglyceride form +This run was diluted to have a low percentage of starting solids.", "The data provided in Table 4 demonstrates that adding the salt after homogenization results in higher % lipid values than adding the salt before homogenization.", "The data provided in Table 4 also demonstrates that diluting the sample resulted in lower a % lipid value.", "Example 21 A sample of Alcalase enzyme treated lysed cell composition obtained from microbial cells (Schizochytrium) was used.", "The sample had a pH of approximately 5.5.The sample was divided into 4 smaller samples and the pH of three of the samples was adjusted to approximately 7.4, approximately 10.5, and approximately 12, respectively, by adding sodium hydroxide.", "The samples were diluted in a 1:1 ratio with deionized water.", "POBN (α-(4-Pyridyl 1-oxide)-N-tert-butylnitrone, 1.25 M; 50 μL) was added as a spin trap chemical to 0.5 g of each of the diluted samples.", "The samples were measured with a Bruker BioSpin c-scan EPR (Electron Paramagnetic Resonance) spectrometer (system number SC0274) (Bruker BioSpin, Billerica, Mass.)", "to measure the amount of free radicals present from lipid oxidation.", "The samples were incubated at room temperature (20° C.) and 50 μL of each of the POBN containing samples was tested at hourly intervals for four hours after adjusting the pHs using the following spectrometer parameters: modulation frequency of 86 Hz, modulation amplitude of 2.11 gauss, microwave power of 5.19 mW, time constant of 20.48 seconds, sweep time of 10.49 seconds, sweep width of 100 gauss, and a number of scans of 8.The results of the EPR spectrometer readings are provided in FIG.", "5.The data in FIG.", "5 demonstrates that initially the level of free radicals was highest for the sample at pH 5.5 and lowest for the samples at pH 10.5 and 12.The data also demonstrates that over the 4 hour period the rate of radical formation was slowest for the sample at pH 10.5 and highest for the sample at pH 5.5.The data also demonstrates that the addition of a base to the lysed cell composition inhibits lipid oxidation, and therefore leads to a low AV in the crude lipid and refined oil.", "Example 22 Oilseeds are extracted from a rapeseed plant and are then passed through a grinding mill to crack and break the outer hull of the oilseeds.", "The oilseeds are then dehulled through known means, such as through aspiration, to remove the meat (interior) of the seeds from the hull of the oilseeds.", "The dehulled oilseeds are then homogenized or expelled by passing them through a press to grind the dehulled oilseeds into a cake in order to lyse the cells of the oilseeds.", "Water is added to form an emulsified lysed cell composition.", "The emulsified lysed cell composition is filtered to remove any excess hull fragments from the lysed cell composition.", "The emulsified lysed cell composition is treated with a base (i.e., a 25% solution of NaOH) to adjust the pH of the lysed cell composition to 11.Next a salt (solid NaCl, in an amount 2% by weight of the lysed cell composition) is added to the lysed cell composition.", "The lysed cell composition is then heated to a temperature of 90° C. and held at that level for 6 hours to 48 hours while agitating the lysed cell composition.", "The lysed cell composition is then centrifuged at 5,100 rpm for 5 minutes to separate the lysed cell composition and yield a lipid layer and an emulsion layer.", "Example 23 Comparative Analysis of Crude Lipids Obtained by Hexane Extraction The crude lipids obtained from a lot using the process described in Example 2 was analyzed to determine various specifications.", "Additional crude lipids were obtained using a typical hexane extraction process on the same microbial cell utilized in Example 2.The hexane extraction process included spray drying a fermentation broth, adding hexane to the spray dried biomass to obtain a solution of 15% to 20% solids by weight.", "The solution was then homogenized to lyse the cells to form a lysed cell composition.", "The lysed cell composition was centrifuged and a layer containing lipid and hexane was removed.", "The hexane was then removed from the lipid.", "The results of the analyses are provided in Table 5.TABLE 5 Specifications of lipids obtained using processes of the present invention or a hexane extraction process.", "Fermentation Lot A B C D E F G Extraction Method Ex.", "2 Hexane Hexane Hexane Hexane Hexane Hexane AV* 5.9 ND ND ND 14.7 17.18 6.7 PV* 1.21 0.65 1.56 0.46 ND 0.85 0.3 % Lipid* 89.61 86.94 84.31 86.75 85.53 86.05 86.54 DHA (mg/g) 537.47 508.15 459.32 465.31 510.49 495.82 506.33 % DHA* 59.98 58.39 54.49 53.65 59.71 57.68 58.51 *AV = Anisidine Value; PV = peroxide value; % lipid refers to the percentage of lipids in triglyceride form; % DHA refers to the percentage of DHA in the lipid The data provided in Table 5 demonstrates that the crude lipids obtained by the processes of the present invention exhibit superior anisidine values, percentage of lipid, amount of DHA and percentage of DHA compared to lipids prepared by typical hexane extraction processes.", "Comparative Analysis of Crude Lipids Obtained by the FRIOLEX® Processes Example 24 The crude lipids obtained from various fermentation lots using the processes described in Examples 1 and 3 were analyzed to determine various specifications.", "Additional crude lipids were obtained using a FRIOLEX® process (Westfalia Separator AG, Germany), which is a process of extracting lipids with a water-soluble organic solvent as described in U.S. Pat.", "No.", "5,928,696 and International Pub.", "Nos.", "WO 01/76385 and WO 01/76715.The results of the analyses are provided in Table 6.TABLE 6 Specifications of lipids obtained using processes of the present invention or a FRIOLEX ® process.", "Fermentation Lot A B B B B C C C Extraction Method Ex.", "1 Ex.", "1 Ex.", "3 Ex.", "1a Ex.", "1b Ex.", "1 Ex.", "1 FRIOLEX ® AV* 3.1 1.6 3.9 300 7.1 3.5 4 36 PV* 1.8 0.17 0.14 6.16 0.34 0 0 0.35 % Lipid* 96.27 93.67 92.55 87.20 94.42 95.14 94.31 93.92 DHA (mg/g) 452.4 458.16 455.17 414.66 471.55 416.41 416.05 415.38 Extraction Yield (%) 93.5 87 ND 96 ND 94 94 94 *AV = Anisidine Value; PV = peroxide value; % lipid refers to the percentage of lipids in triglyceride form aThe lysed cell composition was not heated.", "bThe lysed cell composition was allowed to stand for 3 weeks prior to extraction.", "The data provided in Table 6 demonstrates that the crude lipids obtained by the processes of the present invention exhibit superior anisidine values (with the exception of the lipid obtained when the lysed cell composition was not heated) compared to lipids prepared by a FRIOLEX® process.", "The lipids prepared by a process of the present invention exhibit anisidine values that are from 4.4% to 19.7% of the anisidine values of a lipid prepared using the FRIOLEX® process.", "It is believed that a lipid prepared by a process of the present invention has increased stability.", "For example, as shown in Table 6, a process of the present invention was used to extract a lipid from a lysed cell composition, wherein the lysed cell composition was allowed to stand for 3 weeks prior to the extraction process.", "It is believed that the anisidine value of a lipid in a lysed cell composition increases with time, and thus, it would be expected that a lipid extracted from a 3 week old lysed cell composition have increased anisidine values.", "However, as shown in Table 6, the lipid obtained from the 3 week old lysed cell composition using a process of the present invention had an anisidine value which was 19.7% of the anisidine value of a lipid prepared by the FRIOLEX® process.", "Example 25 The crude lipids obtained from a broth of microbial cells (ATCC Accession No, PTA-9695) using the process described in Example 16 were analyzed to determine various specifications.", "Additional crude lipids were obtained from a broth of microbial cells (ATCC Accession No.", "PTA-9695) using a FRIOLEX® process (Westfalia Separator AG, Germany), which is a process of extracting lipids with a water-soluble organic solvent (e.g., isopropyl alcohol) as described in U.S. Pat.", "No.", "5,928,696 and International Pub.", "Nos.", "WO 01/76385 and WO 01/76715.The results of the analyses are provided in Table 7.TABLE 7 Crude Lipid Comparison Example 16 FRIOLEX ® % Oil 9.19 93.73 DHA (mg/g) 570.68 574.33 % DHA 62.1 61.27 % FFA 1.13 0.22 PV 0 0.74 AV 10 73.9 Iron (ppm) 0.11 0 Copper (ppm) 0.67 0.3 Lead (ppm) 0.21 0 Phosphorus (ppm) 5.22 7.20 Extraction yield (%) 61.4 45.3 The data provided in Table 7 demonstrates that the crude lipids obtained by the processes of the present invention exhibit superior anisidine values (AV) and peroxide values (PV) compared to lipids prepared by a FRIOLEX® process.", "The data also demonstrates that the extraction yield of lipid obtained by the processes of the present invention are superior compared to the extraction yield of lipid obtained by a FRIOLEX® process.", "Example 26 Refining of Crude Lipids Crude lipids were obtained using the processes outlined in Example 1 and a FRIOLEX® process.", "The crude lipids were further processed by sequentially: 1) degumming and caustic refining; 2) bleaching; 3) chilled filtering; and 4) deodorizing with antioxidants.", "The data for the crude lipids, caustic refined lipids, bleached lipids, and deodorized lipids are presented in Tables 8a and 8b.", "A comparison of the refined oils is presented in Table 9.TABLE 8a Lipids obtained from the FRIOLEX ® process (Example 24) DHA Yield % Yield % Processing Step FFA % PV AV (mg/g) (Lipid) (DHA) Crude Lipid 0.28 0.37 33.6 413.6 N/A N/A Caustic Refined <0.1 0.29 — 416.4 85.7 86.3 Lipid Bleached Lipid <0.1 0.16 13.9 413.1 71.5 70.9 Filtered Lipid <0.1 0.19 13.3 424.86 70.3 76.0 Deodorized Lipid 0.06 <0.1 14.3 401.5 96.6 89.4 w/AOX* *AOX refers to antioxidants TABLE 8b Lipids obtained from an extraction process using NaCl (Example 1) DHA Yield % Yield % Processing Step FFA % PV AV (mg/g) (Lipid) (DHA) Crude Lipid 1.36 0 3.5 406.7 N/A N/A Caustic Refined <0.1 0.27 2.3 410.8 85.9 86.7 Lipid Bleached Lipid <0.1 0.16 0.8 404.3 97.0 95.5 Filtered Lipid <0.1 0.37 1.0 414.1 59.3 60.7 Deodorized Lipid 0.06 <0.1 1.8 379.9* 94.9 87.1 w/AOX *Note: Increased dilution with high oleic sunflower oil (HOSO) was the reason for the decrease in DHA (mg/g).", "TABLE 9 Refined Oil Comparison FRIOLEX ® (Example 24) Example 1 DHA (mg/g) 401.5 379.9* % DHA 42.69 40.18 FFA % 0.06 0.06 PV <0.1 <0.1 AV 14.3 1.8 Iron (ppm) 0.05 <0.02 Copper (ppm) <0.02 <0.02 Lead (ppm) <0.1 <0.1 Arsenic (ppm) <0.1 <0.1 Mercury (ppm) <0.01 <0.01 % Moisture and volatiles <0.01 <0.01 Unsaponifiables (%) 1.17 1.33 Trans-fatty acid by IR (%) <1 <1 *Note: Increased dilution with HOSO was the reason for the decrease in DHA (mg/g).", "The data provided in Table 8a, Table 8b, and Table 9 demonstrate that a refined oil prepared by a process of the present invention exhibits lower anisidine values compared to a refined oil prepared by the FRIOLEX® process.", "Example 27 Sensory Profile Comparison The refined oils obtained in Example 26 were analyzed by a panel of 8 to 12 sensory analysts.", "The sensory analysts rated various lipid specifications based on aroma, aromatics, and aftertaste to provide an “overall aroma intensity” for each lipid.", "The Universal Spectrum descriptive analysis method was used to assess the aroma and aromatic characteristics of samples.", "This method uses an intensity scale of 0-15, where 0=none detected and 15=very high intensity, to measure the aroma and aromatic attributes of the oils.", "The results of the sensory data are provided in Table 10.The data provided in Table 10 demonstrates that a refined oil prepared by a process of the present invention exhibits superior sensory data compared to a refined oil prepared by the FRIOLEX® process.", "As shown above, the lipids provided by the present invention had an overall aroma intensity of 3 and 2, whereas, the FRIOLEX® lipids provided an overall aroma intensity of 4 and 3, respectively.", "Example 28 Comparative Example An extraction process for obtaining lipids from microorganisms without the use of an organic solvent is disclosed in U.S. Pat.", "No.", "6,750,048.A comparison of a refined oil obtained from a crude lipid prepared by a process of the present invention and a refined oil obtained from a crude lipid prepared by the extraction process disclosed in U.S. Pat.", "No.", "6,750,048 is provided in Table 11.TABLE 11 Comparative data for a lipid prepared by a process of the present invention (Example 1) and a lipid prepared by a process disclosed in U.S. Pat.", "No.", "6,750,048.Example 1 U.S. Pat.", "No.", "6,750,048 DHA (mg/g) 379.9 346 % DHA 40.18 37.3 FFA % 0.06 ND PV <0.1 0.46 AV 1.8 ND Iron (ppm) <0.02 0.26 Copper (ppm) <0.02 <0.05 Lead (ppm) <0.1 <0.20 Arsenic (ppm) <0.1 <0.20 Mercury (ppm) <0.01 <0.20 % Moisture and volatiles <0.01 0.02 Unsaponifiables (%) 1.33 ND Trans-fatty acid by IR (%) <1 ND The data provided in Table 11 demonstrates that a refined oil obtained from a crude lipid prepared by a process of the present invention exhibits superior properties compared to a refined oil obtained from a crude lipid prepared by the extraction process disclosed in U.S. Pat.", "No.", "6,750,048.Example 29 The isolated thraustochytrid (ATCC Accession No.", "PTA-9695) was characterized for taxonomic classification.", "Samples were collected from intertidal habitats during low tide.", "Water, sediment, living plant material and decaying plant/animal debris were placed into sterile 50 ml tubes.", "Portions of each sample along with the water were spread onto solid agar plates of isolation media.", "Isolation media consisted of: 500 ml of artificial seawater, 500 ml of distilled water, 1 g of glucose, 1 g of glycerol, 13 g of agar, 1 g of glutamate, 0.5 g of yeast extract, 0.5 g casein hydrolysate, 1 ml of a vitamin solution (100 mg/L thiamine, 0.5 mg/L biotin, 0.5 mg B12), 1 ml of a trace mineral solution (PII metals, containing per liter: 6.0 g FeCl36H2O, 6.84 g H3BO3, 0.86 g MnCl24H2O, 0.06 g ZnCl2, 0.026 CoCl26H2O, 0.052 g NiSO4H2O, 0.002 g CuSO45H2O and 0.005 g Na2MoO42H2O), and 500 mg each of penicillin G and streptomycin sulfate.", "The agar plates were incubated in the dark at 20-25° C. After 2-4 days the agar plates were examined under magnification, and colonies of cells were picked with a sterile toothpick and restreaked onto a fresh plate of media.", "Cells were repeatedly streaked onto fresh media until contaminated organisms were removed.", "Colonies from agar plates were transferred to petri dishes with half-strength seawater and (1 ml) of a suspension of autoclaved newly hatched brine shrimp larvae.", "The brine shrimp larvae became heavily overgrown with clusters of sporangia after 2-3 days.", "Released zoospores were biflagellate at discharge, swimming actively away from the mature sporangium, wall remnants of which are clearly visible (in phase contrast) after spore release.", "Sporangia measured 12.5 μm to 25 μm in diameter, and zoospores were 2.5 μm to 2.8 μm×4.5 μm to 4.8 μm in size.", "There were 8 to 24 spores per individual sporangium.", "Settled zoospores enlarged and rapidly underwent binary divisions leading to tetrads, octads, and finally to clusters of sporangia.", "Tetrad formation commenced at a very early stage prior to maturity of the sporangia.", "These characteristics are in agreement with the genus Schizochytrium.", "The isolated thraustochytrid (ATCC Accession No.", "PTA-9695) was further characterized based on the similarity of its 18s rRNA gene to that of known species.", "Total genomic DNA from the thraustochytrid (ATCC Accession No.", "PTA-9695) was prepared by standard procedures (Sambrook J. and Russell D. 2001.Molecular cloning: A laboratory manual, 3rd edition.", "Cold Spring Harbor Laboratory Press, Cold Spring Harbor, N.Y.) and used for PCR amplification of the 18s RNA gene.", "The PCR amplification of the 18s rRNA gene was carried out with primers previously described (Honda et.", "al., J. Eukaryot.", "Microbial.", "46(6) 1999).", "The PCR conditions with chromosomal DNA template were as follows: 0.2 μM dNTPs, 0.1 uM each primer, 8% DMSO, 200 ng chromosomal DNA, 2.5 U PfuUltra® II fusion HS DNA polymerase (Stratagene), and 1× PfuUltra® buffer (Stratagene) in a 50 μL total volume.", "The PCR Protocol included the following steps: (1) 95° C. for 2 minutes; (2) 95° C. for 45 seconds; (3) 55° C. for 30 seconds; (4) 72° C. for 2 minutes; (5) repeat steps 2-4 for 40 cycles; (6) 72° C. for 5 minutes; and (7) hold at 6° C.: PCR amplification yielded a distinct DNA product with the expected size using chromosomal template described above.", "The PCR product was cloned into the vector pJET1.2/blunt (Fermentas) according to the manufacturer's instructions, and the insert sequence was determined using supplied standard primers.", "Table 12 shows a comparison of the 18s rRNA sequence from the thraustochytrid (ATCC Accession No.", "PTA-9695) to DNA sequences in the National Center for Biotechnology Information (NCBI) electronic database.", "Briefly, “% Identity” was determined by the scoring matrix “swgapdnamt” within the “AlignX” program of the VectorNTI program (Invitrogen), a standard for DNA alignment.", "The “% Coverage” was taken from the results of a Basic Local Alignment Search Tool (BLAST) calculation from the NCBI electronic database and is the percent of the query length that is included in the aligned segments.", "TABLE 12 Comparison of 18s rRNA Sequences % Identity % Coverage Thraustochytrids Calculation #1 Calculation #2 Thraustochytrium aggregatum (p) 98 90 Thraustochutriidae sp.", "HU1 84 86 Thraustochutriidae sp.", "8-7 84 91 Thraustochytrium multirudimentale 81 88 Thraustochutriidae sp.", "PW19 81 85 Schizochytrium sp.", "ATCC 20888 81 95 (p): indicates partial sequence As shown in Table 12, it was found that, in terms of % identity, the 18s rRNA gene sequence from the thraustochytrid (ATCC Accession No.", "PTA-9695) is closely related, though not identical, to the 18s rRNA gene sequence of T. aggregatum provided in Honda, D. et al., J. Euk.", "Micro.", "46(6): 637-647 (1999).", "The 18s rRNA sequence published for Thraustochytrium aggregatum is a partial sequence, with an approximately 71 DNA nucleotide gap in the middle of the sequence.", "In terms of percent coverage, the 18s rRNA gene sequence of the isolate of the invention is more closely related to Schizochytrium sp.", "ATCC 20888 than to T. aggregatum.", "Highly conserved proteins such as actin and beta-tubulin have been widely used, along with 18s rRNA gene, as markers for assessing phylogenetic relationships between organisms (Baldauf, S. M. Am.", "Nat.", "154, S178 (1999)).", "Total genomic DNA from the thraustochytrid (ATCC Accession No.", "PTA-9695) was also used as a template for PCR amplification of both the actin and beta-tubulin genes.", "The PCR amplification was carried out with primers designed to conserved regions from the actin and beta-tubulin DNA sequences from T. aggregatum.", "The PCR conditions with chromosomal DNA template were as follows: 0.2 μM dNTPs, 0.1 uM each primer, 8% DMSO, 200 ng chromosomal DNA, 2.5 U Herculase® II fusion DNA polymerase (Stratagene), and 1× Herculase® buffer (Stratagene) in a 50 μL total volume.", "The PCR Protocol included the following steps: (1) 95° C. for 2 minutes; (2) 95° C. for 30 seconds; (3) 55° C. for 30 seconds; (4) 72° C. for 2 minutes; (5) repeat steps 2-4 for 40 cycles; (6) 72° C. for 5 minutes; and (7) hold at 6° C. PCR amplification yielded distinct DNA products with the expected sizes using chromosomal template described above.", "The respective PCR products were cloned into the vector pJET1.2/blunt (Fermentas) according to the manufacturer's instructions, and the insert sequence of each were determined using supplied standard primers.", "Table 13 shows identities for the actin amino acid sequence from the thraustochytrid (ATCC Accession No.", "PTA-9695) as compared to actin sequences available in the public database.", "Identities were determined through use of the scoring matrix “blosum62mt2” within the “AlignX” program of the VectorNTI program, a standard for protein alignment.", "TABLE 13 Comparison of Actin Protein Sequence % Identities Thraustochytrids % Identity Thraustochytriidae sp.", "RT49 98 Schizochytrium sp.", "ATCC 20888 96 Thraustochytrium striatum 96 Thraustochytrium aggregatum 96 Japonochytrium marinum 95 Thraustochytrium aureum 95 Table 14 shows identities for the beta-tubulin amino acid sequence from the thraustochytrid (ATCC Accession No.", "PTA-9695) as compared to beta-tubulin sequences available in the public database.", "Identities were determined through use of the scoring matrix “blosum62mt2” within the “AlignX” program of the VectorNTI program, a standard for protein alignment.", "TABLE 14 Comparison of Beta-Tubulin Protein Sequence % Identities Thraustochytrids % Identity Aplanochytrium kerguelense 100 Aplanochytrium stocchinoi 100 Japonochytrium marinum 100 Labyrinthula sp.", "N8 100 Thraustochytriidae sp.", "RT49 100 Thraustochytrium aggregatum 100 Thraustochytriidae sp.", "HU1 100 Thraustochytrium aureum 100 Thraustochytrium kinnei 100 Thraustochytriidae sp.", "#32 100 Thraustochytriidae sp.", "PW19 100 Schizochytrium aggregatum 100 Schizochytrium sp.", "ATCC 20888 100 Based on the above characterizations, the isolated thraustochytrid (ATCC Accession No.", "PTA-9695) is believed to represent a new Schizochytrium species and is therefore also designated as Schizochytrium sp.", "ATCC PTA-9695.Example 30 The isolated thraustochytrid (ATCC Accession No.", "PTA-9695) produced high levels of cell growth under varying culture conditions, as described below.", "Typical media and cultivation conditions are shown in Table 15.Also, high levels of fatty acids and DHA were observed (i.e., greater than 50% by weight of the dry cell weight were fatty acids and greater than 50% by weight of the fatty acid methyl esters was DHA).", "TABLE 15 Vessel Media Ingredient concentration ranges NaCl g/L 12.5 0-25, 5-20, or 10-15 KCl g/L 1.0 0-5, 0.25-3, or 0.5-2 MgSO4•7H2O g/L 5.0 0-10, 2-8, or 3-6 (NH4)2SO4 g/L 0.6 0-10, 0.25-5, or 0.5-3 CaCl2 g/L 0.29 0.1-5, 0.15-3, or 0.2-1 T 154 (yeast g/L 6.0 0-20, 1-15, or 5-10 extract) KH2PO4 g/L 1.2 0.1-10, 0.5-5, or 1-3 Post autoclave (Metals) Citric acid mg/L 3.5 0.1-100, 1-50, or 2-25 FeSO4•7H2O mg/L 10.30 0.1-100, 1-50, or 5-25 MnCl2•4H2O mg/L 3.10 0.1-100, 1-50, or 2-25 ZnSO4•7H2O mg/L 3.10 0.1-100, 1-50, or 2-25 CoCl2•6H2O mg/L 0.04 0.001-1, 0.005-0.5, or 0.01-0.1 Na2MoO4•2H2O mg/L 0.04 0.001-1, 0.005-0.5, or 0.01-0.1 CuSO4•5H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 NiSO4•6H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 Post autoclave (Vitamins) Thiamine mg/L 9.75 0.1-100, 1-50, or 5-25 Vitamin B12 mg/L 0.16 0.1-100, 0.1-10, or 0.1-1 Ca½-panto- mg/L 3.33 0.1-100, 0.1-50, or 1-10 thenate Post autoclave (Carbon) Glucose g/L 30.0 5-150, 10-100, or 20-50 Nitrogen Feed: NH4OH mL/L 21.6 0-150, 10-100, or 15-50 Typical cultivation conditions would include the following: pH about 6.5-about 8.5, about 6.5-about 8.0, or about 7.0-about 7.5 temperature: about 17-about 30 degrees Celsius, about 20-about 25 degrees Celsius, or about 22 to about 23 degrees Celsius dissolved oxygen: about 5-about 100% saturation, about 10-about 80% saturation, or about 20-about 50% saturation glucose about 5-about 50 g/L, about 10-about 40 g/L, or controlled @: about 20-about 35 g/L.", "In carbon and nitrogen-fed cultures with 8200 ppm at 22.5° C. with 20% dissolved oxygen at pH 7.0, the isolate produced a dry cell weight of 140 g/L after 7 days of culture, with a fatty acid content of 70% by weight.", "Closed loop ammonia feed was used and the pH was maintained at 7.0.The omega-3 productivity was 8.92 g/(L*day) under these conditions, with 4.7 g/L EPA (5% by weight of fatty acids) and 56.3 g/L DHA (57% by weight of fatty acids) in 7 days.", "In carbon and nitrogen-fed cultures with 3640 ppm Cl− at 22.5° C. with 20% dissolved oxygen at pH 7.0, the isolate produced a dry cell weight of 82 g/L after 7 days of culture, with a fatty acid content of 58% by weight.", "The omega-3 productivity was 4.5 g/(L*day) under these conditions, with 2.1 g/L EPA (4.3% by weight of fatty acids) and 28.5 g/L DHA (58.7% by weight of fatty acids) in 7 days.", "In carbon and nitrogen-fed cultures with 980 ppm CF at 22.5° C. with 20% dissolved oxygen at pH 7.0, the isolate produced a dry cell weight of 60 g/L after 7 days of culture, with a fatty acid content of 53% by weight.", "The omega-3 productivity was 2.8 g/(L*day) under these conditions, with 1.1 g/L EPA (3.4% by weight of fatty acids) and 18.4 g/L DHA (56.8% by weight of fatty acids) in 7 days.", "Example 31 Oils were extracted from a biomass sample (Sample A) of the isolated thraustochytrid (ATCC Accession No.", "PTA-9695).", "The biomass sample was produced in a carbon and nitrogen-fed culture with 980 ppm Cl− at 22.5° C. with 20% dissolved oxygen at pH 7.0.Oils were extracted from biomass Sample A by the hexane extraction process to yield microbial oil Sample A1.Briefly, dried biomass was ground with hexane using stainless steel tubes and stainless steel ball bearings for approximately 2 hours.", "The slurry was vacuum filtered and the filtrate was collected.", "The hexane was removed using a rotary evaporator.", "Oils were also extracted from biomass Sample A using the FRIOLEX® process (GEA Westfalia Separator UK Ltd., Milton Keynes, England) to yield microbial oil Sample A2.Individual lipid classes were isolated from microbial oil Samples A1 and A2 using low pressure flash chromatography, and the weight percent of each class was determined.", "The fatty acid profile of each class was determined using gas chromatography with flame ionization detection (GC-FID) as fatty acid methyl esters (FAME).", "Flash Chromatography— Flash chromatography was used to separate the lipid classes present in the crude oils, and to determine the weight percent of each class present in the oils.", "The chromatography system utilized Silica Gel 60 (EMD Chemical, Gibbstown, N.J.) with mobile phase composed of Petroleum Ether and Ethyl Acetate at 3 mL/min.", "A step gradient was used to selectively elute each lipid class from the column.", "The mobile phase gradient started from 100% petroleum ether and finished with 50% ethyl acetate (followed by a 100% methanol wash).", "Fractions were collected in 10 mL test tubes using a Gilson FC 204 large-bed fraction collector (Gilson, Inc., Middleton, Wis.).", "Each tube was analyzed by thin layer chromatography (TLC) and the tubes containing individual lipid classes (as judged by single spots on TLC plate with expected retention factor (RI)) were pooled, concentrated to dryness, and weighed.", "The total fraction content was then determined gravimetrically.", "TLC Analysis— Thin layer chromatography was conducted on silica gel plates.", "The plates were eluted using a solvent system consisting of petroleum ether:ethyl ether:acetic acid (80:20:1) and were visualized using iodine vapor.", "The Rf values of each spot were then compared with reported literature values for each lipid class.", "Fatty Acid Analysis— The samples of biomass and isolated lipid classes were analyzed for fatty acid composition as FAMEs.", "Samples were weighed directly into screw cap test tubes, and 1 mL of C19:0 internal standard (NuCheck, Elysian, Minn.) in toluene and 2 mL of 1.5 N HCl in methanol was added to each tube.", "The tubes were vortexed briefly and placed in a heating block for 2 hours at 100° C. The tubes were removed from the heating block, allowed to cool, and 1 mL of saturated NaCl in water was added.", "The tubes were vortexed again, centrifuged, and a portion of the top (organic) layer was placed in a GC vial and analyzed by GC-FID.", "FAME's were quantified using a 3-point internal standard calibration curve generated using Nu-Chek-Prep GLC reference standard (Nu-Chek Prep, Inc., Elysian, Minn.) and tentatively identified based on retention time.", "Fatty acids present were expressed as mg/g and % of total FAME.", "Sample A1 was prepared by dissolving the crude oil in hexane and applying to the head of the column.", "After fractionation of the sample using flash chromatography, the sterol ester fraction accounted for 1.2% by weight, the triacylglycerol (TAG) fraction accounted for 82.7% by weight, the free fatty acid (FFA) fraction accounted for 0.9% by weight, and the diacylglycerol (DAG) fraction accounted for 2.9% by weight of the crude oil.", "The total fatty acid profiles of the Sample A1 crude oil and isolated fractions are shown below in Table 16 and Table 17 calculated as mg/g and % FAME, respectively.", "TABLE 16 Sample A1 Fatty Acid Profiles Calculated as Milligrams per Gram FAME Crude Sterol Biomass Oil Esters TAG FFA DAG Wt.", "% NA 38% 1.2% 82.7% 0.9 2.9% FAME FAME FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0* 0.6 0.0 1.9 3.2 1.7 0.0 C14:0* 5.7 13.6 12.8 20.2 13.0 17.6 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 1.3 3.4 3.1 3.1 2.1 2.6 C16:0* 105.5 239.5 222.2 274.3 183.3 225.1 C16:1* 0.0 0.0 0.8 0.0 0.8 0.0 C18:0* 6.4 16.4 43.1 16.8 9.8 14.0 C18:1 N9* 0.0 3.8 1.9 3.3 1.0 3.5 C18:1 N7 0.0 0.0 0.0 0.0 0.0 0.0 C18:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:0* 1.8 5.5 13.0 4.7 2.0 2.9 C18:3 N3* 0.0 0.0 0.0 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C18:4 N3 0.0 0.0 0.0 0.0 0.6 0.0 C20:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.0 0.0 0.0 0.0 C22:0* 0.0 0.8 7.3 0.8 0.0 1.2 C20:4 N7 0.0 0.0 0.8 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N6* 1.0 3.4 0.0 2.6 2.0 1.9 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 1.5 4.1 1.5 3.5 2.1 2.1 C20:5 N3* 18.2 39.5 3.5 38.4 30.6 42.8 C24:0* 0.0 0.0 6.3 0.0 0.0 0.0 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 11.9 29.5 8.9 26.9 14.8 18.7 C22:5 N3* 1.1 4.7 0.9 3.6 3.4 2.7 C22:6 N3* 253.5 569.7 107.3 556.5 352.8 451.4 Sum of 408.6 934.0 435.4 958.0 620.1 786.4 all FAME's TABLE 17 Sample A1 Fatty Acid Profiles as a Percent of Total FAME Crude Sterol Biomass Oil Esters TAG FFA DAG Fatty Acid % FAME % FAME % FAME % FAME % FAME % FAME C12:0* 0.1 0.0 0.4 0.3 0.3 0.0 C14:0* 1.4 1.5 2.9 2.1 2.1 2.2 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 0.3 0.4 0.7 0.3 0.3 0.3 C16:0* 25.8 25.6 51.0 28.6 29.6 28.6 C16:1* 0.0 0.0 0.2 0.0 0.1 0.0 C18:0* 1.6 1.8 9.9 1.8 1.6 1.8 C18:1 N9* 0.0 0.4 0.4 0.3 0.2 0.4 C18:1 N7 0.0 0.0 0.0 0.0 0.0 0.0 C18:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:0* 0.4 0.6 3.0 0.5 0.3 0.4 C18:3 N3* 0.0 0.0 0.0 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C18:4 N3 0.0 0.0 0.0 0.0 0.1 0.0 C20:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.0 0.0 0.0 0.0 C22:0* 0.0 0.1 1.7 0.1 0.0 0.1 C20:4 N7 0.0 0.0 0.2 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N6* 0.3 0.4 0.0 0.3 0.3 0.2 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 0.4 0.4 0.4 0.4 0.3 0.3 C20:5 N3* 4.5 4.2 0.8 4.0 4.9 5.4 C24:0* 0.0 0.0 1.4 0.0 0.0 0.0 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 2.9 3.2 2.1 2.8 2.4 2.4 C22:5 N3* 0.3 0.5 0.2 0.4 0.5 0.3 C22:6 N3* 62.0 61.0 24.6 58.1 56.9 57.4 Sum of 100.0 100.0 100.0 100.0 100.0 100.0 FAME % Sample A2 was prepared by dissolving the crude oil in hexane and applying to the head of the column.", "After fractionation of the sample using flash chromatography, the sterol ester fraction accounted for 0.8% by weight, the triacylglycerol (TAG) fraction accounted for 83.4% by weight, the free fatty acid (FFA) fraction accounted for 1.8% by weight, and the diacylglycerol (DAG) fraction accounted for 5.6% by weight of the crude oil.", "The total fatty acid profiles of the Sample A2 crude oil and isolated fractions are shown below in Table 18 and Table 19 calculated as mg/g and % FAME, respectively.", "TABLE 18 Sample A2 Fatty Acid Profiles Calculated as Milligrams per Gram FAME Crude Sterol Biomass Oil Esters TAG FFA DAG Wt.", "% NA NA 0.8% 83.4% 1.8% 5.6% FAME FAME FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0* 0.6 0.0 0.0 1.5 0.0 1.0 C14:0* 5.7 13.2 8.9 14.1 9.5 5.4 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 1.3 3.3 2.8 3.4 2.1 2.2 C16:0* 105.5 233.7 183.8 246.1 159.7 137.3 C16:1* 0.0 0.0 0.0 0.8 0.0 0.0 C18:0* 6.4 16.6 23.6 16.9 11.3 5.6 C18:1 N9* 0.0 7.6 5.0 4.3 2.4 2.6 C18:1 N7 0.0 0.0 0.0 0.0 0.0 0.0 C18:2 N6* 0.0 2.2 0.7 1.6 0.8 5.1 C20:0* 1.8 5.2 12.1 5.5 2.6 1.1 C18:3 N3* 0.0 0.0 0.0 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C18:4 N3 0.0 0.0 0.0 0.8 1.0 0.0 C20:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.0 0.3 0.0 0.0 C22:0* 0.0 0.7 6.0 1.3 0.8 0.0 C20:4 N7 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N6* 1.0 3.0 0.0 3.1 2.3 1.2 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 1.5 4.1 1.4 4.3 2.7 1.0 C20:5 N3* 18.2 38.6 2.7 38.6 39.5 45.5 C24:0* 0.0 0.0 4.7 0.6 0.0 0.3 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 11.9 28.2 8.6 29.6 18.0 14.7 C22:5 N3* 1.1 3.4 0.0 3.5 2.5 2.2 C22:6 N3* 253.5 566.7 102.2 575.0 475.3 447.2 Sum of 408.6 926.5 362.3 951.3 730.4 672.5 all FAME's TABLE 19 Sample A2 Fatty Acid Profiles as a Percent of Total FAME Crude Sterol Biomass Oil Esters TAG FFA DAG Fatty Acid % FAME % FAME % FAME % FAME % FAME % FAME C12:0* 0.1 0.0 0.0 0.2 0.0 0.2 C14:0* 1.4 1.4 2.4 1.5 1.3 0.8 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 0.3 0.4 0.8 0.4 0.3 0.3 C16:0* 25.8 25.2 50.7 25.9 21.9 20.4 C16:1* 0.0 0.0 0.0 0.1 0.0 0.0 C18:0* 1.6 1.8 6.5 1.8 1.5 0.8 C18:1 N9* 0.0 0.8 1.4 0.5 0.3 0.4 C18:1 N7 0.0 0.0 0.0 0.0 0.0 0.0 C18:2 N6* 0.0 0.2 0.2 0.2 0.1 0.8 C20:0* 0.4 0.6 3.3 0.6 0.4 0.2 C18:3 N3* 0.0 0.0 0.0 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C18:4 N3 0.0 0.0 0.0 0.1 0.1 0.0 C20:2 N6* 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.0 0.0 0.0 0.0 C22:0* 0.0 0.1 1.7 0.1 0.1 0.0 C20:4 N7 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N6* 0.3 0.3 0.0 0.3 0.3 0.2 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 0.4 0.4 0.4 0.4 0.4 0.2 C20:5 N3* 4.5 4.2 0.7 4.1 5.4 6.8 C24:0* 0.0 0.0 1.3 0.1 0.0 0.0 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 2.9 3.0 2.4 3.1 2.5 2.2 C22:5 N3* 0.3 0.4 0.0 0.4 0.3 0.3 C22:6 N3* 62.0 61.2 28.2 60.4 65.1 66.5 Sum of 100.0 100.0 100.0 100.0 100.0 100.0 FAME % It is noted that Samples A1 and A2 were extracted using a typical hexane extraction and a FRIOLEX® process, respectively.", "The fatty acid profiles of Tables 16-19 are expected to be the substantially the same if the samples were extracted using the processes of the present invention.", "Example 32 After oil was extracted from the fermentation broth using the Friolex process, as described in Example 31, the crude oil was further processed via refining, bleaching, and deodorizing steps to obtain a final oil.", "The final oil was diluted with high oleic sunflower oil to obtain finished commercial oil with a DHA content of approximately 400 mg/g.", "Individual lipid classes were isolated and the fatty acid profiles of each class was determined using gas chromatography with flame ionization detection (GC-FID) as fatty acid methyl esters (FAME).", "Flash Chromatography— Flash chromatography was used to separate the lipid classes present in the final oil, and to determine the weight percent of each class present in the oil.", "The chromatography system utilized Silica Gel 60 (EMD Chemical, Gibbstown, N.J.) with mobile phase composed of Petroleum Ether and Ethyl Acetate at 3 mL/min.", "A step gradient was used to selectively elute each lipid class from the column.", "The mobile phase gradient started from 100% petroleum ether and finished with 50% ethyl acetate (followed by a 100% methanol wash).", "Fractions were collected in 10 mL test tubes using a Gilson FC 204 large-bed fraction collector (Gilson, Inc., Middleton, Wis.).", "Each tube was analyzed by thin layer chromatography (TLC) and the tubes containing individual lipid classes (as judged by single spots on TLC plate with expected retention factor (Rf)) were pooled, concentrated to dryness, and weighed.", "The total fraction content was then determined gravimetrically.", "TLC Analysis— Thin layer chromatography was conducted on silica gel plates.", "The plates were eluted using a solvent system consisting of petroleum ether:ethyl ether:acetic acid (80:20:1) and were visualized using iodine vapor.", "The Rf values of each spot were then compared with reported literature values for each lipid class.", "Fatty Acid Analysis— The final oil sample and isolated lipid classes were analyzed for fatty acid composition as FAMEs.", "Samples were weighed directly into screw cap test tubes, and 1 mL of C19:0 internal standard (NuCheck, Elysian, Minn.) in toluene and 2 mL of 1.5 N HCl in methanol was added to each tube.", "The tubes were vortexed briefly and placed in a heating block for 2 hours at 100° C. The tubes were removed from the heating block, allowed to cool, and 1 mL of saturated NaCl in water was added.", "The tubes were vortexed again, centrifuged, and a portion of the top (organic) layer was placed in a GC vial and analyzed by GC-FID.", "FAME's were quantified using a 3-point internal standard calibration curve generated using Nu-Chek-Prep GLC reference standard (Nu-Chek Prep, Inc., Elysian, Minn.) and tentatively identified based on retention time.", "Fatty acids present were expressed as mg/g and % of total FAME.", "The sample was prepared by dissolving 250 mg of final oil in 600 μL of hexane and applying to the head of the column.", "After fractionation of the sample using flash chromatography, the sterol ester fraction accounted for 1.2% by weight, the triacylglyceride (TAG) fraction accounted for 92.1% by weight, the free fatty acid (FFA) fraction accounted for 2.1% by weight, the sterol fraction accounted for 1.1%, the diacylglyceride (DAG) fraction accounted for 2.8% by weight of the final oil.", "The TLC analysis of the pooled fractions showed that the FFA and sterol fractions were mixed with TAG and DAG respectively.", "The total fatty acid profiles of the FRIOLEX® final oil and isolated fractions are shown below in Table 20 and Table 21 calculated as mg/g and % FAME, respectively.", "TABLE 20 Fatty Acid Profile Calculated as Milligrams per Gram of FAME Final Sterol Oil Esters TAG FFA Sterol DAG Wt.", "% NA 1.2 92.1 2.1 1.1 2.8 Fatty Acid FAME FAME FAME FAME FAME FAME (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0* 0.0 0.0 1.0 0.0 1.2 0.6 C14:0* 11.5 5.1 11.3 6.0 9.6 5.7 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 2.3 0.0 2.3 1.2 2.0 1.9 C16:0* 183.3 80.0 180.8 99.9 149.3 132.2 C16:1* 0.0 0.0 0.9 0.0 0.8 0.6 C18:0* 19.6 17.5 19.6 7.5 16.2 6.7 C18:1 N9* 243.3 242.8 249.6 62.9 190.5 84.0 C18:1 N7 1.9 1.7 2.0 0.8 1.9 0.9 C18:2 N6* 13.8 5.6 13.8 6.2 14.3 9.1 C20:0* 4.3 6.6 4.5 1.5 3.6 1.4 C18:3 N3* 0.0 0.0 0.3 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.8 0.0 0.8 0.0 C18:4 N3 0.0 0.0 0.7 1.3 0.9 0.4 C20:2 N6* 0.0 0.0 0.6 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.3 0.0 0.0 0.0 C22:0* 3.3 61.0 3.2 1.1 3.0 1.2 C20:4 N7 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4N6* 1.7 0.0 2.3 1.4 1.9 1.3 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 2.4 4.5 3.0 2.2 2.6 1.3 C20:5 N3* 28.1 3.0 27.7 38.6 25.6 43.2 C24:0* 1.4 64.3 1.4 0.0 2.0 1.0 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 20.0 7.6 21.0 10.1 17.2 14.4 C22:5 N3* 2.8 0.0 3.1 3.7 3.4 2.9 C22:6 N3* 407.1 72.5 417.4 443.6 350.5 428.5 Sum of all 936.1 572.1 967.6 688.0 797.3 737.3 FAME's TABLE 21 Fatty Acid Profiles as a Percent of Total FAME Final Sterol Oil Esters TAG FFA Sterol DAG Fatty Acid % % % % % % FAME FAME FAME FAME FAME FAME C12:0* 0.0 0.0 0.1 0.0 0.2 0.1 C14:0* 1.2 0.9 1.2 0.9 1.2 0.8 C14:1* 0.0 0.0 0.0 0.0 0.0 0.0 C15:0 0.2 0.0 0.2 0.2 0.2 0.3 C16:0* 19.6 14.0 18.7 14.5 18.7 17.9 C16:1* 0.0 0.0 0.1 0.0 0.1 0.1 C18:0* 2.1 3.1 2.0 1.1 2.0 0.9 C18:1 N9* 26.0 42.4 25.8 9.1 23.9 11.4 C18:1 N7 0.2 0.3 0.2 0.1 0.2 0.1 C18:2 N6* 1.5 1.0 1.4 0.9 1.8 1.2 C20:0* 0.5 1.1 0.5 0.2 0.5 0.2 C18:3 N3* 0.0 0.0 0.0 0.0 0.0 0.0 C20:1 N9* 0.0 0.0 0.1 0.0 0.1 0.0 C18:4 N3 0.0 0.0 0.1 0.2 0.1 0.1 C20:2 N6* 0.0 0.0 0.1 0.0 0.0 0.0 C20:3 N6 0.0 0.0 0.0 0.0 0.0 0.0 C22:0* 0.4 10.7 0.3 0.2 0.4 0.2 C20:4 N7 0.0 0.0 0.0 0.0 0.0 0.0 C20:3 N3 0.0 0.0 0.0 0.0 0.0 0.0 C20:4N6* 0.2 0.0 0.2 0.2 0.2 0.2 C22:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N5 0.0 0.0 0.0 0.0 0.0 0.0 C20:4 N3 0.3 0.8 0.3 0.3 0.3 0.2 C20:5 N3* 3.0 0.5 2.9 5.6 3.2 5.9 C24:0* 0.2 11.2 0.1 0.0 0.2 0.1 C22:4 N9 0.0 0.0 0.0 0.0 0.0 0.0 C24:1 N9* 0.0 0.0 0.0 0.0 0.0 0.0 C22:5 N6* 2.1 1.3 2.2 1.5 2.2 1.9 C22:5 N3* 0.3 0.0 0.3 0.5 0.4 0.4 C22:6 N3* 43.6 12.7 43.1 64.5 44.0 58.1 Sum of 100 100 100 100 100 100 FAME % It is noted that fatty acid profiles of Tables 20 and 21 were obtained from samples extracted using a FRIOLEX® process.", "The fatty acid profiles of Tables 20 and 21 are expected to be the substantially the same if the samples were extracted using the processes of the present invention.", "Example 33 A two-day old inoculum flask of the isolated thraustochytrid (ATCC Accession No.", "PTA-9695) was prepared in a carbon and nitrogen-fed culture with 980 ppm (thraustochytrid media).", "Mutagenesis was carried out according to following procedure: A sterile T=2 day old flask, approximately 50 ml, was poured into a sterile 40 ml glass homogenizer.", "The culture received 50 plunges in the homogenizer.", "The culture was pipetted out and filtered through a sterile 50 micron mesh filter, which was placed in a 50 ml sterile tube (the mesh was used as a means of retaining the larger clumps of colonies while letting the smaller clusters and single cells pass through the 50 micron mesh.).", "The entire concentrated macerate was collected in a sterile 50 ml tube.", "The macerated culture was vortexed and dilutions at levels up to 1:100 fold were made in tubes containing thraustochytrid media.", "The diluted macerate samples were vortexed prior to adding 200 μl of inoculum to a thraustochytrid media agar petri dish, 100×15 mm, containing 4-5 glass beads (3 mm glass beads).", "Each plate was gently agitated in an effort to have the beads spread the inoculum evenly around the plate.", "Beads were dumped off of plates and plates were left to sit with covers on for approximately 5 minutes to dry.", "Lights in both the sterile hood and adjoining areas were turned off as the procedure was performed in dim light.", "There was minimal light available to be able to run the procedure but only indirect and dim.", "Five replicate plates were placed on the floor of the XL crosslinker (Spectronics Corporation, New York) with the lids off while the samples were irradiated.", "The crosslinker delivered power in terms of microjoules and a level was sought that achieved a 90%-95% Kill.", "Five replicate control plates were inoculated with un-mutagenized cells using the same protocol.", "These cell counts were used to calculate the % Kill.", "Once the irradiation was finished the plates were taken out, the lids were replaced, and the plates were wrapped in parafilm followed by a wrap in aluminum foil.", "It was imperative that the plates grew for the first week in the dark so that they were not able to repair the damaged genes.", "Plates were placed in a 22.5° C. room for about 10 days prior to counting the colonies.", "When final counts were made, individual colonies were picked with a sterile inoculating loop and re-streaked on new thraustochytrid media plates.", "Each colony was plated on an individual plate.", "As plates grew dense a sample was taken, using a inoculating loop, and inoculated into a sterile 250 ml shake flask containing 50 ml of thraustochytrid media.", "This flask was placed on a shaker at 200 rpm in a 22.5° C. room.", "On T=7 days the shake flask culture was harvested into a 50 ml sterile tube.", "The pH was taken and the sample was spun down to collect the biomass pellet.", "Each sample was rinsed and re-suspended in a 50:50 mixture of isopropyl alcohol and distilled water prior to being re-spun.", "The collected pellet was freeze dried, weighed, and a FAME analysis was performed.", "The data in Tables 22-28 represents mutants produced with the above process.", "TABLE 22 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC Fatty Acids PTA-9695 Mutant 1 Mutant 2 Mutant 3 Mutant 4 Mutant 5 Mutant 8 Mutant 9 Mutant 10 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.10 0.08 0.08 0.13 0.07 0.11 0.08 0.08 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.11 0.17 0.13 0.12 0.18 0.11 0.15 0.14 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.85 1.49 1.37 2.36 1.29 1.85 1.72 1.57 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:0 30.98 28.75 29.96 29.97 30.33 29.86 30.97 30.11 29.20 % 16:1 0.27 0.20 0.31 0.14 0.25 0.27 0.16 0.27 0.24 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.15 0.13 0.17 0.27 0.12 0.16 0.13 0.13 % 18:0 1.29 1.22 1.38 1.47 1.22 1.57 1.25 1.34 1.34 % 18:1 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.03 0.00 0.00 0.07 0.00 0.03 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.36 0.42 0.45 0.34 0.46 0.37 0.40 0.40 % 20:1 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.38 0.32 0.42 0.44 0.32 0.41 0.33 0.36 % 20:4 ARA 0.55 0.55 0.94 0.57 0.80 0.89 0.60 0.73 0.75 % 20:5 n-3 EPA 2.62 2.94 3.01 2.40 3.64 2.83 2.54 2.81 2.81 % 22:0 0.08 0.08 0.09 0.09 0.07 0.10 0.07 0.09 0.09 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:5 n-6 3.19 3.19 2.94 3.43 3.35 2.87 3.34 3.01 3.15 % 22:5 n-3 0.18 0.18 0.21 0.23 0.20 0.18 0.20 0.17 0.18 % 22:6 n-3 DHA 56.88 58.63 57.56 57.85 54.87 57.98 56.62 57.53 58.52 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.08 0.00 0.00 0.00 0.00 0.00 0.09 0.00 % Fat 46.83 46.10 31.23 47.39 49.78 30.62 54.71 37.72 37.87 % Unknown 0.85 0.46 0.35 0.51 0.51 0.36 0.50 0.38 0.39 TABLE 23 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC Fatty Acids PTA-9695 Mutant 11 Mutant 13 Mutant 14 Mutant 15 Mutant 16 Mutant 20 Mutant 21 Mutant 22 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.10 0.08 0.09 0.11 0.11 0.09 0.09 0.10 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.15 0.16 0.14 0.13 0.12 0.17 0.16 0.13 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.89 1.43 1.75 1.83 1.98 1.76 1.77 1.81 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:0 30.98 31.08 30.27 29.92 31.79 30.18 28.84 30.05 30.81 % 16:1 0.27 0.32 0.26 0.28 0.21 0.24 0.23 0.23 0.33 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.24 0.15 0.13 0.15 0.12 0.14 0.16 0.14 % 18:0 1.29 1.36 1.44 1.31 1.36 1.21 1.28 1.34 1.33 % 18:1 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.05 0.00 0.00 0.00 0.03 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.38 0.42 0.39 0.40 0.37 0.37 0.38 0.38 % 20:1 n-9 0.00 0.00 0.06 0.00 0.00 0.00 0.00 0.00 0.00 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.43 0.36 0.33 0.36 0.37 0.33 0.35 0.34 % 20:4 ARA 0.55 0.79 0.72 0.80 0.64 0.62 0.83 0.73 0.69 % 20:5 n-3 EPA 2.62 3.17 2.72 2.97 2.52 2.66 3.03 2.90 2.87 % 22:0 0.08 0.08 0.09 0.08 0.08 0.08 0.08 0.08 0.08 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:5 n-6 3.19 3.25 3.06 2.97 3.07 3.16 2.98 3.01 3.02 % 22:5 n-3 0.18 0.20 0.19 0.17 0.19 0.16 0.17 0.18 0.18 % 22:6 n-3 DHA 56.88 55.17 57.52 57.63 56.02 57.38 58.58 57.45 56.65 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.00 0.00 0.00 0.00 0.07 0.00 0.00 0.08 % Fat 46.83 46.19 37.00 38.41 48.46 47.32 37.71 40.23 43.55 % Unknown 0.85 0.47 0.39 0.36 0.47 0.44 0.37 0.39 0.38 TABLE 24 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC Fatty Acids PTA-9695 Mutant 24 Mutant 26 Mutant 27 Mutant 29 Mutant 30 Mutant 33 Mutant 34 Mutant 35 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.11 0.09 0.09 0.08 0.08 0.10 0.11 0.09 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.12 0.13 0.14 0.16 0.14 0.12 0.12 0.10 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.98 1.71 1.69 1.63 1.66 1.93 2.01 1.59 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 0.00 0.00 0.00 0.00 0.00 0.70 0.54 0.39 % 16:0 30.98 30.61 30.32 30.21 29.70 29.50 30.26 32.28 30.78 % 16:1 0.27 0.19 0.22 0.22 0.26 0.26 0.29 0.26 0.16 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.15 0.18 0.16 0.13 0.13 0.26 0.16 0.12 % 18:0 1.29 1.24 1.31 1.31 1.32 1.30 1.32 1.37 1.34 % 18:1 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.10 0.11 0.09 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.37 0.39 0.40 0.40 0.39 0.37 0.40 0.40 % 20:1 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.13 0.14 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.38 0.37 0.35 0.35 0.35 0.00 0.00 0.00 % 20:4 ARA 0.55 0.61 0.59 0.69 0.68 0.32 0.34 0.24 0.28 % 20:5 n-3 EPA 2.62 2.62 2.70 2.85 2.90 2.91 3.28 2.51 2.59 % 22:0 0.08 0.08 0.08 0.08 0.09 0.08 0.08 0.08 0.08 % 22:1 0.00 0.00 0.00 0.00.0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:5 n-6 3.19 3.10 3.11 3.05 3.10 3.11 3.43 3.26 3.56 % 22:5 n-3 0.18 0.16 0.18 0.19 0.18 0.18 0.18 0.15 0.24 % 22:6 n-3 DHA 56.88 57.03 57.46 57.46 57.96 58.52 55.92 54.96 56.73 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.08 0.00 0.00 0.00 0.00 0.07 0.07 0.07 % Fat 46.83 47.80 43.50 38.86 38.60 38.16 46.95 46.43 51.55 % Unknown 0.85 0.45 0.42 0.39 0.37 0.82 1.25 1.23 1.25 TABLE 25 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC Fatty Acids PTA-9695 Mutant 36 Mutant 37 Mutant 38 Mutant 39 Mutant 40 Mutant 42 Mutant 43 Mutant 44 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.00 0.11 0.00 0.11 0.09 0.08 0.12 0.09 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.44 0.09 0.24 0.12 0.11 0.12 0.08 0.15 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.25 1.99 1.48 1.96 1.76 1.43 2.17 1.75 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 2.12 0.48 0.71 0.54 0.55 0.36 0.62 0.50 % 16:0 30.98 26.95 28.04 32.28 30.84 30.25 25.77 43.37 30.18 % 16:1 0.27 0.00 0.26 0.23 0.22 0.21 0.10 1.05 0.22 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.95 0.13 0.28 0.16 0.16 0.10 0.26 0.13 % 18:0 1.29 1.58 1.11 1.79 1.30 1.29 1.25 2.21 1.34 % 18:1 n-9 0.00 0.37 0.08 0.25 0.09 0.09 0.12 0.09 0.10 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.05 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.06 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.34 0.31 0.43 0.38 0.39 0.36 0.61 0.40 % 20:1 n-9 0.00 0.00 0.00 0.43 0.00 0.14 0.15 0.15 0.49 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:4 ARA 0.55 0.41 0.31 0.24 0.27 0.24 0.30 0.35 0.23 % 20:5 n-3 EPA 2.62 5.36 2.77 4.00 2.72 2.80 3.21 3.47 2.80 % 22:0 0.08 0.00 0.07 0.14 0.07 0.08 0.07 0.14 0.08 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.06 0.00 0.00 0.00 0.00 0.00 0.00 % 22:5 n-6 3.19 2.40 3.94 2.57 3.48 3.29 3.89 2.37 3.33 % 22:5 n-3 0.18 0.00 0.19 0.00 0.17 0.17 0.30 0.33 0.17 % 22:6 n-3 DHA 56.88 57.52 58.57 54.20 56.24 57.09 60.99 41.61 56.76 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.00 0.08 0.00 0.08 0.09 0.08 0.06 0.09 % Fat 46.83 12.73 54.86 18.08 45.74 42.59 42.48 56.44 41.20 % Unknown 0.85 0.29 1.36 0.73 1.28 1.20 1.31 0.90 1.20 TABLE 26 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC PTA- Fatty Acids 9695 Mutant 45 Mutant 46 Mutant 47 Mutant 48 Mutant 49 Mutant 50 Mutant 51 Mutant 52 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.10 0.13 0.11 0.07 0.09 0.09 0.09 0.11 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.11 0.10 0.09 0.13 0.09 0.13 0.10 0.09 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.79 2.07 1.86 1.52 1.62 1.78 1.78 1.85 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 0.41 0.76 0.57 0.46 0.48 0.55 0.53 0.53 % 16:0 30.98 28.79 24.90 30.07 29.07 31.21 30.46 30.79 32.53 % 16:1 0.27 0.19 0.24 0.18 0.17 0.17 0.18 0.21 0.22 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.11 0.24 0.16 0.12 0.14 0.17 0.18 0.15 % 18:0 1.29 1.24 1.07 1.28 1.41 1.43 1.36 1.48 1.35 % 18:1 n-9 0.00 0.08 0.07 0.09 0.09 0.08 0.10 0.09 0.06 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.12 0.05 0.00 0.00 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.36 0.29 0.37 0.42 0.42 0.39 0.40 0.41 % 20:1 n-9 0.00 0.15 0.13 0.11 0.24 0.13 0.19 0.16 0.19 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.05 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.00 0.12 0.00 0.00 0.00 0.00 0.00 0.00 % 20:4 ARA 0.55 0.29 0.65 0.26 0.18 0.21 0.22 0.24 0.24 % 20:5 n-3 2.62 3.05 4.28 2.66 2.93 2.46 2.71 2.94 2.44 EPA % 22:0 0.08 0.07 0.06 0.07 0.09 0.09 0.08 0.08 0.08 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.06 0.07 0.05 0.00 0.00 0.00 0.00 0.00 % 22:5 n-6 3.19 3.59 4.28 3.46 3.07 3.32 3.17 3.18 3.24 % 22:5 n-3 0.18 0.25 0.27 0.18 0.17 0.17 0.16 0.17 0.17 % 22:6 n-3 DHA 56.88 57.74 58.32 56.70 58.65 56.45 56.83 56.19 55.06 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.07 0.15 0.10 0.10 0.11 0.10 0.10 0.07 % Fat 46.83 48.91 58.95 54.80 35.41 48.60 44.93 43.01 51.93 % Unknown 0.85 1.55 1.63 1.57 1.09 1.35 1.31 1.28 1.19 TABLE 27 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control ATCC Fatty Acids PTA-9695 Mutant 53 Mutant 54 Mutant 55 Mutant 56 Mutant 57 Mutant 58 Mutant 60 Mutant 61 Mutant 65 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.10 0.09 0.08 0.12 0.08 0.08 0.08 0.08 0.10 0.08 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.11 0.11 0.12 0.08 0.09 0.13 0.16 0.14 0.09 0.14 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 14:0 1.79 1.74 1.63 2.13 1.67 1.59 1.59 1.59 1.85 1.58 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 15:1 0.00 0.53 0.52 0.48 0.51 0.52 0.45 0.50 0.51 0.48 % 16:0 30.98 30.13 29.54 33.01 31.08 29.37 30.65 29.39 31.15 30.03 % 16:1 0.27 0.21 0.23 0.26 0.26 0.14 0.25 0.22 0.26 0.25 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.12 0.15 0.14 0.14 0.14 0.16 0.12 0.13 0.14 0.13 % 18:0 1.29 1.30 1.30 1.37 1.38 1.37 1.46 1.30 1.30 1.35 % 18:1 n-9 0.00 0.08 0.08 0.00 0.06 0.11 0.09 0.10 0.07 0.07 % 18:1 n-7 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:0 0.39 0.38 0.39 0.40 0.42 0.38 0.43 0.39 0.39 0.41 % 20:1 n-9 0.00 0.19 0.16 0.13 0.19 0.20 0.17 0.14 0.13 0.21 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 n-3 0.37 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:4 ARA 0.55 0.25 0.21 0.26 0.22 0.25 0.51 0.20 0.24 0.19 % 20:5 n-3 EPA 2.62 2.75 2.78 2.81 2.67 2.78 5.76 2.72 2.59 2.82 % 22:0 0.08 0.08 0.08 0.08 0.09 0.08 0.09 0.08 0.08 0.09 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.06 0.00 % 22:5 n-6 3.19 3.47 3.20 3.25 3.19 3.43 2.62 3.30 3.42 3.18 % 22:5 n-3 0.18 0.18 0.18 0.17 0.17 0.20 0.59 0.17 0.17 0.17 % 22:6 n-3 DHA 56.88 56.99 58.07 54.04 56.38 57.76 54.09 58.21 55.91 57.56 % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.09 0.09 0.07 0.10 0.09 0.11 0.10 0.07 0.08 % Fat 46.83 45.83 39.59 48.81 41.92 43.97 33.96 36.97 50.40 36.21 % Unknown 0.85 1.28 1.19 1.19 1.29 1.35 0.77 1.24 1.48 1.17 TABLE 28 Mutants of Thraustochytrid Strain ATCC Accession No.", "PTA-9695 control Mutant 68 Mutant 70 Mutant 72 Fatty ATCC Mutant Mutant ATCC Mutant ATCC Mutant ATCC Mutant Mutant Acids PTA-9695 66 67 PTA-9696 69 PTA-9697 71 PTA-9698 73 74 % 08:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 12:0 0.15 0.00 0.00 0.00 0.00 0.00 0.00 0.13 0.00 0.00 % 12:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 13:1 0.00 0.00 0.00 0.00 0.00 0.00 0.22 0.00 0.00 0.00 % 14:0 2.42 2.29 2.07 2.09 2.11 2.21 2.27 2.29 1.97 2.06 % 14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.19 0.00 0.00 0.00 % 15:1 0.55 0.47 0.48 0.47 0.47 0.44 0.46 0.40 0.50 0.47 % 16:0 39.19 31.02 26.20 25.84 27.79 28.14 28.89 33.49 24.50 23.95 % 16:1 0.43 0.19 0.00 0.00 0.00 0.00 0.19 0.21 0.00 0.00 % 16:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 17:0 0.16 0.00 0.00 0.00 0.00 0.00 0.00 0.13 0.00 0.00 % 18:0 1.67 1.68 1.22 1.22 1.44 1.49 1.51 2.24 1.11 1.02 % 18:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 % 18:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-7 % 18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 18:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 % 18:3 0.00 0.18 0.20 0.21 0.19 0.17 0.22 0.16 0.22 0.22 n-3 % 18:4 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 % 20:0 0.49 0.41 0.32 0.31 0.35 0.37 0.44 0.52 0.29 0.27 % 20:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 % 20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 % 20:3 0.00 0.00 0.00 0.00 0.15 0.00 0.00 0.00 0.00 0.00 n-6 % 20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 % 20:4 0.18 0.16 0.33 0.27 0.24 0.37 0.30 0.27 0.38 0.39 ARA % 20:5 1.76 2.30 3.86 3.97 3.32 4.12 3.09 2.74 4.43 4.53 n-3 EPA % 22:0 0.33 0.46 0.35 0.44 0.48 0.38 0.43 0.12 0.35 0.34 % 22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 22:4 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 % 22:5 2.62 2.83 3.17 2.66 2.72 2.95 3.46 2.79 3.17 3.19 n-6 % 22:5 0.18 0.18 0.46 0.42 0.34 0.61 0.25 0.27 0.48 0.57 n-3 % 22:6 49.52 57.01 60.60 61.42 59.74 58.03 55.62 53.06 61.83 62.23 n-3 DHA % 24:0 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % 24:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 % Fat 52.70 49.32 48.51 49.49 48.80 53.65 40.38 63.40 48.27 46.63 % 0.35 0.82 0.73 0.66 0.67 0.73 2.46 1.18 0.78 0.76 Unknown Example 34 Isolation of Microorganisms Samples were collected from intertidal habitats during low tide, including bays and estuaries along the West Coast of North America (California, Oregon, and Washington) and Hawaii.", "Water, sediment, living plant material, and decaying plant/animal debris were placed into sterile 50 ml tubes.", "Portions of each sample along with the water were spread onto solid agar plates of isolation media.", "Isolation media consisted of: 500 ml of artificial seawater, 500 ml of distilled water, 1 g of glucose, 1 g of glycerol, 13 g of agar, 1 g of glutamate, 0.5 g of yeast extract, 0.5 g casein hydrolysate, 1 ml of a vitamin solution (100 mg/L thiamine, 0.5 mg/L biotin, 0.5 mg B12), 1 ml of a trace mineral solution (PH metals, containing per liter: 6.0 g FeCl36H2O, 6.84 g H3BO3, 0.86 g MnCl24H2O, 0.06 g ZnCl2, 0.026 CoCl26H2O, 0.052 g NiSO4H2O, 0.002 g CuSO45H2O and 0.005 g Na2MoO42H2O), and 500 mg each of penicillin G and streptomycin sulfate.", "The agar plates were incubated in the dark at 20-25° C. After 2-4 days the agar plates were examined under magnification, and colonies of cells were picked with a sterile toothpick and restreaked onto a fresh plate of media.", "Cells were repeatedly streaked onto fresh media until contaminated organisms were removed.", "Two of the isolated microorganisms were deposited under ATCC Accession Nos.", "PTA-10212 and PTA-10208.Taxonomic Characteristics of the Isolated Microorganism Deposited Under ATCC Accession No.", "PTA-10212 Cultures of the isolated microorganism deposited under ATCC Accession No.", "PTA-10212 (“PTA-10212”) appeared as white, wet, smeared colonies without visible isolated sori.", "PTA-10212 was grown on solid and liquid FFM, solid KMV, KMV slush (1%), KMV broth, and MH broth to further examine growth characteristics.", "PTA-10212 was observed to grow rapidly on KMV and MH.", "See, e.g., Porter D., 1989.Phylum Labyrinthulomycota.", "In Margulis, L., Corliss, J. O., Melkonian, M., Chapman, D. J.", "(Eds.)", "Handbook of Protoctista, Jones and Bartlett, Boston, pp.", "388-398 (KMV); Honda et al., Mycol.", "Res.", "102:439-448 (1998) (MH); and U.S. Pat.", "No.", "5,130,242 (FFM).", "The following observations were made after growth of PTA-10212 over several days on solid FFM media, after 72 hours growth in KMV medias, and MH broth.", "Sporangia were not clumped in/on any media and were very small (5-10 μm).", "PTA-10212 did not demonstrate the copious tetrads characteristic of Schizochytrium cleavage patterns.", "Amoeboid cells appeared about 24 hours after transfer to fresh solid media.", "These amoeboid cells were gone after a few days and were not evident in liquid or slush media.", "Unlike Aurantiochytrium, described by Yokoyama, R. et al., Mycoscience 48(6): 329-341 (2007), as having the appearance of “small sandgrains on the bottom of the flask” when grown in liquid media, PTA-10212 did not settle at the bottom of the flask but was suspended in both KMV and MH liquid media.", "The sporangia were not as dense as typical of Schizochytrium or Oligochytrium, which also have robust ectoplasmic networks that were absent from PTA-10212.While most species undergo vegetative cleavage of small sporangia or assimilative cells by the division of a larger sporangium over several hours, PTA-10212 formed dumbbell-shaped elongated assimilative cells, which then formed a thin isthmus that pulled apart as the ends of the dumbbell separated.", "The resulting cells appeared to be small assimilative cells.", "Direct transformation of an amoeboid cell into the dumbbell shaped assimilative cell was not observed.", "Typical biflagellate zoospores were observed swimming but were relatively rare.", "PTA-10212 was non-prolific, dividing by vegetative cleavage.", "Direct release of zoospores was not observed, although zoospores were observed swimming.", "Vegetative cells were very small (2 μm to 5 μm).", "PTA-10212 was further examined using the flow-through technique, in which microscopic slides were prepared by suspending a small portion of an agar-grown colony in a drop of half-strength sea water.", "With this technique, primary sporangia were observed to be globose and approximately 10 μm in diameter.", "Walls were very thin and remnants were not observed when binary division of the protoplast was initiated.", "Repeated binary division produced 8-16 smaller (4-5 μm in diameter) secondary sporangia.", "The secondary sporangia remained quiescent for several hours before again releasing an amorphous protoplast.", "The amorphous protoplast divided by pinching and pulling, initially producing typical dumbbell-shaped intermediate stages and finally resulting in 4-8 small globose bodies 2.5-2.8 μm in diameter.", "The latter rested for several minutes up to 1-2 hours, then changed shape (elongated) and turned into biflagellate zoospores, 2.3-2.5×3.7-3.9 μm.", "Zoospores were abundant and could be precisely measured when they came to rest.", "Zoospores then rounded off and started a new cycle of development.", "The zoospores were larger than Sicyoldochytrium minutum and smaller than Ulkenia visurgensis.", "PTA-10212 was further characterized based on the similarity of its 18s rRNA gene to that of known species.", "Genomic DNA was prepared from PTA-10212 by standard procedures.", "See, for example, Sambrook J. and Russell D. 2001.Molecular cloning: A laboratory manual, 3rd edition.", "Cold Spring Harbor Laboratory Press, Cold Spring Harbor, N.Y.", "Briefly: (1) 500 pit of cells were centrifuged from mid-log culture.", "The cells were re-centrifuged, and all traces of liquid were removed from the cell pellet with a small-bore tip; (2) pellets were resuspended with 200 μL lysis buffer (20 mM Tris pH 8.0, 125 μg/mL Proteinase K, 50 mM NaCl, 10 mM EDTA pH 8.0, 0.5% SDS); (3) cells were lysed at 50° C. for 1 hour; (4) the lysis mixture was pipetted into phase-lock gel (PLG-Eppendorf) 2 mL tubes; (5) equal volume of P:C:I was added and allowed to mix for 1.5 hours; (6) the tubes were centrifuged at 12,000×g for 5 minutes; (7) the aqueous phase was removed from above the gel within the PLG tube and an equal volume of chloroform was added to the aqueous phase, and mixed for 30 minutes; (8) the tubes were centrifuged at 14,000×g for approximately 5 minutes; (9) the top layer (aqueous phase) was pipetted away from the chloroform, and placed in a new tube; (10) 0.1 volume of 3 M NaOAC was added and mixed (inverted several times); (11) 2 volumes of 100% EtOH were added and mixed (inverted several times) with genomic DNA precipitant forming at this stage; (12) the tubes were centrifuged at 4° C. in a microcentrifuge at 14,000×g for approximately 15 minutes; (13) the liquid was gently poured off with genomic DNA remaining at the bottom of the tube; (14) the pellet was washed with 0.5 mL 70% EtOH; (15) the tubes were centrifuged at 4° C. in a microcentrifuge at 14,000×g for approximately 5 minutes; (16) the EtOH was gently poured off and the genomic DNA pellet was dried; and (17) a suitable volume of H2O and RNase was added directly to the genomic DNA pellet.", "The PCR amplification of the 18s rRNA gene was carried out with primers previously described (Honda et.", "al., 0.1 Euk.", "Micro.", "46(6): 637-647 (1999).", "The PCR conditions with chromosomal DNA template were as follows: 0.2 μM dNTPs, 0.1 μM each primer, 8% DMSO, 200 ng chromosomal DNA, 2.5 U Herculase® II Fusion DNA Polymerase (Stratagene), and Herculase® buffer (Stratagene) in a 50 μL total volume.", "The PCR Protocol included the following steps: (1) 95° C. for 2 minutes; (2) 95° C. for 35 seconds; (3) 55° C. for 35 seconds; (4) 72° C. for 1 minute and 30 seconds; (5) repeat steps 2-4 for 30 cycles; (6) 72° C. for 5 minutes; and (7) hold at 4° C. PCR amplification yielded a distinct DNA product with the expected size using chromosomal template described above.", "The PCR product was cloned into the vector pJET1.2/blunt (Fermentas) according to the manufacturer's instructions, and the insert sequence was determined using supplied standard primers.", "Phylogenetic analysis places PTA-10212 within the lineage that includes Thraustochytrium pachydermum and Thraustochytrium aggregatum with moderate support.", "The sporangia of T. pachydermum have very thick cell walls.", "T. aggregatum forms clearly visible clumps of sori that are opaque.", "PTA-10212 shows neither of these characteristics.", "The presence of many amoeboid cells has been described in other taxa, such as Ulkenia, T. gaertnerium, A. limiacinum, and S. mangrovei; however, the descriptions associated with those taxa differ from the observed characteristics of the isolate.", "Moreover, PTA-10212 did not show phylogenetic affinity towards any of these taxa.", "Table 29 shows a comparison of the 18s rRNA sequence from the microorganism deposited under ATCC Accession No.", "PTA-10212 to DNA sequences in the National Center for Biotechnology Information (NCBI) electronic database.", "The percent identity was determined using two different calculations.", "“Calculation #1” takes into consideration any “gaps” that occurs in the sequences, either from non-homologous regions or partial sequence (AlignX-VectorNTI default settings).", "“Calculation #2” does not include calculated penalties for gaps (AlignX-VectorNTI “IDENTITY” matrix setting).", "TABLE 29 Comparison of 18s rRNA Sequences % Identity % Identity Thraustochytrids Calculation #1 Calculation #2 Thraustochytrium pachydermum 85% 93% Thraustochytrium aggregatum (p) 83% 92% Thraustochytrium gaertnerium 82% 92% Ulkenia visurgensis 82% 92% Schizochytrium sp.", "PTA-9695 80% 92% Schizochytrium mangrovei 80% 91% Schizochytrium sp.", "ATCC 20888 80% 90% Aurantiochytrium limiacinum 79% 90% (p): indicates partial sequence As shown in Table 29, it was found that, in terms of % identity, the 18s rRNA gene sequence from the microorganism deposited under ATCC Accession No.", "PTA-10212 is related, though not identical, to 18s rRNA gene sequences available in the NCBI database.", "It is generally recognized that organisms can have closely related 18s rRNA gene sequences while belonging to a different genus or species.", "Based on the above characterization, the isolated microorganism (ATCC Accession No.", "PTA-10212) is believed to represent a new Thraustochytrium species and is therefore also designated as Thraustochytrium sp.", "ATCC PTA-10212.Taxonomic Characteristics of the Isolated Microorganism Deposited Under ATCC Accession No.", "PTA-10208 The microorganism deposited under ATCC Accession No.", "PTA-10208 (“PTA-10208”) was identified as a sub-isolate (an individual cell isolated from a culture and maintained as a new separate and distinct culture) of the microorganism deposited under ATCC Accession No.", "PTA-9695 (“PTA-9695”), described in U.S. Pub.", "No.", "2010/0239533 and Int'l.", "Pub.", "No.", "WO 2010/107415.PTA-10208 shares taxonomic characteristics with PTA-9695.PTA-9695 was found to have biflagellate zoospores at discharge that swim actively away from the mature sporangium, wall remnants of which were clearly visible (in phase contrast) after spore release.", "PTA-9695 sporangia measured 12.5 μm to 25 μm in diameter, and zoospores were 2.5 μm to 2.8 μm×4.5 μm to 4.8 μm in size.", "There were 8 to 24 spores per individual PTA-9695 sporangium.", "Settled PTA-9695 zoospores enlarged and rapidly underwent binary divisions leading to tetrads, octads, and finally to clusters of sporangia.", "Tetrad formation commenced at a very early stage prior to maturity of the sporangia.", "These characteristics are in agreement with the genus Schizochytrium.", "In terms of % identity, the PTA-9695 18s rRNA gene sequence, which is shared by PTA-10208, was found to be closely related, though not identical, to the 18s rRNA gene sequence of T. aggregatum provided in Honda, D. et al., J. Euk.", "Micro.", "46(6): 637-647 (1999).", "The 18s rRNA sequence published for Thraustochytrium aggregatum is a partial sequence, with an approximately 71 DNA nucleotide gap in the middle of the sequence.", "PTA-9695 is believed to represent a new Schizochytrium species.", "As such, the sub-isolate PTA-10208 is also designated as Schizochytrium sp.", "ATCC PTA-10208.Example 35 Growth Characteristics of the Isolated Microorganism Deposited Under ATCC Accession No.", "PTA-10212 The isolated microorganism (ATCC Accession No.", "PTA-10212) was examined for growth characteristics in individual fermentation runs, as described below.", "Typical media and cultivation conditions are shown in Table 30.TABLE 30 PTA-10212 Vessel Media Ingredient concentration ranges Na2SO4 g/L 31.0 0-50, 15-45, or 25-35 NaCl g/L 0.625 0-25, 0.1-10, or 0.5-5 KCl g/L 1.0 0-5, 0.25-3, or 0.5-2 MgSO4•7H2O g/L 5.0 0-10, 2-8, or 3-6 (NH4)2SO4 g/L 0.44 0-10, 0.25-5, or 0.05-3 MSG•1H2O g/L 6.0 0-10, 4-8, or 5-7 CaCl2 g/L 0.29 0.1-5, 0.15-3, or 0.2-1 T 154 (yeast g/L 6.0 0-20, 0.1-10, or 1-7 extract) KH2PO4 g/L 0.8 0.1-10, 0.5-5, or 0.6-1.8 Post autoclave (Metals) Citric acid mg/L 3.5 0.1-5000, 10-3000, or 3-2500 FeSO4•7H2O mg/L 10.30 0.1-100, 1-50, or 5-25 MnCl2•4H2O mg/L 3.10 0.1-100, 1-50, or 2-25 ZnSO4•7H2O mg/L 3.10 0.01-100, 1-50, or 2-25 CoCl2•6H2O mg/L 0.04 0-1, 0.001-0.1, or 0.01-0.1 Na2MoO4•2H2O mg/L 0.04 0.001-1, 0.005-0.5, or 0.01-0.1 CuSO4•5H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 NiSO4•6H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 Post autoclave (Vitamins) Thiamine mg/L 9.75 0.1-100, 1-50, or 5-25 Vitamin B12 mg/L 0.16 0.01-100, 0.05-5, or 0.1-1 Ca½-panto- mg/L 2.06 0.1-100, 0.1-50, or 1-10 thenate Biotin mg/L 3.21 0.1-100, 0.1-50, or 1-10 Post autoclave (Carbon) Glycerol g/L 30.0 5-150, 10-100, or 20-50 Nitrogen Feed: MSG•1H2O g/L 17 0-150, 10-100, or 15-50 Typical cultivation conditions would include the following pH about 6.5-about 9.5, about 6.5-about 8.0, or about 6.8-about 7.8; temperature: about 15-about 30 degrees Celsius, about 18-about 28 degrees Celsius, or about 21 to about 23 degrees Celsius; dissolved oxygen: about 0.1-about 100% saturation, about 5-about 50% saturation, or about 10-about 30% saturation; and/or glycerol about 5-about 50 g/L, about 10-about 40 g/L, or controlled @: about 15-about 35 g/L.", "In carbon (glycerol) and nitrogen-fed cultures with 1000 ppm CF at 22.5° C. with 20% dissolved oxygen at pH 7.3, PTA-10212 produced a dry cell weight of 26.2 g/L after 138 hours of culture in a 10 L fermentor volume.", "The lipid yield was 7.9 g/L; the omega-3 yield was 5.3 g/L; the EPA yield was 3.3 g/L; and the DHA yield was 1.8 g/L.", "The fatty acid content was 30.3% by weight; the EPA content was 41.4% of fatty acid methyl esters (FAME); and the DHA content was 26.2% of FAME.", "The lipid productivity was 1.38 g/L/day, and the omega-3 productivity was 0.92 g/L/day under these conditions, with 0.57 g/L/day EPA productivity and 0.31 g/L/day DHA productivity.", "In carbon (glycerol) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. with 20% dissolved oxygen at pH 7.3, PTA-10212 produced a dry cell weight of 38.4 g/L after 189 hours of culture in a 10 L fermentor volume.", "The lipid yield was 18 g/L; the omega-3 yield was 12 g/L; the EPA yield was 5 g/L; and the DHA yield was 6.8 g/L.", "The fatty acid content was 45% by weight; the EPA content was 27.8% of FAME; and the DHA content was 37.9% of FAME.", "The lipid productivity was 2.3 g/L/day, and the omega-3 productivity was 1.5 g/L/day under these conditions, with 0.63 g/L/day EPA productivity and 0.86 g/L/day DHA productivity.", "In carbon (glycerol) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. with 20% dissolved oxygen at pH 6.8-7.7, PTA-10212 produced a dry cell weight of 13 g/L after 189 hours of culture in a 10 L fermentor volume.", "The lipid yield was 5.6 g/L; the omega-3 yield was 3.5 g/L; the EPA yield was 1.55 g/L; and the DHA yield was 1.9 g/L.", "The fatty acid content was 38% by weight; the EPA content was 29.5% of FAME; and the DHA content was 36% of FAME.", "The lipid productivity was 0.67 g/L/day, and the omega-3 productivity was 0.4 g/L/day under these conditions, with 0.20 g/L/day EPA productivity and 0.24 g/L/day DHA productivity.", "In carbon (glycerol) and nitrogen-fed cultures with 1000 ppm at 22.5-28.5° C. with 20% dissolved oxygen at pH 6.6-7.2, PTA-10212 produced a dry cell weight of 36.7 g/L-48.7 g/L after 191 hours of culture in a 10 L fermentor volume.", "The lipid yield was 15.2 g/L-25.3 g/L; the omega-3 yield was 9.3 g/L-13.8 g/L; the EPA yield was 2.5 g/L-3.3 g/L; and the DHA yield was 5.8 g/L-11 g/L.", "The fatty acid content was 42.4%-53% by weight; the EPA content was 9.8%-22% of FAME; and the DHA content was 38.1%-43.6% of FAME.", "The lipid productivity was 1.9 g/L/day-3.2 g/L/day, and the omega-3 productivity was 1.2 g/L/day-1.7 g/L/day under these conditions, with 0.31 g/L/day-0.41 g/L/day EPA productivity and 0.72 g/L/day-1.4 g/L/day DHA productivity.", "Growth Characteristics of the Isolated Microorganism Deposited Under ATCC Accession No.", "PTA-10208 The isolated microorganism (ATCC Accession No.", "PTA-10208) was examined for growth characteristics in individual fermentation runs, as described below.", "Typical media and cultivation conditions are shown in Table 31.TABLE 31 PTA-10208 Vessel Media Ingredient concentration ranges Na2SO4 g/L 8.8 0-25, 2-20, or 3-10 NaCl g/L 0.625 0-25, 0.1-10, or 0.5-5 KCl g/L 1.0 0-5, 0.25-3, or 0.5-2 MgSO4•7H2O g/L 5.0 0-10, 2-8, or 3-6 (NH4)2SO4 g/L 0.42 0-10, 0.25-5, or 0.05-3 CaCl2 g/L 0.29 0.1-5, 0.15-3, or 0.2-1 T 154 (yeast g/L 1.0 0-20, 0.1-10, or 0.5-5 extract) KH2PO4 g/L 1.765 0.1-10, 0.5-5, or 1-3 Post autoclave (Metals) Citric acid mg/L 46.82 0.1-5000, 10-3000, or 40-2500 FeSO4•7H2O mg/L 10.30 0.1-100, 1-50, or 5-25 MnCl2•4H2O mg/L 3.10 0.1-100, 1-50, or 2-25 ZnSO4•7H2O mg/L 9.3 0.01-100, 1-50, or 2-25 CoCl2•6H2O mg/L 0.04 0-1, 0.001-0.1, or 0.01-0.1 Na2MoO4•2H2O mg/L 0.04 0.001-1, 0.005-0.5, or 0.01-0.1 CuSO4•5H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 NiSO4•6H2O mg/L 2.07 0.1-100, 0.5-50, or 1-25 Post autoclave (Vitamins) Thiamine mg/L 9.75 0.1-100, 1-50, or 5-25 Ca½-panto- mg/L 3.33 0.1-100, 0.1-50, or 1-10 thenate Biotin mg/L 3.58 0.1-100, 0.1-50, or 1-10 Post autoclave (Carbon) Glucose g/L 30.0 5-150, 10-100, or 20-50 Nitrogen Feed: NH4OH mL/L 23.6 0-150, 10-100, or 15-50 Typical cultivation conditions would include the following: pH about 6.5-about 8.5, about 6.5-about 8.0, or about 7.0-about 8.0; temperature: about 17-about 30 degrees Celsius, about 20-about 28 degrees Celsius, or about 22 to about 24 degrees Celsius; dissolved oxygen: about 2-about 100% saturation, about 5-about 50% saturation, or about 7-about 20% saturation; and/or glucose about 5-about 50 g/L, about 10-about 40 g/L, or controlled @: about 20-about 35 g/L.", "In carbon (glucose) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. at pH 7.0 with 20% dissolved oxygen during the nitrogen feed and 10% dissolved oxygen thereafter, PTA-10208 produced a dry cell weight of 95 g/L after 200 hours of culture in a 10 L fermentor volume.", "The lipid yield was 53.7 g/L; the omega-3 yield was 37 g/L; the EPA yield was 14.3 g/L; and the DHA yield was 21 g/L.", "The fatty acid content was 57% by weight; the EPA content was 27.7% of FAME; and the DHA content was 39.1% of FAME.", "The lipid productivity was 6.4 g/L/day, and the omega-3 productivity was 4.4 g/L/day under these conditions, with 1.7 g/L/day EPA productivity and 2.5 g/L/day DHA productivity.", "In carbon (glucose) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. at pH 7.5 with 20% dissolved oxygen during the nitrogen feed and 10% dissolved oxygen thereafter, PTA-10208 produced a dry cell weight of 56 g/L after 139 hours of culture in a 10 L fermentor volume.", "The lipid yield was 53 g/L; the omega-3 yield was 34 g/L; the EPA yield was 11.5 g/L; and the DHA yield was 22 g/L.", "The fatty acid content was 58% by weight; the EPA content was 21.7% of FAME; and the DHA content was 41.7% of FAME.", "The lipid productivity was 9.2 g/L/day, and the omega-3 productivity was 5.9 g/L/day under these conditions, with 2 g/L/day EPA productivity and 3.8 g/L/day DHA productivity.", "In carbon (glucose) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. at pH 7.0 with 20% dissolved oxygen during the nitrogen feed and 10% dissolved oxygen thereafter, PTA-10208 produced a dry cell weight of 93.8 g/L after 167 hours of culture in a 2000 L fermentor volume.", "The lipid yield was 47.2 g/L; the omega-3 yield was 33.1 g/L; the EPA yield was 10.5 g/L; and the DHA yield was 20.4 g/L.", "The fatty acid content was 50.6% by weight; the EPA content was 23% of FAME; and the DHA content was 42.6% of FAME.", "The lipid productivity was 6.8 g/L/day, and the omega-3 productivity was 4.7 g/L/day under these conditions, with 1.5 g/L/day EPA productivity and 2.9 g/L/day DHA productivity.", "In carbon (glucose) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. at pH 7.0 with 20% dissolved oxygen during the nitrogen feed and 10% dissolved oxygen thereafter, PTA-10208 produced a dry cell weight of 105 g/L after 168 hours of culture in a 2000 L fermentor volume.", "The lipid yield was 46.4 g/L; the omega-3 yield was 33 g/L; the EPA yield was 10.7 g/L; and the DHA yield was 20.3 g/L.", "The fatty acid content was 43.9% by weight; the EPA content was 24% of FAME; and the DHA content was 43.7% of FAME.", "The lipid productivity was 6.6 g/L/day, and the omega-3 productivity was 4.7 g/L/day under these conditions, with 1.5 g/L/day EPA productivity and 2.9 g/L/day DHA productivity.", "In carbon (glucose) and nitrogen-fed cultures with 1000 ppm Cl− at 22.5° C. at pH 7.0 with 20% dissolved oxygen during the nitrogen feed and 10% dissolved oxygen thereafter, PTA-10208 produced a dry cell weight of 64.8 g/L after 168 hours of culture in a 2000 L fermentor volume.", "The lipid yield was 38.7 g/L; the omega-3 yield was 29.9 g/L; the EPA yield was 8.5 g/L; and the DHA yield was 16.7 g/L.", "The fatty acid content was 59.6% by weight; the EPA content was 23% of FAME; and the DHA content was 42.3% of FAME.", "The lipid productivity was 5.53 g/L/day, and the omega-3 productivity was 3.8 g/L/day under these conditions, with 1.2 g/L/day EPA productivity and 2.3 g/L/day DHA productivity.", "Example 36 Fatty Acid Profiles of Microorganism Strains ATCC PTA-10208 and PTA-10212 Four samples of biomass (PTA-10208 Sample #1; PTA-10208 Sample #2; PTA-10212 Sample #1; and PTA-10212 Sample #2) were analyzed for total crude oil content by solvent extraction, lipid classes were determined by high performance liquid chromatography/evaporative light scattering detection (HPLC/ELSD), triacylglycerol (TAG) were analyzed by HPLC/mass spectrometry (HPLC/MS), and fatty acid (FA) profiles were determined by gas chromatography with flame ionization detection (GC-FID).", "The crude lipid content of each freeze dried biomass was determined using solvent grinding with hexane and compared to the sum of FAME (mg/g) generated by direct transesterification, and the resultant fatty acid methyl esters (FAME) were quantified by GC/FID analysis.", "Fatty acids in the extracted crude lipid were also quantified by transesterification and quantified using GC/FID analysis of the resultant FAME.", "The weight percent of all neutral lipids (NL) and free fatty acids (FFA) were determined in the extracted crude lipid using normal phase HPLC with ELSD and atmospheric pressure chemical ionization-MS (APCI-MS) identification.", "The method separates and quantifies sterol esters (SE), TAG, free fatty acids (FFA), 1,3-diacylglycerols (1,3-DAG), sterols, 1,2-diacylglycerols (1,2-DAG), and monoacylglycerols (MAG).", "Results are shown in Tables 32 and 33, below.", "It is noted that fatty acid profiles of Tables 32 and 33 were obtained from samples extracted using a solvent.", "The fatty acid profiles of Tables 32 and 33 are expected to be the substantially the same if the samples were extracted using the processes of the present invention.", "TAG and phospholipids (PL) were isolated from the crude oils extracted from the four samples of biomass (PTA-10208 Sample #1; PTA-10208 Sample #2; PTA-10212 Sample #1; and PTA-10212 Sample #2).", "TAG was isolated using low pressure flash chromatography and PL was isolated using solid phase extraction (SPE).", "The identity of each isolated fraction was confirmed by thin layer chromatography (TLC).", "The fatty acid profiles of the isolated TAG and PL fractions were determined following direct transesterification using GC-FID as FAME.", "Results are shown in Tables 34 and 35, below.", "The total crude oil content and fatty acid profiles of isolated lipid classes were also determined for two additional samples of biomass from microorganism strain ATCC PTA-10212 (PTA-10212 Sample #3 and PTA-10212 Sample #4).", "Crude oil was obtained from each sample by hexane extraction, and individual lipid classes were isolated using low pressure flash chromatography.", "The fatty acid profiles of the biomass, crude oil, and isolated fractions were determined using GC-FID as FAME.", "Results are shown in Tables 36-39, below.", "It is noted that fatty acid profiles of Tables 36-39 were obtained from samples extracted using a typical hexane extraction.", "The fatty acid profiles of Tables 36-39 are expected to be the substantially the same if the samples were extracted using the processes of the present invention.", "Individual lipid classes were isolated from a sample of crude oil from microorganism strain ATCC PTA-10212 (PTA-10212 Sample #5) previously extracted using the FRIOLEX® process, and the fatty acid profiles of each class were determined using GC-FID as FAME.", "Results are shown in Tables 40 and 41, below.", "It is noted that fatty acid profiles of Tables 40 and 41 were obtained from samples extracted using a FRIOLEX® process.", "The fatty acid profiles of Tables 40 and 41 are expected to be the substantially the same if the samples were extracted using the processes of the present invention.", "Individual lipid classes were isolated from a sample of crude oil from microorganism strain ATCC PTA-10208 (PTA-10208 Sample #3) using normal HPLC with ELSD and APCI-MS identification.", "Experimental Procedures Crude Oil Extraction— Crude oil was extracted from samples of freeze-dried biomass using solvent grinding.", "For example, approximately 3 grams of biomass was weighed into a Swedish tube.", "Three ball bearings and 30 mL of hexane were added to the Swedish tube, which was sealed with a neoprene stopper and placed in a shaker for 2 hours.", "The resultant slurry was filtered using a Buchner funnel and Whatman filter paper.", "The filtered liquid was collected, the solvent removed under vacuum, and the amount of remaining crude lipid determined gravimetrically.", "Fatty Acid Analysis— The samples of biomass, extracted crude lipid, and isolated lipid classes were analyzed for fatty acid composition as FAME.", "Briefly, freeze-dried biomass and isolated lipid classes were weighed directly into a screw cap test tubes, while samples of the crude oil were dissolved in hexane to give a concentration of approximately 2 mg/mL.", "Toluene, containing internal standard, and 1.5 N HCl in methanol was added to each tube.", "The tubes were vortexed, then capped and heated to 100° C. for 2 hours.", "The tubes were allowed to cool, and saturated NaCl in water was added.", "The tubes were vortexed again and centrifuged to allow the layers to separate.", "A portion of the organic layer was then placed in a GC vial and analyzed by GC-FID.", "FAME was quantified using a 3-point calibration curve generated using Nu-Check-Prep GLC Reference Standard (NuCheck, Elysian, Minn.).", "Fatty acids present in the extract were expressed as mg/g and as a weight percent.", "Fat content in the samples was estimated assuming equal response to the internal standard when analyzed by GC-FID.", "HPLC/ELSD/MS Method— Instrument Agilent 1100 HPLC, Alltech 3300 ELSD, Agilent 1100 MSD Column Phenomenex Luna Silica, 250 × 4.6 mm, 5 μm particle size w/Guard Column Mobile Phase A - 99.5% Hexanes (Omnisolv) 0.4% Isopropyl alcohol (Omnisolv) 0.1% Acetic Acid B - 99.9% Ethanol (Omnisolv, 95:5 Ethanol:IPA) 0.1% Acetic Acid Gradient Time, min.", "% A % B 0 100 0 5 100 0 15 85 10 20 0 100 25 0 100 26 100 0 35 100 0 Column Temp.", "30° C. Flow Rate 1.5 mL/min Injection Volume 5 μL ELSD Detection Temperature 35° C., Gas flow 1.2 L/min MSD Mass Range 200-1200, Fragmentor 225 V Drying Gas Temperature 350° C. Vaporizer Temperature 325° C. Capillary Voltage 3500 V Corona Current 10 μA Solid Phase Extraction— PL fractions were separated from the crude lipid by solid phase extraction (SPE) using 2 g aminopropyl cartridges (Biotage, Uppsala, Sweden) placed in a Vac Elut apparatus (Varian Inc, Palo Alto, USA).", "The cartridge was conditioned with 15 mL of hexane, and ˜60 mg of each sample was dissolved in 1 mL CHCl3 and applied to the cartridge.", "The column was washed with 15 mL of 2:1 CHCl3:isopropyl alcohol to elute all the neutral lipids, which was discarded.", "The fatty acids were then eluted with 15 mL of 2% acetic acid (HOAc) in ether, which was discarded.", "The PL portion was eluted with 15 mL of 6:1 Methanol:Chloroform, which was collected, dried under nitrogen, and weighed.", "Flash Chromatography— Flash chromatography was used to separate the lipid classes present in the crude oil.", "Approximately 200 mg of crude oil dissolved in hexane was injected onto the head of the column.", "The chromatography system utilized Silica Gel 60 (EMD Chemical, Gibbstown, N.J.) with mobile phase composed of Petroleum Ether and Ethyl Acetate at 5 mL/min (Tables 6-7) or 3 mL/min (Tables 8-13).", "A step gradient was used to selectively elute each lipid class from the column.", "The mobile phase gradient started from 100% petroleum ether and finished with 50% ethyl acetate.", "Fractions were collected in 10 mL test tubes using a Gilson FC 204 large-bed fraction collector (Gilson, Inc., Middleton, Wis.).", "Each tube was analyzed by thin layer chromatography (TLC) and the tubes containing individual lipid classes (as judged by single spots on TLC plate with expected retention factor (Rf)) were pooled, concentrated to dryness, and weighed.", "The total fraction content was then determined gravimetrically.", "TLC Analysis— Thin layer chromatography was conducted on silica gel plates.", "The plates were eluted using a solvent system consisting of petroleum ether:ethyl ether:acetic acid (80:20:1) and were visualized using iodine vapor.", "The Rf values of each spot were then compared with reported literature values for each lipid class.", "Analysis of TAG and PL Fractions— The isolated TAG and PL fractions were analyzed for fatty acid composition as fatty acid methyl esters (FAME).", "The TAG fractions were dissolved in hexane to give a concentration of approximately 1-2 mg/mL.", "1 mL aliquots of the solutions were concentrated to dryness under nitrogen.", "Toluene, containing internal standard, and 1.5 N HCl in methanol was added to each tube.", "The tubes were vortexed, then capped and heated to 100° C. for 2 hours.", "Internal standard and HCl methanol were added directly to the tubes containing the PL fraction and heated.", "The tubes were allowed to cool, and saturated NaCl in water was added.", "The tubes were vortexed again and centrifuged to allow the layers to separate.", "A portion of the organic layer was then placed in a GC vial and analyzed by GC-FID.", "FAMEs were quantified using a 3-point calibration curve generated using Nu-Check-Prep GLC 502B Reference Standard (NuCheck, Elysian, Minn.).", "Fatty acids present in the extract were expressed as mg/g and as a % of FAME.", "Results PTA-10208 Sample #1 The fatty acid profile of the biomass and extracted crude lipid for PTA-10208 Sample #1 was determined using GC/FID.", "Fatty acids in the biomass were transesterified in situ by weighing 28.6 mg of biomass directly into a FAME tube, while a sample of the extracted crude lipid was prepared by weighing 55.0 mg of crude lipid into a 50 mL volumetric flask and transferring 1 ml to a separate FAME tube.", "The estimated crude lipid content of the biomass was determined to be 53.2% (as SUM of FAME) using GC with FID detection, while 52.0% (wt/wt) lipid was extracted from the dry biomass, giving a 97.8% recovery of total lipid.", "The crude lipid was determined to be 91.9% fatty acids (as SUM of FAME) using GC/FID.", "The major fatty acids contained in the crude lipid were C16:0 (182.5 mg/g), C20:5 n-3 (186.8 mg/g), and C22:6 n-3 (423.1 mg/g).", "The lipid class profile of the extracted crude lipid was determined by weighing 55.0 mg of crude lipid into a 50 mL volumetric flask and transferring an aliquot into an HPLC vial for HPLC/ELSD/MS analysis.", "According to the HPLC/ELSD/MS analysis, the crude lipid contained 0.2% sterol esters (SE), 95.1% TAG, 0.4% sterols, and 0.5% 1,2-diacylglycerol (DAG).", "5% of the TAG fraction included a peak that eluted directly after the TAG peak, but did not give a recognizable mass spectrum.", "Isolated TAG from this sample as determined by flash chromatography made up approximately 92.4% of the crude oil.", "PL was not detected by weight or TLC after SPE isolation.", "The major fatty acids (>50 mg/g) contained in the TAG were C16:0 (189 mg/g), C20:5 n-3 (197 mg/g), and C22:6 n-3 (441 mg/g).", "PTA-10208 Sample #2 The fatty acid profile of the biomass and extracted crude lipid for PTA-10208 Sample #2 was determined using GC/FID.", "Fatty acids in the biomass were transesterified in situ by weighing 32.0 mg of biomass directly into a FAME tube, while a sample of the extracted crude lipid was prepared by weighing 60.1 mg of crude lipid into a 50 mL volumetric flask and transferring 1 ml to a separate FAME tube.", "The estimated crude lipid content of the biomass was determined to be 52.4% (as SUM of FAME) using GC with FID detection, while 48.0% (wt/wt) lipid was extracted from the dry biomass, giving a 91.7% recovery of total lipid.", "The crude lipid was determined to be 95.3% fatty acids (as SUM of FAME) using GC/FID.", "The major fatty acids contained in the crude lipid were C16:0 (217.5 mg/g), C20:5 n-3 (169.3 mg/g), and C22:6 n-3 (444.1 mg/g).", "The lipid class profile of the extracted crude lipid was determined by weighing 60.1 mg of crude lipid into a 50 mL volumetric flask and transferring an aliquot into an HPLC vial for HPLC/ELSD/MS analysis.", "According to the HPLC/ELSD/MS analysis, the crude lipid contained 0.2% SE, 95.7% TAG, 0.3% sterols, and 0.7% 1,2-DAG.", "5.1% of the TAG fraction included a peak that eluted directly after the TAG peak, but did not give a recognizable mass spectrum.", "Isolated TAG from this sample made up approximately 93.9% of the crude oil.", "PL was not detected by weight or TLC after SPE isolation.", "The major fatty acids (>50 mg/g) contained in the TAG were C16:0 (218 mg/g), C20:5 n-3 (167 mg/g) and C22:6 n-3 (430 mg/g).", "PTA-10208 Sample #3 A sample of crude oil from the microorganism deposited under ATCC Accession No.", "PTA-10208 (Sample PTA-10208 #3) was analyzed using HPLC/ELSD/MS.", "A total of 98.38% of lipids were recovered, with the sterol ester (SE) fraction accounting for 0.32%, the TAG fraction accounting for 96.13%, the 1,3-diacylglycerol (DAG) fraction accounting for 0.22%, the 1,2-DAG fraction accounting for 0.78%, and the sterol fraction accounting for 0.93%.", "PTA-10212 Sample #1 The fatty acid profile of the biomass and extracted crude lipid for PTA-10212 Sample #1 was determined using GC/FID.", "Fatty acids in the biomass were transesterified in situ by weighing 27.0 mg of biomass directly into a FAME tube, while a sample of the extracted crude lipid was prepared by weighing 52.5 mg of crude lipid into a 50 mL volumetric flask and transferring 1 ml to a separate FAME tube.", "The estimated crude lipid content of the biomass was determined to be 38.3% (as SUM of FAME) using GC with FID detection, while 36.3% (wt/wt) lipid was extracted from the dry biomass, giving a 94.6% recovery of total lipid.", "The crude lipid was determined to be 83.2% fatty acids (as SUM of FAME) using GC/FID.", "The major fatty acids contained in the crude lipid were C16:0 (328.5 mg/g), C20:5 n-3 (90.08 mg/g), and C22:6 n-3 (289.3 mg/g).", "The lipid class profile of the extracted crude lipid was determined by weighing 52.5 mg of crude lipid into a 50 mL volumetric flask and transferring an aliquot into an HPLC vial for HPLC/ELSD/MS analysis.", "According to the HPLC/ELSD/MS analysis, the crude lipid contained 0.2% SE, 64.2% TAG, 1.9% FFA, 2.8% 1,3-DAG, 1.4% sterols, 18.8% 1,2-DAG, and 0.5% MAG.", "3.4% of the TAG fraction included a peak that eluted directly after the TAG peak, but did not give a recognizable mass spectrum.", "Isolated TAG from this sample made up approximately 49.8% of the crude oil.", "Isolated PL made up approximately 8.1% of the crude oil.", "The major fatty acids (>50 mg/g) contained in the TAG fraction are C16:0 (400 mg/g), C20:5 n-3 (91 mg/g), and C22:6 n-3 (273 mg/g).", "The major fatty acids (>50 mg/g) contained in the PL fraction are C16:0 (98 mg/g), C20:5 n-3 (33 mg/g), and C22:6 n-3 (227 mg/g).", "PTA-10212 Sample #2 The fatty acid profile of the biomass and extracted crude lipid PTA-10212 Sample #2 was determined using GC/FID.", "Fatty acids in the biomass were transesterified in situ by weighing 29.5 mg of biomass directly into a FAME tube, while a sample of the extracted crude lipid was prepared by weighing 56.5 mg of crude lipid into a 50 mL volumetric flask and transferring 1 ml to a separate FAME tube.", "The estimated crude lipid content of the biomass was determined to be 40.0% (as SUM of FAME) using GC with FID detection, while 41.3% (wt/wt) lipid was extracted from the dry biomass, giving a 106.1% recovery of total lipid.", "The crude lipid was determined to be 82.8% fatty acids (as SUM of FAME) using GC/FID.", "The major fatty acids contained in the crude lipid were C16:0 (327.3 mg/g), C20:5 n-3 (92.5 mg/g), and C22:6 11-3 (277.6 mg/g).", "The lipid class profile of the extracted crude lipid was determined by weighing 56.5 mg of crude lipid into a 50 mL volumetric flask and transferring an aliquot into an HPLC vial for HPLC/ELSD/MS analysis.", "According to the HPLC/ELSD/MS analysis, the crude lipid contained 0.2% SE, 58.2% TAG, 2.3% FFA, 3.4% 1,3-DAG, 1.7% sterols, 23.4% 1,2-DAG, and 0.6% MAG.", "3.3% of the TAG fraction included a peak that eluted directly after the TAG peak, but did not give a recognizable mass spectrum.", "Isolated TAG from this sample made up approximately 51.9% of the crude oil.", "Isolated PL made up approximately 8.8% of the crude oil.", "The major fatty acids (>50 mg/g) contained in the TAG fraction are C16:0 (402 mg/g), C20:5 n-3 (92 mg/g), and C22:6 n-3 (245 mg/g).", "The major fatty acids (>50 mg/g) contained in the PL fraction are C16:0 (121 mg/g), C20:5 n-3 (48 mg/g), and C22:6 n-3 (246 mg/g).", "TABLE 32 Fatty Acid Profiles of PTA-10208 and PTA-10212 Biomasses and Extracted Crude Lipids (mg/g) PTA- PTA- PTA- PTA- PTA- 10208 PTA- 10208 PTA- 10212 PTA- 10212 10208 Sample 10208 Sample 10212 Sample 10212 Sample Sample #1 Sample #2 Sample #1 Sample #2 #1 Crude #2 Crude #1 Crude #2 Crude Biomass Lipid Biomass Lipid Biomass Lipid Biomass Lipid Fatty FAME FAME FAME FAME FAME FAME FAME FAME Acid (mg/g) mg/g) (mg/g) (mg/g) (mg/g (mg/g (mg/g (mg/g) C12:0 1.47 2.43 1.80 3.14 0.99 1.90 0.87 1.91 C14:0 11.62 20.12 16.72 31.03 5.51 12.91 5.97 13.69 C14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 C15:0 2.43 3.75 3.60 6.22 9.13 20.42 9.39 20.81 C16:0 105.04 182.47 117.72 217.49 145.87 328.45 147.87 327.27 C16:1 0.00 0.00 0.06 0.01 6.26 14.53 7.46 16.89 C18:0 5.37 8.96 4.77 8.37 6.77 15.39 6.77 15.15 C18:1 0.00 3.26 0.00 3.09 0.03 4.04 0.08 5.87 n-9 C18:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-7 C18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:0 1.48 1.79 1.40 1.85 1.60 3.09 1.67 3.20 C18:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 C20:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C18:4 0.91 1.61 1.10 2.00 2.28 2.56 2.21 2.64 n-3 C20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C22:0 0.10 0.00 0.08 0.00 0.30 0.12 0.35 0.24 C20:4 0.81 0.45 0.67 0.41 0.00 0.00 0.00 0.00 n-7 C20:4 7.22 12.23 6.84 12.18 1.19 2.26 1.31 2.32 n-6 C22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C20:4 0.63 0.52 0.00 0.46 0.00 0.00 0.00 0.00 n-5 C20:4 3.45 5.45 3.33 5.58 0.00 2.40 0.00 2.34 n-3 C20:3 0.09 0.00 0.11 0.00 0.00 0.00 0.00 0.00 n-3 C20:5 107.31 186.83 92.99 169.32 40.32 90.08 43.15 92.54 n-3 C22:4 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C24:0 0.60 0.00 0.52 0.00 2.81 6.83 2.74 6.53 C24:1 1.55 3.26 0.85 2.04 0.43 1.34 0.42 1.24 n-9 C22:5 9.66 15.84 10.27 17.98 2.42 4.68 2.32 4.21 n-6 C22.5 20.44 35.13 9.92 17.50 2.41 4.94 2.69 5.23 n-3 C22:6 246.98 423.10 245.96 444.08 139.58 289.34 137.35 277.57 n-3 Sum 527.15 907.18 518.71 942.75 367.89 805.29 372.63 799.68 of FAME TABLE 33 Fatty Acid Profiles of PTA-10208 and PTA-10212 Biomasses and Extracted Crude Lipids (%) PTA- PTA- PTA- PTA- PTA- 10208 PTA- 10208 PTA- 10212 PTA- 10212 10208 Sample 10208 Sample 10212 Sample 10212 Sample Sample #1 Sample #2 Sample #1 Sample #2 #1 Crude #2 Crude #1 Crude #2 Crude Biomass Lipid Biomass Lipid Biomass Lipid Biomass Lipid Fatty % % % % % % % % Acid FAME FAME FAME FAME FAME FAME FAME FAME C12:0 0.28 0.27 0.35 0.33 0.27 0.24 0.23 0.24 C14:0 2.20 2.22 3.22 3.29 1.50 1.60 1.60 1.71 C14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 C15:0 0.46 0.41 0.69 0.66 2.48 2.54 2.52 2.60 C16:0 19.93 20.11 22.70 23.07 39.65 40.79 39.68 40.93 C16:1 0.00 0.00 0.01 0.00 1.70 1.80 2.00 2.11 C18:0 1.02 0.99 0.92 0.89 1.84 1.91 1.82 1.89 C18:1 0.00 0.36 0.00 0.33 0.01 0.50 0.02 0.73 n-9 C18:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-7 C18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:0 0.28 0.20 0.27 0.20 0.43 0.38 0.45 0.40 C18:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 C20:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C18:4 0.17 0.18 0.21 0.21 0.62 0.32 0.59 0.33 n-3 C20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C22:0 0.02 0.00 0.01 0.00 0.08 0.02 0.09 0.03 C20:4 0.15 0.05 0.13 0.04 0.00 0.00 0.00 0.00 n-7 C204 1.37 1.35 1.32 1.29 0.32 0.28 0.35 0.29 n-6 C22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C20:4 0.12 0.06 0.00 0.05 0.00 0.00 0.00 0.00 n-5 C20:4 0.65 0.60 0.64 0.59 0.00 0.30 0.00 0.29 n-3 C20:3 0.02 0.00 0.02 0.00 0.00 0.00 0.00 0.00 n-3 C20:5 20.36 20.59 17.93 17.96 10.96 11.19 11.58 11.57 n-3 C22:4 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C24:0 0.11 0.00 0.10 0.00 0.76 0.85 0.74 0.82 C24:1 0.29 0.36 0.16 0.22 0.12 0.17 0.11 0.16 n-9 C22:5 1.83 1.75 1.98 1.91 0.66 0.58 0.62 0.53 n-6 C22:5 3.88 3.87 1.91 1.86 0.65 0.61 0.72 0.65 n-3 C22:6 46.85 46.64 47.42 47.10 37.94 35.93 36.86 34.71 n-3 Sum 100 100 100 100 100 100 100 100 of FAME % TABLE 34 Fatty Acid Profiles of PTA-10208 and PTA-10212 Isolated TAG PTA- PTA- PTA- PTA- PTA- PTA- PTA- PTA- 10208 10208 10208 10208 10212 10212 10212 10212 Sample Sample Sample Sample Sample Sample Sample Sample #1 #1 #2 #2 #1 #1 #2 #2 Fatty FAME % FAME % FAME % FAME % Acid (mg/g) FAME (mg/g) FAME (mg/g) FAME (mg/g) FAME C12:0 2.57 0.27 3.35 0.36 0,00 0.00 0.00 0.00 C14:0 21.07 2.23 31.37 3.41 14.05 1,61 14.45 1.69 C14:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 C15:0 3.89 0.41 6.17 0.67 23.27 2.66 23.14 2.71 C16:0 189.28 20.07 218.78 23.75 399.51 45.75 402.43 47.07 C16:1 0.00 0.00 0.00 0.00 15.23 1.74 17.62 2.06 C18:0 9.21 0.98 8.07 0.88 22.70 2.60 23.10 2.70 C18:1 3.35 0.36 3.64 0.40 6.12 0.70 7.48 0.87 n-9 C18:1 0.00 0.00 0.00 0.00 <0.1 <0.1 <0.1 <0.1 n-7 C18:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:0 1.86 0.20 1.55 0.17 4.76 0.55 5.32 0.62 C18:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 C20:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C18:4 1.64 0.17 2.00 0.22 2.25 0.26 2.24 0.26 n-3 C20:2 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-6 C22:0 0.00 0.00 0.00 0.00 0.55 0.06 0.89 0.10 Un- 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 known C20:4 0.39 0.04 0.05 0.01 0.00 0.00 0.00 0.00 n-7 C20:3 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-3 C20:4 12.79 1.36 11.82 1.28 2.33 0.27 2.25 0.26 n-6 C22:1 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C20:4 0.39 0.04 0.07 0.01 0.00 0.00 0.00 0.00 n-5 C20:4 5.52 0.59 5.09 0.55 2.87 0.33 2.98 0.35 n-3 C20:5 197.14 20.90 166.68 18.10 91.17 10.44 91.78 10.74 n-3 C24:0 0.00 0.00 0.00 0.00 6.93 0.79 7.36 0.86 C22:4 0.00 0.00 0.00 0.00 0.00 0.00 0.00 0.00 n-9 C24:1 1.08 0.11 <0.1 <0.1 0.00 0.00 0.00 0.00 n-9 C22:5 15.88 1.68 16.57 1.80 4.01 0.46 3.39 0.40 n-6 C22:5 36.05 3.82 16.00 1.74 4.53 0.52 5.07 0.59 n-3 C22:6 440.99 46.76 429.83 46.67 273.02 31.26 245.38 28.70 n-3 Sum 943.11 — 921.03 — 873.31 — 854.89 — of FAME Total — 100.00 — 100.00 — 100.00 — 100.00 % FAME TABLE 35 Fatty Acid Profiles of PTA-10212 Isolated PL PTA-10212 PTA-10212 PTA-10212 PTA-10212 Sample # 1 Sample #1 Sample #2 Sample #2 Fatty Acid FAME (mg/g) % FAME FAME (mg/g) % FAME C12:0 0.00 0.00 0.00 0.00 C14:0 0.93 0.22 1.89 0.39 C14:1 0.00 0.00 0.00 0.00 C15:0 3.44 0.82 5.07 1.05 C16:0 98.29 23.50 120.98 25.00 C16:1 1.15 0.27 3.07 0.63 C18:0 3.25 0.78 3.72 0.77 C18:1 n-9 1.12 0.27 0.95 0.20 C18:1 n-7 <0.1 <0.1 0.02 0.003 C18:2 n-6 0.00 0.00 0.00 0.00 C20:0 <0.1 <0.1 <0.1 <0.1 C18:3 n-3 0.00 0.00 0.00 0.00 C20:1 n-9 0.00 0.00 0.00 0.00 C18:4 n-3 3.71 0.89 3.24 0.67 C20:2 n-6 0.00 0.00 0.00 0.00 C20:3 n-6 0.00 0.00 0.00 0.00 C22:0 0.00 0.00 0.00 0.00 Unknown 42.33 10.12 44.71 9.24 C20:4 n-7 0.00 0.00 0.00 0.00 C20:3 n-3 0.00 0.00 0.00 0.00 C20:4 n-6 0.84 0.20 1.54 0.32 C22:1 n-9 0.00 0.00 0.00 0.00 C20:4 n-5 0.00 0.00 0.00 0.00 C20:4 n-3 <0.1 <0.1 0.27 0.06 C20:5 n-3 33.39 7.98 47.91 9.90 C24:0 0.1 <0.1 0.01 0.001 C22:4 n-9 0.00 0.00 0.00 0.00 C24:1 n-9 0.00 0.00 0.00 0.00 C22:5 n-6 3.08 0.74 3.82 0.79 C22:5 n-3 <0.1 <0.1 0.66 0.14 C22:6 n-3 226.68 54.20 246.09 50.85 Sum of 418.21 — 483.94 — FAME Total % — 100 — 100 FAME PTA-10212 Sample #3 The lipid content of the biomass for PTA-10212 Sample #3 was estimated to be 34% as the sum of FAME, and the amount of crude oil obtained after solvent extraction was 37% by weight, giving a 109% recovery of fat present in the biomass.", "After fractionation using flash chromatography, approximately 46% of the crude oil was isolated as TAG, 28% was isolated as DAG.", "The crude oil contained 309 mg/g DHA and 264 mg/g EPA.", "The isolated TAG contained 341 mg/g DHA and 274 mg/g EPA.", "The isolated DAG fraction contained 262 mg/g DHA and 237 mg/g EPA.", "The total fatty acid profiles of the biomass, extracted crude oil, and isolated fractions are shown below in Table 36 and Table 37 calculated as mg/g and % FAME, respectively.", "TABLE 36 Fatty Acid Profiles of PTA-10212 Sample #3 Biomass and Extracted Crude Lipid (mg/g) Crude Biomass Oil TAG DAG Wt.", "% NA 37.2% 46.0% 27.9% FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) C12:0 0.0 0.0 0.0 0.0 C14:0 3.6 10.3 11.5 9.4 C14:1 0.0 0.0 0.0 0.0 C15:0 4.1 10.6 9.8 6.6 C16:0 70.5 181.8 231.7 111.3 C16:1 6.7 19.1 18.7 17.1 C18:0 2.4 10.2 14.2 0.0 C18:1 n-9 0.0 6.7 0.0 0.0 C18:1 n-7 0.0 1.2 0.0 0.0 C18:2 n-6 0.0 1.8 0.0 0.0 C20:0 0.0 2.4 0.0 0.0 C18:3 n-3 0.0 0.0 0.0 0.0 C20:1 n-9 0.0 0.3 0.0 1.7 C18:4 n-3 1.9 3.4 3.2 4.4 C20:2 n-6 0.0 0.0 0.0 0.0 C20:3 n-6 0.0 0.0 0.0 0.0 C22:0 3.3 0.0 0.0 0.0 C20:4 n-7 0.0 2.1 1.5 0.0 C20:3 n-3 0.0 0.0 0.0 0.0 C20:4 n-6 6.8 17.9 21.4 13.8 C22:1 n-9 0.0 0.0 0.0 0.0 C20:4 n-5 0.0 1.3 1.3 0.0 C20:4 n-3 3.0 8.5 10.9 6.4 C20:5 n-3 102.0 263.6 274.2 237.4 C24:0 0.0 1.7 3.9 0.0 C22:4 n-9 0.0 0.0 0.0 0.0 C24:1 n-9 0.0 0.0 4.2 0.0 C22:5 n-6 3.2 8.3 10.7 6.1 C22:5 n-3 3.8 10.4 15.1 6.6 C22:6 n-3 131.2 309.4 341.1 261.9 Sum of FAME 342.4 871.1 973.2 682.6 TABLE 37 Fatty Acid Profiles of PTA-10212 Sample #3 Biomass and Extracted Crude Lipid (%) Crude Biomass Oil TAG DAG Wt.", "% NA 37.2% 46.0% 27.9% FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) C12:0 0.0 0.0 0.0 0.0 C14:0 1.1 1.2 1.2 1.4 C14:1 0.0 0.0 0.0 0.0 C15:0 1.2 1.2 1.0 1.0 C16:0 20.6 20.9 23.8 16.3 C16:1 2.0 2.2 1.9 2.5 C18:0 0.7 1.2 1.5 0.0 C18:1 n-9 0.0 0.8 0.0 0.0 C18:1 n-7 0.0 0.1 0.0 0.0 C18:2 n-6 0.0 0.2 0.0 0.0 C20:0 0.0 0.3 0.0 0.0 C18:3 n-3 0.0 0.0 0.0 0.0 C20:1 n-9 0.0 0.0 0.0 0.2 C18:4 n-3 0.6 0.4 0.3 0.6 C20:2 n-6 0.0 0.0 0.0 0.0 C20:3 n-6 0.0 0.0 0.0 0.0 C22:0 1.0 0.0 0.0 0.0 C20:4 n-7 0.0 0.2 0.2 0.0 C20:3 n-3 0.0 0.0 0.0 0.0 C20:4 n-6 2.0 2.1 2.2 2.0 C22:1 n-9 0.0 0.0 0.0 0.0 C20:4 n-5 0.0 0.1 0.1 0.0 C20:4 n-3 0.9 1.0 1.1 0.9 C20:5 n-3 29.8 30.3 28.2 34.8 C24:0 0.0 0.2 0.4 0.0 C22:4 n-9 0.0 0.0 0.0 0.0 C24:1 n-9 0.0 0.0 0.4 0.0 C22:5 n-6 0.9 1.0 1.1 0.9 C22:5 n-3 1.1 1.2 1.6 1.0 C22:6 n-3 38.3 35.5 35.1 38.4 Total % FAME 100.0 100.0 100.0 100.0 PTA-10212 Sample #4 PTA-10212 Sample #4 contained approximately 23% lipid determined as the sum of FAME, of which 107% was recovered using hexane extraction.", "After fractionation using flash chromatography, approximately 42% of the crude oil was isolated as TAG, 18% was isolated as DAG.", "The crude oil contained 275 mg/g DHA and 209 mg/g EPA.", "The isolated TAG contained 296 mg/g DHA and 205 mg/g EPA.", "The isolated DAG fraction contained 245 mg/g DHA and 219 mg/g EPA.", "The total fatty acid profiles of the biomass, extracted crude oil, and isolated fractions are shown below in Table 38 (mg/g) and Table 39 (% FAME).", "TABLE 38 Fatty Acid Profiles of PTA-10212 Sample #4 Biomass and Extracted Crude Lipid (mg/g) Crude Biomass Oil TAG DAG Wt.", "% NA 24.7% 42.2% 18.4% FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) C12:0 0.0 0.0 2.1 2.4 C14:0 2.0 8.3 9.8 9.6 C14:1 0.0 0.0 0.0 0.0 C15:0 4.8 16.8 0.4 0.9 C16:0 63.3 210.6 285.7 138.0 C16:1 1.6 6.7 7.4 7.5 C18:0 2.8 12.2 19.9 4.6 C18:1 n-9 0.0 3.7 0.7 1.1 C18:1 n-7 0.0 0.0 0.3 1.2 C18:2 n-6 0.0 0.0 0.0 0.0 C20:0 0.0 3.3 6.0 1.5 C18:3 n-3 0.0 0.0 0.0 0.0 C20:1 n-9 0.0 0.0 0.7 1.2 C18:4 n-3 1.4 3.8 3.6 5.0 C20:2 n-6 0.0 0.0 0.0 0.0 C20:3 n-6 0.0 0.0 0.4 0.0 C22:0 1.5 0.0 1.9 0.0 C20:4 n-7 0.0 0.0 0.9 0.6 C20:3 n-3 0.0 0.0 0.0 0.0 C20:4 n-6 2.5 10.1 13.0 10.3 C22:1 n-9 0.0 0.0 0.0 0.0 C20:4 n-5 0.0 0.0 0.8 0.7 C20:4 n-3 1.4 6.3 8.6 6.0 C20:5 n-3 57.6 209.1 205.4 219.0 C24:0 0.0 2.6 0.8 0.0 C22:4 n-9 0.1 0.0 0.0 0.0 C24:1 n-9 0.0 0.0 1.1 0.5 C22:5 n-6 1.4 6.1 7.9 4.5 C22:5 n-3 4.0 15.8 20.8 12.9 C22:6 n-3 87.7 275.0 296.4 244.8 Sum of FAME 232.2 790.1 894.8 672.4 TABLE 39 Fatty Acid Profiles of PTA-10212 Sample #4 Biomass and Extracted Crude Lipid (%) Crude Biomass Oil TAG DAG Wt.", "% NA 24.7% 42.2% 18.4% FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) C12:0 0.0 0.0 0.2 0.4 C14:0 0.9 1.0 1.1 1.4 C14:1 0.0 0.0 0.0 0.0 C15:0 2.1 2.1 0.0 0.1 C16:0 27.3 26.7 31.9 20.5 C16:1 0.7 0.8 0.8 1.1 C18:0 1.2 1.5 2.2 0.7 C18:1 n-9 0.0 0.5 0.1 0.2 C18:1 n-7 0.0 0.0 0.0 0.2 C18:2 n-6 0.0 0.0 0.0 0.0 C20:0 0.0 0.4 0.7 0.2 C18:3 n-3 0.0 0.0 0.0 0.0 C20:1 n-9 0.0 0.0 0.1 0.2 C18:4 n-3 0.6 0.5 0.4 0.7 C20:2 n-6 0.0 0.0 0.0 0.0 C20:3 n-6 0.0 0.0 0.0 0.0 C22:0 0.6 0.0 0.2 0.0 C20:4 n-7 0.0 0.0 0.1 0.1 C20:3 n-3 0.0 0.0 0.0 0.0 C20:4 n-6 1.1 1.3 1.5 1.5 C22:1 n-9 0.0 0.0 0.0 0.0 C20:4 n-5 0.0 0.0 0.1 0.1 C20:4 n-3 0.6 0.8 1.0 0.9 C20:5 n-3 24.8 26.5 23.0 32.6 C24:0 0.0 0.3 0.1 0.0 C22:4 n-9 0.0 0.0 0.0 0.0 C24:1 n-9 0.0 0.0 0.1 0.1 C22:5 n-6 0.6 0.8 0.9 0.7 C22:5 n-3 1.7 2.0 2.3 1.9 C22:6 n-3 37.8 34.8 33.1 36.4 Total % FAME 100.0 100.0 100.0 100.0 PTA-10212 Sample #5 A sample of crude oil was extracted from a biomass of PTA-10212 using the FRIOLEX® process (GEA Westfalia Separator UK Ltd., Milton Keynes, England) to yield microbial oil PTA-10212 Sample #5.Individual lipid classes were isolated from PTA-10212 Sample #5 using low pressure flash chromatography, and the weight percent of each class was determined.", "The fatty acid profile of each class was determined using GC-FID.", "Briefly, the sample was prepared by dissolving 240 mg of crude oil in 600 μL of hexane and applying to the head of the column.", "After fractionation of the sample using flash chromatography, the combined weights of all the fractions was 240 mg giving a 100% recovery.", "The sterol ester fraction accounted for 0.9%, the TAG fraction accounted for 42.6%, the free fatty acid (FFA) fraction accounted for 1.3%, the sterol fraction accounted for 2.2%, and the DAG fraction accounted for 41.6%.", "The total fatty acid profiles of the FRIOLEX® crude oil and isolated fractions are shown below in Table 40 and Table 41 calculated as mg/g and % FAME, respectively.", "TABLE 40 Fatty Acid Profiles of PTA-10212 Sample #5 Crude Oil (mg/g) Crude Oil TAG DAG Wt.", "% NA 42.6% 41.6% FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) C12:0 0 0.7 1.0 C14:0 7.7 7.7 8.5 C14:1 0 0.0 0.0 C15:0 10.3 11.7 9.3 C16:0 179.3 217.7 134.6 C16:1 18.1 16.3 25.9 C18:0 8.1 13.2 2.3 C18:1 n-9 4.7 8.4 0.7 C18:1 n-7 0 1.8 1.0 C18:2 n-6 1.8 3.3 0.7 C20:0 1.9 3.6 0.2 C18:3 n-3 0 0.0 0.0 C20:1 n-9 0 0.7 1.0 C18:4 n-3 3.1 2.8 3.8 C20:2 n-6 0 0.0 0.0 C20:3 n-6 0 0.6 0.4 C22:0 0 1.5 0.0 C20:4 n-7 0 1.0 0.7 C20:3 n-3 0 0.0 0.0 C20:4 n-6 12.7 16.1 13.6 C22:1 n-9 0 0.0 0.0 C20:4 n-5 0 1.5 0.8 C20:4 n-3 6.5 9.3 6.4 C20:5 n-3 213.3 223.7 252.8 C24:0 2.3 4.4 0.6 C22:4 n-9 0 1.9 0.9 C24:1 n-9 0 0.0 0.0 C22:5 n-6 7.9 9.5 8.3 C22:5 n-3 13 20.6 9.7 C22:6 n-3 305.6 327.4 353.8 Sum of FAME 796.6 905.3 837.4 TABLE 41 Fatty Acid Profiles of PTA-10212 Sample #5 Crude Oil (%) Crude Oil TAG DAG Fatty Acid % FAME % FAME % FAME C12:0 0 0.1 0.1 C14:0 1 0.9 1.0 C14:1 0 0.0 0.0 C15:0 1.3 1.3 1.1 C16:0 22.5 24.0 16.1 C16:1 2.3 1.8 3.1 C18:0 1 1.5 0.3 C18:1 n-9 0.6 0.9 0.1 C18:1 n-7 0 0.2 0.1 C18:2 n-6 0.2 0.4 0.1 C20:0 0.2 0.4 0.0 C18:3 n-3 0 0.0 0.0 C20:1 n-9 0 0.1 0.1 C18:4 n-3 0.4 0.3 0.5 C20:2 n-6 0 0.0 0.0 C20:3 n-6 0 0.1 0.0 C22:0 0 0.2 0.0 C20:4 n-7 0 0.1 0.1 C20:3 n-3 0 0.0 0.0 C20:4 n-6 1.6 1.8 1.6 C22:1 n-9 0 0.0 0.0 C20:4 n-5 0 0.2 0.1 C20:4 n-3 0.8 1.0 0.8 C20:5 n-3 26.8 24.7 30.2 C24:0 0.3 0.5 0.1 C22:4 n-9 0 0.2 0.1 C24:1 n-9 0 0.0 0.0 C22:5 n-6 1 1.1 1.0 C22:5 n-3 1.6 2.3 1.2 C22:6 n-3 38.4 36.2 42.3 Total % FAME 100 100 100 Example 37 Crude oils were further processed via refining, bleaching, and deodorizing to obtain refined oils.", "The refined oils were diluted with high oleic sunflower oil to obtain final oils with a DHA content of approximately 400 mg/g.", "Individual lipid classes were isolated and the fatty acid profiles of each class were determined using GC-FID as FAME.", "PTA-10208 Final Oils The fatty acid profiles for PTA-10208 Final Oils #1-5 are summarized in Tables 42-43, including profiles associated within the isolated TAG fraction (Tables 44-45) and the isolated sterols/DAG fraction (Tables 46-47).", "Individual lipid classes in the final oils were also determined using flash chromatography (Table 48) and normal HPLC with ELSD and APCI-MS confirmation (Table 49).", "TABLE 42 Fatty Acid Profiles of PTA-10208 Final Oils (mg/g) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 FAME FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0 2.5 2.4 2.8 2.7 2.7 C14:0 16.1 14.9 21.0 18.4 17.5 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 3.8 3.6 4.4 3.9 3.9 C16:0 192.1 179.1 193.1 184.3 194.6 C16:1 0.4 0.5 0.5 0.5 0.5 C17:0 0.6 0.5 0.9 0.8 2.1 C18:0 12.8 13.9 11.5 12.3 12.9 C18:1 n-9 23.5 82.0 25.7 26.0 29.5 C18:1 n-7 0.2 0.7 0.1 0.1 0.1 C18:2 n-6 3.7 8.1 4.0 4.1 4.3 C20:0 4.3 4.1 3.7 4.0 4.0 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 0.1 <0.1 <0.1 <0.1 C18:4 n-3 2.4 2.5 2.8 2.7 2.8 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 0.2 0.1 0.1 0.1 0.1 C22:0 1.2 1.8 1.0 1.1 1.1 C20:4 n-7 1.7 1.6 1.7 1.8 1.6 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 12.9 12.1 13.5 13.5 13.3 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 n-5 1.6 1.4 1.5 1.7 1.5 C20:4 n-3 6.0 5.7 6.0 6.0 6.1 C20:5 n-3 173.8 163.3 196.4 209.6 197.9 C24:0 1.4 1.6 1.3 1.3 1.0 C22:4 \\n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 3.4 3.2 2.3 2.6 2.3 C22:5 n-6 14.9 14.0 14.4 13.0 12.9 C22:5 n-3 43.9 41.3 32.8 40.3 36.9 C22:6 n-3 394.8 373.7 373.2 374.3 364.2 Sum of FAME 918.1 932.2 914.7 925.1 914.1 TABLE 43 Fatty Acid Profiles of PTA-10208 Final Oils (%) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 Fatty Acid % FAME % FAME % FAME % FAME % FAME C12:0 0.3 0.3 0.3 0.3 0.3 C14:0 1.8 1.6 2.3 2.0 1.9 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 0.4 0.4 0.5 0.4 0.4 C16:0 20.9 19.2 21.1 19.9 21.3 C16:1 <0.1 <0.1 <0.1 <0.1 0.1 C17:0 0.1 0.1 0.1 0.1 0.2 C18:0 1.4 1.5 1.3 1.3 1.4 C18:1 n-9 2.6 8.8 2.8 2.8 3.2 C18:1 n-7 <0.1 0.1 <0.1 <0.1 <0.1 C18:2 n-6 0.4 0.9 0.4 0.4 0.5 C20:0 0.5 0.4 0.4 0.4 0.4 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 <0.1 <0.1 <0.1 <0.1 C18:4 n-3 0.3 0.3 0.3 0.3 0.3 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 <0.1 <0.1 <0.1 <0.1 <0.1 C22:0 0.1 0.2 0.1 0.1 0.1 C20:4 n-7 0.2 0.2 0.2 0.2 0.2 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 1.4 1.3 1.5 1.5 1.5 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 \\n-5 0.2 0.2 0.2 0.2 0.2 C20:4 \\n-3 0.7 0.6 0.7 0.7 0.7 C20:5 n-3 18.9 17.5 21.5 22.7 21.6 C24:0 0.1 0.2 0.1 0.1 0.1 C22:4 n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 0.4 0.3 0.2 0.3 0.2 C22:5 n-6 1.6 1.5 1.6 1.4 1.4 C22:5 n-3 4.8 4.4 3.6 4.4 4.0 C22:6 n-3 43.0 40.1 40.8 40.5 39.9 TABLE 44 Isolated TAG Fatty Acid Profiles: PTA-10208 Final Oils (mg/g) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 FAME FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0 2.5 2.3 2.7 2.5 2.6 C14:0 16.3 15.1 21.3 18.6 18.1 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 3.9 3.6 4.4 4.0 4.0 C16:0 194.2 181.9 196.1 186.1 199.8 C16:1 0.4 0.4 0.6 0.5 0.7 C17:0 0.6 0.5 0.9 0.8 0.8 C18:0 12.9 14.2 11.7 12.5 13.2 C18:1 n-9 24.3 84.0 26.8 26.1 34.0 C18:1 n-7 0.1 0.7 0.1 0.1 0.3 C18:2 n-6 3.2 7.7 3.4 3.5 4.0 C20:0 4.4 4.2 3.8 4.0 4.2 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 0.2 <0.1 <0.1 0.1 C18:4 n-3 2.5 2.4 2.8 2.6 2.7 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 0.2 0.2 0.1 0.1 0.1 C22:0 1.2 1.9 1.0 1.1 1.1 C20:4 n-7 1.7 1.6 1.8 1.8 1.7 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 13.2 12.3 13.8 13.7 13.8 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 n-5 1.6 1.5 1.6 1.7 1.5 C20:4 n-3 6.1 5.7 6.1 5.9 6.2 C20:5 n-3 176.0 166.1 199.0 211.2 204.2 C24:0 1.2 1.3 1.0 1.1 1.2 C22:4 n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 3.3 3.2 2.2 2.5 2.4 C22:5 n-6 15.0 14.2 14.7 13.2 13.5 C22:5 n-3 44.4 42.0 33.3 40.5 38.3 C22:6 n-3 397.9 378.4 376.4 375.5 375.5 Sum of FAME 926.9 945.7 925.5 929.6 944.1 TABLE 45 Isolated TAG Fatty Acid Profiles: PTA-10208 Final Oils (%) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 Fatty Acid % FAME % FAME % FAME % FAME % FAME C12:0 0.3 0.2 0.3 0.3 0.3 C14:0 1.8 1.6 0.3 0.3 0.3 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 0.4 0.4 0.5 0.4 0.4 C16:0 20.9 19.2 21.2 20.0 21.2 C16:1 <0.1 <0.1 0.1 0.1 0.1 C17:0 0.1 0.1 0.1 0.1 0.1 C18:0 1.4 1.5 1.3 1.3 1.4 C18:1 n-9 2.6 8.9 2.9 2.8 3.6 C18:1 n-7 <0.1 0.1 <0.1 <0.1 <0.1 C18:2 n-6 0.3 0.8 0.4 0.4 0.4 C20:0 0.5 0.4 0.4 0.4 0.4 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 <0.1 <0.1 <0.1 <0.1 C18:4 n-3 0.3 0.3 0.3 0.3 0.3 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 <0.1 <0.1 <0.1 <0.1 <0.1 C22:0 0.1 0.2 0.1 0.1 0.1 C20:4 n-7 0.2 0.2 0.2 0.2 0.2 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 1.4 1.3 1.5 1.5 1.5 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 n-5 0.2 0.2 0.2 0.2 0.2 C20:4 n-3 0.7 0.6 0.7 0.6 0.7 C20:5 n-3 19.0 17.6 21.5 22.7 21.6 C24:0 0.1 0.1 0.1 0.1 0.1 C22:4 n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 0.4 0.3 0.2 0.3 0.3 C22:5 n-6 1.6 1.5 1.6 1.4 1.4 C22:5 n-3 4.8 4.4 3.6 4.4 4.1 C22:6 n-3 42.9 40.0 40.7 40.4 39.8 TABLE 46 Isolated Sterols/DAG Fatty Acid Profiles: PTA-10208 Final Oils (mg/g) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 FAME FAME FAME FAME FAME Fatty Acid (mg/g) (mg/g) (mg/g) (mg/g) (mg/g) C12:0 1.9 2.1 2.9 2.1 1.9 C14:0 9.9 9.5 9.7 10.3 8.0 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 2.4 2.3 2.2 2.3 2.0 C16:0 132.6 128.6 110.1 116.8 106.4 C16:1 0.2 0.3 <0.1 0.3 0.4 C17:0 0.3 0.2 0.3 0.3 0.3 C18:0 7.3 8.1 6.4 6.8 6.1 C18:1 n-9 15.0 55.1 47.4 19.0 30.1 C18:1 n-7 0.4 0.7 0.1 <0.1 0.2 C18:2 n-6 13.1 16.7 21.6 13.5 18.4 C20:0 2.0 2.1 1.2 1.8 1.4 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 <0.1 <0.1 <0.1 <0.1 C18:4 n-3 2.3 2.4 2.4 2.4 2.0 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 <0.1 <0.1 <0.1 <0.1 <0.1 C22:0 0.6 1.0 0.5 0.6 0.5 C20:4 n-7 0.8 0.9 2.1 0.9 0.7 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 5.7 5.8 4.8 6.1 4.5 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 n-5 <0.1 <0.1 <0.1 0.6 <0.1 C20:4 n-3 2.7 2.7 2.1 2.7 2.0 C20:5 n-3 92.9 94.5 91.9 111.6 84.8 C24:0 1.2 1.3 1.1 1.1 1.3 C22:4 n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 1.9 2.0 1.2 1.5 1.2 C22:5 n-6 7.8 8.0 6.7 7.0 5.5 C22:5 n-3 22.2 22.9 13.9 20.7 14.2 C22:6 n-3 246.3 252.7 223.5 240.3 196.3 Sum of FAME 569.3 619.8 552.1 568.7 488.2 TABLE 47 Isolated Sterols/DAG Fatty Acid Profiles: PTA-10208 Final Oils (%) PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 Fatty Acid % FAME % FAME % FAME % FAME % FAME C12:0 0.3 0.3 0.5 0.4 0.4 C14:0 1.7 1.5 1.8 1.8 1.6 C14:1 0.0 0.0 0.0 0.0 0.0 C15:0 0.4 0.4 0.4 0.4 0.4 C16:0 23.3 20.8 19.9 20.5 21.8 C16:1 <0.1 <0.1 <0.1 <0.1 0.1 C17:0 0.0 0.0 0.1 0.1 0.1 C18:0 1.3 1.3 1.2 1.2 1.2 C18:1 n-9 2.6 8.9 8.6 3.3 6.2 C18:1 n-7 0.1 0.1 <0.1 <0.1 <0.1 C18:2 n-6 2.3 2.7 3.9 2.4 3.8 C20:0 0.4 0.3 0.2 0.3 0.3 C18:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:1 n-9 <0.1 <0.1 <0.1 <0.1 <0.1 C18:4 n-3 0.4 0.4 0.4 0.4 0.4 C20:2 n-6 0.0 0.0 0.0 0.0 0.0 C20:3 n-6 <0.1 <0.1 <0.1 <0.1 <0.1 C22:0 0.1 0.2 0.1 0.1 0.1 C20:4 n-7 0.1 0.1 0.4 0.2 0.1 C20:3 n-3 0.0 0.0 0.0 0.0 0.0 C20:4 n-6 1.0 0.9 0.9 1.1 0.9 C22:1 n-9 0.0 0.0 0.0 0.0 0.0 C20:4 n-5 <0.1 <0.1 <0.1 0.1 <0.1 C20:4 n-3 0.5 0.4 0.4 0.5 0.4 C20:5 n-3 16.3 15.2 16.6 19.6 17.4 C24:0 0.2 0.2 0.2 0.2 0.3 C22:4 n-9 0.0 0.0 0.0 0.0 0.0 C24:1 n-9 0.3 0.3 0.2 0.3 0.2 C22:5 n-6 1.4 1.3 1.2 1.2 1.1 C22:5 n-3 3.9 3.7 2.5 3.6 2.9 C22:6 n-3 43.3 40.8 40.5 42.3 40.2 TABLE 48 Lipid class separation by flash chromatography (wt %) Lipid Class PTA-10208 PTA-10208 PTA-10208 PTA-10208 PTA-10208 Separation Final Oil #1 Final Oil #2 Final Oil #3 Final Oil #4 Final Oil #5 TAG 93.4 95.4 94.0 95.7 95.1 Sterols/DAG 3.1 2.9 2.6 3.0 2.9 Recovery (%) 96.5 98.3 96.6 98.7 98.0 TABLE 49 Lipid class separation by HPLC-ELSD (wt %) Sterol 1,3- 1,2- Esters TAG FFA Sterols DAG DAG MAG Total PTA-10208 0.4 90.8 ND 0.8 0.5 0.5 N.D. 93.0 Final Oil #1 PTA-10208 0.4 88.5 ND 0.6 0.6 0.6 N.D. 90.7 Final Oil #2 PTA-10208 0.3 89.4 ND 0.8 0.6 0.5 N.D. 91.6 Final Oil 43 PTA-10208 0.3 88.0 ND 0.8 0.5 0.5 N.D. 90.1 Final Oil #4 PTA-10208 0.3 86.3 ND 0.7 0.8 0.5 N.D. 88.6 Final Oil #5 PTA-10208 0.36 100.76 ND 0.84 0.54 0.61 N.D. 103.11 Final Oil #16 ND = Not Detected PTA-10212 Final Oil DHA was present in a PTA-10212 Final Oil at 41.63% and 366.9 mg/g, while EPA was present at 16.52%.", "Individual fatty acid profiles were determined and are summarized in Table 50.TABLE 50 Fatty Acid Profiles of PTA-10212 Final Oil (% FAME) Fatty Acid % FAME C6:0 ND C7:0 ND C8:0 ND C9:0 ND C10:0 ND C11:0 ND C12:0 ND C13:0 ND C14:0 0.84 C14:1 ND C15:0 1.33 C16:0 27.09 C16:1 1.03 C17:0 0.34 C17:1 ND C18:0 1.26 C18:1 n-9 2.14 C18:1 n-7 0.18 C19:0 ND C18:2 n-6 0.58 C20:0 0.32 C18:3 n-3 ND C20:1 n-9 ND C18:3 n-6 ND C20:2 n-6 0.26 C20:3 n-6 ND C22:0 0.14 C20:3 n-3 ND C20:4 n-6 1.34 C22:1 n-9 ND C23:0 ND C20:5 n-3 16.53 C24:0 0.53 C24:1 n-9 ND C22:5 n-6 1.50 C22:5 n-3 1.30 C22:6 n-3 41.63 Unknown 0.87 ND = Not Detected Example 38 A two-day old inoculum flask of the isolated microorganisms deposited under ATCC Accession Nos.", "PTA-10208 and 10212 was prepared as a carbon and nitrogen-fed culture in media according to Tables 30 and 31.Mutagenesis was carried out according to following procedure: A sterile T=2 day old flask, approximately 50 ml, was poured into a sterile 40 ml glass homogenizer.", "The culture received 50 plunges in the homogenizer.", "The culture was pipetted out and filtered through a sterile 50 micron mesh filter, which was placed in a 50 ml sterile tube (the mesh was used as a means of retaining the larger clumps of colonies while letting the smaller clusters and single cells pass through the 50 micron mesh.).", "The entire concentrated macerate was collected in a sterile 50 ml tube.", "The macerated culture was vortexed and dilutions at levels up to 1:100 fold were made.", "The diluted macerate samples were vortexed prior to adding 200 μl of inoculum to a media agar plate, 100×15 mm, containing 4-5 glass beads (3 mm glass beads).", "Each plate was gently agitated in an effort to have the beads spread the inoculum evenly around the plate.", "Beads were dumped off of plates and plates were left to sit with covers on for approximately 5 minutes to dry.", "Lights in both the sterile hood and adjoining areas were turned off as the procedure was performed in dim light.", "There was minimal light available to be able to run the procedure but only indirect and dim.", "Five replicate plates were placed on the floor of the XL crosslinker (Spectronics Corporation, New York) with the lids off while the samples were irradiated.", "The crosslinker delivered power in terms of microjoules and a level was sought that achieved a 90%-95% Kill.", "Five replicate control plates were inoculated with un-mutagenized cells using the same protocol.", "These cell counts were used to calculate the % Kill.", "Once the irradiation was finished the plates were taken out, the lids were replaced, and the plates were wrapped in parafilm followed by a wrap in aluminum foil.", "It was imperative that the plates grew for the first week in the dark so that they were not able to repair the damaged genes.", "Plates were placed in a 22.5° C. room for about 10 days prior to counting the colonies.", "When final counts were made, individual colonies were picked with a sterile inoculating loop and re-streaked on new media plates.", "Each colony was plated on an individual plate.", "As plates grew dense a sample was taken, using a inoculating loop, and inoculated into a sterile 250 ml shake flask containing 50 ml of media.", "This flask was placed on a shaker at 200 rpm in a 22.5° C. room.", "On T=7 days the shake flask culture was harvested into a 50 ml sterile tube.", "The pH was taken and the sample was centrifuged to collect the biomass pellet.", "Each sample was rinsed and re-suspended in a 50:50 mixture of isopropyl alcohol and distilled water prior to being re-centrifuged.", "The collected pellet was freeze dried, weighed, and a FAME analysis was performed.", "The data in Tables 51 and 52 represents mutants produced with the above process from strains PTA-10208 and PTA-10212, respectively.", "TABLE 51 PTA-10208 Mutants control Mutant 1 Mutant 2 Mutant 3 Fatty Acids PTA-10208 PTA-10209 PTA-10210 PTA-10211 % 08:0 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 % 12:0 0.11 0.10 0.22 0.19 % 12:1 0.00 0.00 0.00 0.00 % 13:0 0.19 0.19 0.15 0.16 % 13:1 0.00 0.00 0.00 0.00 % 14:0 1.94 1.82 2.98 2.59 % 14:1 0.00 0.00 0.00 0.00 % 15:1 2.66 2.22 1.76 1.66 % 16:0 24.87 24.97 23.71 25.01 % 16:1 0.20 0.25 0.07 0.07 % 16:2 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 % 17:0 1.49 1.21 0.62 0.66 % 18:0 1.13 1.14 0.91 1.01 % 18:1 n-9 0.07 0.07 0.06 0.06 % 18:1 n-7 0.00 0.00 0.00 0.00 % 18:2 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.05 0.04 % 18:3 n-3 0.09 0.08 0.17 0.14 % 18:4 n-3 0.00 0.00 0.00 0.00 % 20:0 0.31 0.33 0.24 0.30 % 20:1 n-9 0.00 0.04 0.00 0.00 % 20:2 0.00 0.00 0.05 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 % 20:3 n-6 0.12 0.13 0.08 0.04 % 20:3 n-3 0.42 0.42 0.08 0.06 % 20:4 ARA 0.68 0.67 1.44 1.11 % 20:5 n-3 6.56 6.47 11.99 9.87 EPA % 22:0 0.07 0.07 0.06 0.07 % 22:1 0.00 0.00 0.00 0.00 % 22:2 0.11 0.09 0.10 0.08 % 22:3 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 % 22:5 n-6 2.32 2.36 2.36 2.36 % 22:5 n-3 0.48 0.66 0.66 0.52 % 22:6 n-3 51.58 52.27 48.17 49.35 DHA % 24:0 0.00 0.00 0.00 0.00 % 24:1 0.00 0.00 0.00 0.00 % Fat 47.87 49.41 66.00 63.12 % Unknown 4.61 4.45 4.07 4.64 TABLE 52 PTA-10212 Mutants Control Mutant 1 Mutant 2 Mutant 3 Fatty Acids PTA-10212 PTA-10213 PTA-10214 PTA-10215 % 08:0 0.00 0.00 0.00 0.00 % 09:0 0.00 0.00 0.00 0.00 % 10:0 0.00 0.00 0.00 0.00 % 11:0 0.00 0.00 0.00 0.00 % 11:1 0.00 0.00 0.00 0.00 % 12:0 0.00 0.00 0.00 0.00 % 12:1 0.00 0.00 0.00 0.00 % 13:0 0.00 0.00 0.21 0.20 % 13:1 0.00 0.00 0.00 0.00 % 14:0 0.68 0.77 0.62 0.97 % 14:1 0.00 0.00 0.00 0.00 % 15:1 0.00 0.00 0.00 0.00 % 16:0 17.36 19.94 15.27 23.61 % 16:1 1.45 2.33 1.40 2.57 % 16:2 0.00 0.00 0.00 0.00 % 16:3 0.00 0.00 0.00 0.00 % 17:0 0.20 0.21 0.18 0.27 % 18:0 0.78 0.82 0.79 0.81 % 18:1 n-9 0.00 0.00 0.00 0.00 % 18:1 n-7 0.18 0.27 0.20 0.19 % 18:2 0.00 0.00 0.00 0.00 % 18:3 n-6 0.00 0.00 0.00 0.00 % 18:3 n-3 0.00 0.00 0.00 0.00 % 18:4 n-3 0.00 0.00 0.00 0.00 % 20:0 0.00 0.00 0.00 0.00 % 20:1 n-9 0.00 0.00 0.00 0.00 % 20:2 0.00 0.00 0.00 0.00 % 20:3 n-9 0.00 0.00 0.00 0.00 % 20:3 n-6 0.00 0.00 0.00 0.00 % 20:3 n-3 0.90 0.77 0.99 0.66 % 20:4 ARA 1.43 1.32 1.65 0.72 % 20:5 n-3 13.33 14.93 14.14 8.54 EPA % 22:0 0.00 0.00 0.00 0.00 % 22:1 0.00 0.00 0.00 0.00 % 22:2 0.00 0.00 0.00 0.00 % 22:3 0.00 0.00 0.00 0.00 % 22:4 n-6 0.00 0.00 0.00 0.00 % 22:5 n-6 2.39 1.95 2.59 2.18 % 22:5 n-3 0.73 0.79 0.80 0.68 % 22:6 n-3 59.18 54.31 59.89 56.39 DHA % 24:0 0.00 0.00 0.00 0.00 % 24:1 0.00 0.00 0.00 0.00 % Fat 45.69 38.08 42.88 48.48 % Unknown 1.38 1.58 1.27 2.19 Example 39 Two cell broths (approximately 13.3 kg) containing microbial cells (Schizochytrium) were heated to 60° C. in a 20 liter fermentor.", "The fermentor had two 6-blade Rushton impellers having a diameter of 15 cm.", "The top impeller was positioned at the 12 liter mark and the bottom impeller was positioned 10 cm below the top impeller.", "The first cell broth was continuously agitated at 307 centimeters/second.", "The second cell broth was continuously agitated at 464 centimeters/second.", "Enzymes (i.e., Alcalase 2.4 L FG 0.5%) were added to the cell biomass to lyse the cells and form an emulsified lysed cell composition.", "The emulsified lysed cell composition was first treated with a base (NaOH, 250 kg of 50% w/w solution) until the pH of the lysed cell composition was from 10.4 to 10.6.Next, a salt (solid NaCl, in an amount of 2%, by weight, of the lysed cell composition) was added to the lysed cell composition.", "The lysed cell composition was then heated to a temperature of 90° C. and held at that temperature level for 20 hours.", "A sample of each cell broth was taken and the pH was adjusted to 8.0 and placed in 50 ml test tubes.", "The test tubes were centrifuged and the oil extraction data was measured.", "The oil extraction data is provided in Table 53.TABLE 53 Results from extraction testing in 50 mL tubes at pH 8.0.Wet broth tested for Mass of Oil % yield % yield Extraction (g) Recovered (g) (oil/broth) (oil/solids)* 307 centimeters/second 49.990 3.881 7.76 27.81 50.814 2.747 5.41 19.36 50.772 2.418 4.76 17.05 464 centimeters/second 51.154 7.067 13.81 49.13 51.092 7.055 13.81 49.11 50.132 6.606 13.18 46.86 *based on solids content of untreated pasteurized broth The data provided in Table 53 demonstrates that the higher agitation speed resulted in a greater mass of oil recovered, a greater % yield of oil from the broth, and a greater % yield of oil from the solids content of the untreated pasteurized broth.", "CONCLUSION All of the various embodiments or options described herein can be combined in any and all variations.", "While the invention has been particularly shown and described with reference to some embodiments thereof, it will be understood by those skilled in the art that they have been presented by way of example only, and not limitation, and various changes in form and details can be made therein without departing from the spirit and scope of the invention.", "Thus, the breadth and scope of the present invention should not be limited by any of the above described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.", "All documents cited herein, including journal articles or abstracts, published or corresponding U.S. or foreign patent applications, issued or foreign patents, or any other documents, are each entirely incorporated by reference herein, including all data, tables, figures, and text presented in the cited documents." ] ]
Patent_15875733
[ [ "DEVICES, SYSTEMS AND METHODS FOR PAIN MANAGEMENT AND BONE HEALING", "Systems and methods disclosed herein provide efficacious therapies based on delivery of combinations of thermoceuticals, electroceuticals, ultrasound via computer-implemented systems.", "Sensors coupled to the device are configured to measure changes in tissue and bone conditions, physiological data resulting from the delivered therapy.", "The personalized therapy can be adjusted based on the changes in the physiological or sensor data and/or patient feedback.", "The patient information, information about the applied therapy, and therapy outcome information can be added to the analytics database." ], [ "1.A portable therapy device configured to drive inputs to one or more switches controlling combination treatments comprising a combination of at least one thermoceutical, electroceutical, and ultrasound therapy while measuring physiological, musculoskeletal characteristics related parameters via sensors; an applicator coupled to the one or more switches and configured to deliver ultrasonic energy in a pulsed or continuous mode, wherein ultrasound frequency ranges from about 0.1 MHz to about 10 MHZ, and wherein intensities range from about 0.01 W/cm2 to about 3.0 W/cm2 in various combinations; the same or an additional applicator coupled to the one or more switches and configured to deliver thermoceutical energy in a pulsed or continuous mode, wherein thermal energy ranges from about 0 ° C. to about 50° C.; the same or an additional applicator comprising electrodes coupled to the one or more switches and configured to deliver electroceutical energy in a pulsed or continuous in the frequency range from about 1-200 Hz; pulse amplitude 0 mA to 500 mA and at least one or more sensors integrated into the same or additional applicators and coupled to a processing device to measure at least one physiological parameter or musculoskeletal characteristics related parameter comprising body temperature, tissue temperature, local blood flow, local blood oxygen levels, local tissue thickness, local tissue composition/structure, bone strength, bone fracture type, callus stiffness, and velocity and attenuation properties of sound waves in tissue or bone.", "2.The device of claim 1, comprising a first applicator configured to deliver thermoceutical therapy as well as ultrasound therapy and a second applicator configured to deliver electroceutical therapy.", "3.The device of claim 1, comprising a single applicator configured to deliver thermoceutical, ultrasound, and electroceutical therapy.", "4.The device of claim 1, used to treat arthritis, joint pain, bursitis, muscle pain or injuries, muscle spasm or strain, soft tissue injuries, musculoskeletal injuries, back pain, joint stiffness, cold hands, rheumatism, neuralgia, inflammation, edema, ischemia, spasm, to stimulate enzyme activity and immune system, bone healing, tendon healing, or wound healing.", "5.The device of claim 1, used to stimulate or accelerate fractured bone or tissue healing.", "6.The device of claim 1, used for faster healing of damaged or fractured bones, and for monitoring bone healing progress through sensor feedback.", "7.A medical device for the application of a therapy, comprising: a processing device configured to drive inputs for one or more switches controlling characteristics of treatments comprising thermoceuticals, electroceuticals, ultrasound, or a combination thereof, the characteristics of the treatments corresponding to the therapy; an applicator, coupled to the one or more switches, the applicator configured to apply the treatments or a combination thereof based on the inputs to the one or more switches; one or more sensors coupled to the processing device, the one or more sensors configured to 1) detect physiological/musculoskeletal parameters responsive to the application of the treatments or combination thereof and 2) provide the physiological/musculoskeletal parameters to the processing device; and a patient feedback interface communicatively coupled to the processing device, the patient feedback interface configured to receive user inputs indicating patient feedback information responsive to the application of the treatments or combination thereof.", "8.The medical device of claim 7, wherein the characteristics of the treatments or the combination thereof include intensity levels and time durations.", "9.The medical device of claim 7, wherein the physiological parameters comprise one or more of body temperature, tissue temperature, local blood flow, local blood oxygen levels, local tissue thickness, local tissue composition/structure, local tissue imaging, local tissue bone strength, bone fracture type, callus stiffness, and velocity and attenuation properties of sound waves in tissue or bone.", "10.A method for delivering a bone healing treatment, comprising: receiving patient information comprising patient data and symptoms; comparing the received patient information with bone healing analytics data compiled on a plurality of individuals to determine a personalized bone healing therapy; applying the personalized bone healing therapy to deliver one or more of thermoceuticals, electroceuticals, or ultrasound, in various combinations; receiving updated patient information in response to the applied personalized bone healing therapy; and storing the updated patient information and the personalized pain management therapy in a database to add to the bone healing analytics data compiled on the plurality of individuals.", "11.The method of claim 10, further comprising: adjusting, in response to the updated patient information, the personalized bone healing therapy by adjusting the combination of thermoceuticals, electroceuticals, or ultrasound; and storing the adjusted personalized bone healing therapy in the database to add to the bone healing analytics data.", "12.The method of claim 11, wherein the patient data and the updated patient information further comprise patient physiological data including one or more of patient vital signs, body or tissue temperature, blood flow rate, blood oxygen levels, or body tissue thickness or density.", "13.The method of claim 10, wherein the patient data and the updated patient information are received at a bone healing therapy device, and wherein the personalized bone healing therapy is applied by transmitting electronic signals to an applicator to deliver the thermoceuticals, electroceuticals, ultrasound, or combination thereof via one or more applicators.", "14.The method of claim 13, wherein the patient data and the bone healing analytics data are stored in a memory of the bone healing therapy device or in a remotely connected database, and wherein the bone healing analytics data includes correlated patient information and patient feedback regarding bone healing therapy outcomes on the plurality of individuals.", "15.The method of claim 14, wherein comparing the received patient information with bone healing analytics data to determine the personalized bone healing therapy comprises: identifying a subset of individuals from the plurality of individuals that have similar characteristics as the patient as indicated in the patient information; and identifying, from the bone healing analytics data on the subset of individuals, a stored applied therapy associated with a stored therapy outcome indicating enhanced bone healing symptoms, wherein the identified stored applied therapy corresponds to the personalized bone healing therapy.", "16.The method of claim 10, wherein the patient data and the updated patient information are received by one or more sensors communicatively coupled to a bone healing therapy device or by user inputs received at a patient feedback interface.", "17.The method of claim 10, wherein the personalized bone healing therapy comprises a combination therapy of: delivering thermoceuticals comprising heat in a temperature range of about 0-50° C.; and delivering ultrasonic energy at ultrasound frequency ranges of from about 0.1 MHz to about 3 MHZ and intensities from about 0.01 W/cm2 to about 1.0 W/cm2, wherein the delivery of thermoceuticals and ultrasonic energy may be in a pulsed or continuous mode and in various combinations of duration and intensities." ], [ "<SOH> BACKGROUND <EOH>An individual with a disease, particularly a chronic disease or any ailment that takes more than one or two months to heal, may be required to monitor various health parameters on a regular basis in support of any treatment or therapy that he or she is undergoing or receiving.", "Today, advances in medical research enable a range of therapeutic options to treat a given disease, or ailment and/or their symptoms, where each of the proposed therapies targets a particular biochemical or physiochemical process underlying or associated with the disease to provide either a cure or relief from the disease symptoms.", "For example, if one were to consider various options available for treating pain symptoms, the possible diagnoses, medicaments and therapies are many; similarly there are several options available for accelerating fractured bone healing.", "It is possible that the therapy/medication a patient receives could be subjective and depend upon the particular doctor/physician, i.e., his/her background, expertise and past experiences.", "For example, a physiotherapist or an orthopedic physician may treat a pain symptom differently than a physician or pain management specialist.", "Although a doctor plays a critical role in diagnosing and prescribing the therapy, the patient (and his/her family members or care givers) also has great responsibility in complying with the prescription, and following the course of action for achieving improved health and better quality of life.", "However, unfortunately, depending upon the patient demographics and nature of the disease, health care management of pain management and implementation of pain management has become a daunting task and an expensive affair.", "For example, of the all diseases, chronic pain is one of the most underestimated health care problems in the world today, causing major consequences for the quality of life of the patients and the family members and has been a major burden on the health care system.", "The most common causes of chronic pain are musculoskeletal problems (fractures, dislocations, soft injuries) and inflammatory conditions, with back pain and arthritis pain symptoms accounting for a significant portion of the overall chronic pain population.", "The four most common ways to treat pain are: (1) pharmaceutical (e.g., analgesics, aspirin; NSAIDs, Ibuprofen, COX-2 inhibitors, celecoxib; opioids, morphine), (2) procedural (neuro-stimulators, pulsed radiofrequency, intrathecal), (3) psychological (behavioral, cognitive), and (4) physical (e.g., heat, cold, transcutaneous electric nerve simulation (TENS), therapeutic ultrasound, infrared, microwave or shortwave diathermy, electro-magnetic radiation, acupuncture, massage Although pharmaceutical methods (e.g., drugs) are most widely used for treating pain, individuals on over the counter or prescription drugs for pain are frequently dissatisfied with the results of the pharmaceutical treatment.", "Further, the adverse side effects that drugs may cause on prolonged usage is shifting the focus away from drugs toward alternate methods for managing chronic pain.", "The procedural methods are invasive, expensive and their effectiveness has been difficult to quantify.", "Psychological therapies often lack practicality and their success rates have been limited.", "Finally, physiotherapy based methods have been proven attractive, but they are inconvenient, require bulky/complex instruments, and regular office/hospital visits, all of which lowers compliance.", "In addition, these therapies are often not supported by quality clinical data despite tremendous advances made in understanding pathophysiology and pain signaling.", "Although, a number of physical medicine/modalities based therapies have been administered to treat musculoskeletal pain, identifying the optimal therapy and dosage for pain symptoms has been elusive.", "For example, clinical data published in the literature indicates that the application of physical medicine is appropriate/beneficial in some cases and not particularly beneficial in other cases.", "The response from patients to these methods is similarly mixed.", "Given this background, the patient (or his/her doctor) has no way of knowing what is the best treatment method.", "If one were to consider the combined use of these the application of physical therapies, the possible variations may be several (including dosage, therapy session and duration), but combination therapies may provide an opportunity to fine tune the therapy in order to make it efficacious or even personalized, especially when one takes advantage of modern technological advances such as computing, internet of things, sensing, connectivity, big data, and analytics in conjunction with electronic medication/therapy or remote administering/dispensing of medicines electronically.", "Therefore, there exists a need for a medical device and an associated system for offering improved therapies for sustained pain relief that takes into account objective and subjective patient feedback and further takes into account the statistical certainty of obtaining a satisfactory outcome.", "Another healthcare industry that can benefit from improved treatments relates to healing of fractured bones.", "Over 50 million bone fractures occur worldwide, leading to about 10 million doctor or emergency room visits per year in the United States alone.", "Delayed bone healing can result in increased pain, as well as loss of function and decreased quality of life.", "Current therapies or treatment approaches for healing fractured bones include delivering bone morphogenetic proteins (“BMPs”), platelet-rich plasma (PRP), or anabolic agents such as teriparatide (“TPD”).", "These treatments can be invasive and are not always successful.", "Other proposed solutions have been to implement bone growth stimulation devices.", "Such devices deliver low intensity pulsed ultrasound (“LIPUS”), which is believed to result in faster bone healing, but requires personalization of therapy dosage, that is, normalization of therapy dosage for a given composition (fat and muscle) and thickness of tissue surrounding a fractured bone.", "However, the existing therapies do not offer personalized solutions.", "For example, delivering a certain amount of ultrasound to one patient having one body composition will not achieve the same result as delivering that same amount of ultrasound to a different patient having a different body composition, or for that matter, different body parts of an individual contain different tissue thicknesses and compositions.", "Ultrasound penetration into deep tissue is limited by tissue thickness and composition.", "An identical dosage of low intensity pulsed ultrasound (LIPUS) may deliver inconsistent or suboptimal dosages to different patients.", "Accordingly, the present inventors have determined that the personalized pain management therapies described herein may also be useful in order to promote faster healing of fractured bones or other tissues.", "They have combined the personalized temperature therapy/ultrasound therapy/electrical stimulation therapy that may be delivered by the disclosed device in order to offer improved therapies for faster bone healing.", "Typically, the attenuation coefficient, loss of ultrasound energy due to absorption, scattering, and mode conversion, decreases as temperature of tissue raise from 0 to 50° C. Penetration of low intensity pulsed ultrasound through deep tissue to heal fractured bones varies depending tissue temperature.", "In theory, one can take advantage of this phenomena by preconditioning tissue temperature during LIPUS therapy to maximize therapeutic benefits.", "Use of the disclosed device has been found to provide controls for enabling deeper penetration of low intensity ultrasound or modulating ultrasound energy profile delivered to the injure tissue/bone areas, while personalizing the delivered therapy using sensors (that measure tissue thickness, rate of bone healing, blood flow etc.)", "and accompanied software tools." ], [ "<SOH> SUMMARY <EOH>The present disclosure provides a method and system for providing efficacious, personalized, pain management therapies.", "The present disclosure provides a method and system for providing efficacious and personalized bone healing therapies.", "A patient is provided with a therapy device capable of delivering individual, or multiple or combination physical therapies accompanied with a computer-implemented system that automatically or manually adjusts the applied pain management treatment based on physiological responses, objective measurements gathered by sensors, and/or user feedback.", "Physical therapies can be applied using a combination of thermoceuticals (e.g., temperature application based therapies such as surface heating/cooling using heat pack or cold packs or microwave/shortwave/ultrasound/infrared/laser radiation based thermal therapies, contrast therapies), or combination of thermoceuticals and electroceuticals (e.g., electrical stimulation based therapies such as TENS), or a combination of these treatments with ultrasound.", "Ultrasound, when applied in pulses, can produce non-thermal effects (acoustic streaming, cavitation) and facilitates healing in the inflammatory and proliferative phase following soft tissue injury, increases local circulation because of the increased capillary density, and bone healing.", "In some embodiments, physical therapies can also be administered by including infrared heating or electromagnetic radiation or radio frequency ablation.", "Physiological responses may be measured via one or more sensors that measure blood flow, blood oxygen levels, tissue thickness, bone strength, callus stiffness, acoustic emissions or vibrational properties of fractured vs. normal bone, ultrasound velocity and attenuation across a healing fractured bone and or superficial or deep tissue temperatures or other physiological metrics that are associated with pain symptoms.", "Upon applying a particular therapy, the disclosed device can receive updated physiological responses and adjust the therapy applied until a desired physiological response and/or user feedback indicates a satisfactory pain management or bone healing or other treatment outcome.", "In additional embodiments, the computer-implemented system identifies a correlation between the patient information (e.g., patient demographics, pain symptoms, nature of bone fracture, bone strength, callus stiffness, tissue density and composition, applied treatment options, and/or treatment outcomes) and analytics data compiled on a plurality of individuals.", "The analytics data tracks historical data on previous patients, treatments applied, and treatment outcomes.", "The analytics data can indicate for example, a treatment option that was previously applied to patients with similar demographic or physical information and a statistical likelihood that the therapy option/dosage results satisfactory pain relief or bone healing.", "The device can receive the identified treatment option from the analytics data and adjust the applied treatment to match the identified treatment option.", "The computer-implemented system can further store pain the patient information and the applied treatment in a database, thereby adding to the analytics data.", "The disclosed device, methodology and intelligent pain management (or bone healing) algorithm together provide a powerful tool for managing pain efficiently and effectively, as well as treating and encouraging bone growth or healing.", "Embodiments herein further relieve patients of the burden of having to try a plethora of devices or other treatment modalities in search of finding relief.", "These illustrative embodiments are mentioned not to limit or define the disclosure, but to provide examples to aid understanding thereof.", "Additional embodiments are discussed in the Detailed Description, and further description is provided there." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation in part of U.S. application Ser.", "No.", "15/021,143 filed on Mar.", "10, 2016 titled “Personalized Pain Management Treatments,” which application claims priority to U.S.", "Provisional Application Ser.", "No.", "62/110,017, filed on January 30, 2015 and titled “Devices, Systems, and Methods for Pain Management,” and which application is also a continuation-in-part of application Ser.", "No.", "14/011,273, filed Aug. 27, 2013, now issued as U.S. Pat.", "No.", "9,710,607, titled “Portable Electronic Therapy Device and Method Thereof,” the contents of each of which are hereby incorporated by reference.", "TECHNICAL FIELD This disclosure provides a portable, electronic therapy device that is capable of delivering thermal energies, electrical energies, ultrasonic energies, and various combinations thereof in order to promote various therapeutic purposes.", "In a particular embodiment, delivery of these energies, either alone or in various combinations, has been found to be useful in improving bone healing processes.", "The therapy device can include automatic controlled application of energies along with feedback control using sensors for improved synergistic effects and dose titration.", "The device can be used for pain management, bone healing, tissue healing, fitness, cosmetic and topical delivery, and other related applications.", "The methods and systems provide improved uses for devices configured to deliver pain management or bone or other tissue healing therapies.", "BACKGROUND An individual with a disease, particularly a chronic disease or any ailment that takes more than one or two months to heal, may be required to monitor various health parameters on a regular basis in support of any treatment or therapy that he or she is undergoing or receiving.", "Today, advances in medical research enable a range of therapeutic options to treat a given disease, or ailment and/or their symptoms, where each of the proposed therapies targets a particular biochemical or physiochemical process underlying or associated with the disease to provide either a cure or relief from the disease symptoms.", "For example, if one were to consider various options available for treating pain symptoms, the possible diagnoses, medicaments and therapies are many; similarly there are several options available for accelerating fractured bone healing.", "It is possible that the therapy/medication a patient receives could be subjective and depend upon the particular doctor/physician, i.e., his/her background, expertise and past experiences.", "For example, a physiotherapist or an orthopedic physician may treat a pain symptom differently than a physician or pain management specialist.", "Although a doctor plays a critical role in diagnosing and prescribing the therapy, the patient (and his/her family members or care givers) also has great responsibility in complying with the prescription, and following the course of action for achieving improved health and better quality of life.", "However, unfortunately, depending upon the patient demographics and nature of the disease, health care management of pain management and implementation of pain management has become a daunting task and an expensive affair.", "For example, of the all diseases, chronic pain is one of the most underestimated health care problems in the world today, causing major consequences for the quality of life of the patients and the family members and has been a major burden on the health care system.", "The most common causes of chronic pain are musculoskeletal problems (fractures, dislocations, soft injuries) and inflammatory conditions, with back pain and arthritis pain symptoms accounting for a significant portion of the overall chronic pain population.", "The four most common ways to treat pain are: (1) pharmaceutical (e.g., analgesics, aspirin; NSAIDs, Ibuprofen, COX-2 inhibitors, celecoxib; opioids, morphine), (2) procedural (neuro-stimulators, pulsed radiofrequency, intrathecal), (3) psychological (behavioral, cognitive), and (4) physical (e.g., heat, cold, transcutaneous electric nerve simulation (TENS), therapeutic ultrasound, infrared, microwave or shortwave diathermy, electro-magnetic radiation, acupuncture, massage Although pharmaceutical methods (e.g., drugs) are most widely used for treating pain, individuals on over the counter or prescription drugs for pain are frequently dissatisfied with the results of the pharmaceutical treatment.", "Further, the adverse side effects that drugs may cause on prolonged usage is shifting the focus away from drugs toward alternate methods for managing chronic pain.", "The procedural methods are invasive, expensive and their effectiveness has been difficult to quantify.", "Psychological therapies often lack practicality and their success rates have been limited.", "Finally, physiotherapy based methods have been proven attractive, but they are inconvenient, require bulky/complex instruments, and regular office/hospital visits, all of which lowers compliance.", "In addition, these therapies are often not supported by quality clinical data despite tremendous advances made in understanding pathophysiology and pain signaling.", "Although, a number of physical medicine/modalities based therapies have been administered to treat musculoskeletal pain, identifying the optimal therapy and dosage for pain symptoms has been elusive.", "For example, clinical data published in the literature indicates that the application of physical medicine is appropriate/beneficial in some cases and not particularly beneficial in other cases.", "The response from patients to these methods is similarly mixed.", "Given this background, the patient (or his/her doctor) has no way of knowing what is the best treatment method.", "If one were to consider the combined use of these the application of physical therapies, the possible variations may be several (including dosage, therapy session and duration), but combination therapies may provide an opportunity to fine tune the therapy in order to make it efficacious or even personalized, especially when one takes advantage of modern technological advances such as computing, internet of things, sensing, connectivity, big data, and analytics in conjunction with electronic medication/therapy or remote administering/dispensing of medicines electronically.", "Therefore, there exists a need for a medical device and an associated system for offering improved therapies for sustained pain relief that takes into account objective and subjective patient feedback and further takes into account the statistical certainty of obtaining a satisfactory outcome.", "Another healthcare industry that can benefit from improved treatments relates to healing of fractured bones.", "Over 50 million bone fractures occur worldwide, leading to about 10 million doctor or emergency room visits per year in the United States alone.", "Delayed bone healing can result in increased pain, as well as loss of function and decreased quality of life.", "Current therapies or treatment approaches for healing fractured bones include delivering bone morphogenetic proteins (“BMPs”), platelet-rich plasma (PRP), or anabolic agents such as teriparatide (“TPD”).", "These treatments can be invasive and are not always successful.", "Other proposed solutions have been to implement bone growth stimulation devices.", "Such devices deliver low intensity pulsed ultrasound (“LIPUS”), which is believed to result in faster bone healing, but requires personalization of therapy dosage, that is, normalization of therapy dosage for a given composition (fat and muscle) and thickness of tissue surrounding a fractured bone.", "However, the existing therapies do not offer personalized solutions.", "For example, delivering a certain amount of ultrasound to one patient having one body composition will not achieve the same result as delivering that same amount of ultrasound to a different patient having a different body composition, or for that matter, different body parts of an individual contain different tissue thicknesses and compositions.", "Ultrasound penetration into deep tissue is limited by tissue thickness and composition.", "An identical dosage of low intensity pulsed ultrasound (LIPUS) may deliver inconsistent or suboptimal dosages to different patients.", "Accordingly, the present inventors have determined that the personalized pain management therapies described herein may also be useful in order to promote faster healing of fractured bones or other tissues.", "They have combined the personalized temperature therapy/ultrasound therapy/electrical stimulation therapy that may be delivered by the disclosed device in order to offer improved therapies for faster bone healing.", "Typically, the attenuation coefficient, loss of ultrasound energy due to absorption, scattering, and mode conversion, decreases as temperature of tissue raise from 0 to 50° C. Penetration of low intensity pulsed ultrasound through deep tissue to heal fractured bones varies depending tissue temperature.", "In theory, one can take advantage of this phenomena by preconditioning tissue temperature during LIPUS therapy to maximize therapeutic benefits.", "Use of the disclosed device has been found to provide controls for enabling deeper penetration of low intensity ultrasound or modulating ultrasound energy profile delivered to the injure tissue/bone areas, while personalizing the delivered therapy using sensors (that measure tissue thickness, rate of bone healing, blood flow etc.)", "and accompanied software tools.", "SUMMARY The present disclosure provides a method and system for providing efficacious, personalized, pain management therapies.", "The present disclosure provides a method and system for providing efficacious and personalized bone healing therapies.", "A patient is provided with a therapy device capable of delivering individual, or multiple or combination physical therapies accompanied with a computer-implemented system that automatically or manually adjusts the applied pain management treatment based on physiological responses, objective measurements gathered by sensors, and/or user feedback.", "Physical therapies can be applied using a combination of thermoceuticals (e.g., temperature application based therapies such as surface heating/cooling using heat pack or cold packs or microwave/shortwave/ultrasound/infrared/laser radiation based thermal therapies, contrast therapies), or combination of thermoceuticals and electroceuticals (e.g., electrical stimulation based therapies such as TENS), or a combination of these treatments with ultrasound.", "Ultrasound, when applied in pulses, can produce non-thermal effects (acoustic streaming, cavitation) and facilitates healing in the inflammatory and proliferative phase following soft tissue injury, increases local circulation because of the increased capillary density, and bone healing.", "In some embodiments, physical therapies can also be administered by including infrared heating or electromagnetic radiation or radio frequency ablation.", "Physiological responses may be measured via one or more sensors that measure blood flow, blood oxygen levels, tissue thickness, bone strength, callus stiffness, acoustic emissions or vibrational properties of fractured vs. normal bone, ultrasound velocity and attenuation across a healing fractured bone and or superficial or deep tissue temperatures or other physiological metrics that are associated with pain symptoms.", "Upon applying a particular therapy, the disclosed device can receive updated physiological responses and adjust the therapy applied until a desired physiological response and/or user feedback indicates a satisfactory pain management or bone healing or other treatment outcome.", "In additional embodiments, the computer-implemented system identifies a correlation between the patient information (e.g., patient demographics, pain symptoms, nature of bone fracture, bone strength, callus stiffness, tissue density and composition, applied treatment options, and/or treatment outcomes) and analytics data compiled on a plurality of individuals.", "The analytics data tracks historical data on previous patients, treatments applied, and treatment outcomes.", "The analytics data can indicate for example, a treatment option that was previously applied to patients with similar demographic or physical information and a statistical likelihood that the therapy option/dosage results satisfactory pain relief or bone healing.", "The device can receive the identified treatment option from the analytics data and adjust the applied treatment to match the identified treatment option.", "The computer-implemented system can further store pain the patient information and the applied treatment in a database, thereby adding to the analytics data.", "The disclosed device, methodology and intelligent pain management (or bone healing) algorithm together provide a powerful tool for managing pain efficiently and effectively, as well as treating and encouraging bone growth or healing.", "Embodiments herein further relieve patients of the burden of having to try a plethora of devices or other treatment modalities in search of finding relief.", "These illustrative embodiments are mentioned not to limit or define the disclosure, but to provide examples to aid understanding thereof.", "Additional embodiments are discussed in the Detailed Description, and further description is provided there.", "BRIEF DESCRIPTION OF THE FIGURES These and other features, embodiments, and advantages of the present disclosure are better understood when the following Detailed Description is read with reference to the accompanying drawings.", "FIG.", "1 is a block diagram depicting a pain therapy device communicating with a server system to provide personalized pain therapy that is adjusted based on subjective and objective feedback in response to the applied pain therapy.", "FIG.", "2 is a block diagram illustrating the logical components of the pain therapy device shown in FIG.", "1.FIG.", "3 is a block diagram depicting the various components present in the applicator for applying the pain management therapy.", "FIG.", "4 is an flowchart depicting an example process for applying a personalized pain management therapy based on stored analytics data and adjusting the applied therapy based on feedback.", "FIGS.", "5 and 6 are block diagrams depicting example hardware implementations for some of the components shown in FIG.", "1.FIG.", "7 is a block diagram depicting one example of a pain therapy device.", "FIGS.", "8A and 8B illustrate schematic cross sections of exemplary configurations of the pain therapy device.", "FIGS.", "9A, 9B, and 9C illustrate schematic cross sections of exemplary applicator configurations and corresponding transducer arrangements.", "FIGS.", "10A and 10B illustrate further schematic cross sections of exemplary applicator configurations and corresponding transducer arrangements.", "FIGS.", "11A and 11B illustrate further schematic cross sections of exemplary applicator configurations and corresponding transducer arrangements.", "FIGS.", "12A and 12B illustrate further schematic cross sections of exemplary applicator configurations and corresponding transducer arrangements.", "FIGS.", "13A and 13B illustrate further schematic cross sections of exemplary applicator configurations and corresponding transducer arrangements.", "FIG.", "14 shows a schematic of patient data analytics and treatment indications for efficacious or personalized bone healing treatment described herein by applying concepts depicted in FIG.", "4.DETAILED DESCRIPTION Systems and methods are disclosed for pain management therapies and devices.", "The systems and methods described also find particular benefit for encouraging faster bone healing.", "Although the present disclosure will relate to and use the term “pain management” therapies and devices, it should be understood that the same pain management therapies and devices described may also be used in order to encourage the bone healing process described herein.", "Systems and methods are also disclosed for computer-implemented pain management or bone healing through the use of a device that applies a combination of physical therapies to alleviate pain symptoms and/or to encourage faster bone healing, and it adjusts the application of the physical therapies based on feedback information.", "Feedback information includes physiological responses resulting from the applied therapy, patient feedback received as a pain relief score (e.g., a score from 0-10 or 0-5 as the case may be), and analytics information indicating the personalized therapy for patients with similar demographics/pre-existing medical conditions and other characteristics as the current patient.", "The therapy includes application of physical therapies via an applicator.", "Physical therapies include electroceuticals (i.e., any type electrical stimulation used for therapeutic benefit), thermoceuticals (e.g., defined as a thermal or heat therapy method or device designed to affect biological systems and modify function(s) of the body, and/or ultrasound therapies (i.e., any system that can deliver ultrasound waves such as low intensity pulsed ultrasound (LIPUS) to the patient), or any combination thereof.", "As part of thermal therapy, thermal energy is delivered to the body or superficial or deep tissue using a variety of devices and methods known to those skilled in the art.", "The temperatures applied to the body locally or systemically and may vary from about−100° C. to+about 100° C.).", "In other examples, the temperature may range from 0-50° C. Any combination of these treatments may be combined, depending upon the patient and the condition to be treated.", "One exemplary device for managing pain is described in U.S. Pat.", "No.", "9,710,607, titled “Portable Electronic Therapy Device and the Method Thereof,” which is incorporated by reference herein.", "The physical therapies applied via the computer-implemented therapy target specific physiological functions (i.e., without using any drugs) of the tissue through external non-invasive stimulation (or invasive stimulation) to provide pain relief and/or bone healing.", "A variety of physiological functions can be influenced, simply by changing the temperature of the tissue (e.g., cold, or heat at superficial or deeper levels) using a variety of methods such as cold or hot packs/baths, infrared lamps, lasers, shortwave diathermy, microwave diathermy, therapeutic ultrasound, and radio frequency application.", "Some of the physical medicine-induced changes include variations in cell membrane, or protein or nucleic acid structure and function, enzymatic activity, cell apoptosis, nerve conduction, coagulation, collagen fiber contraction, collagen synthesis, vasodilation, vasoconstriction, viscosity of body fluids/blood, cellular fluid crystallization or dehydration, pain signaling, production of endorphins, spasm, tissue metabolism, blood flow, inflammation, edema extensibility, supply of oxygen & nutrients, tissue/muscle repair or healing, fibroblast activity, collagen fibril density, protein synthesis, and tissue regeneration.", "Some of the physical parameters that alter based on tissue temperature include the propagation profiles of energy waves, such as ultrasound velocity and attenuation as a function of tissue temperature, depth, and composition.", "Some of the physical medicine based therapeutic indications include pain (chronic or acute) relief, musculoskeletal pain relief, sports injuries, post surgical pain, post surgical edema, reduction in inflammation, reduction of joint contractures, fibromyalgia, migraine, tissue healing, swelling reduction, Hematoma resolution, acute bone healing, wound healing, face lift, wrinkle reduction, angiogenesis, removal of warts, cancer therapy, strains, contracture, decrease in muscle spasticity, tumors, capsulitis, bursitis, myositis, tendonitis, improving range of motion, rheumatoid arthritis and osteoarthritis.", "Application of thermoceuticals or thermal therapy includes both heat therapy and cold therapy.", "Heat therapy can ease pain by increasing blood flow to affected areas, which can help decrease inflammation, relax tight muscles and eliminate waste products such as lactic acid that cause stiffness and soreness.", "Likewise, application of cold may facilitate healing after injuries and limits musculoskeletal pain.", "Cold therapy induces a drop in skin temperature and a modest decline in temperatures within the muscles and joints, thereby causes arteriolar and capillary vasoconstriction in the skin leading to decrease in local synovial blood flow to reduce swelling.", "Further, the propagation speeds of ultrasound waves increase as the tissue temperature raises up to 50° C., while the attenuation coefficient (loss of energy due to absorption and scattering) decreases.", "Thermoceuticals can be applied via any suitable mechanism for changing the temperature of the tissue.", "For example, thermoceuticals can be applied via surface heating/cooling using heat pack or cold packs or by microwave radiation, shortwave radiation, ultrasound application, infrared radiation.", "In some examples, the applicator described herein is configured to deliver thermoceutical energy to the body continuously or in a pulsed mode, or combinations thereof.", "The thermal energy delivered may be in temperature range of from about 0-60° C. In a specific example, the device can deliver thermal energy at about 42° C. Application of ultrasound therapies stimulates tissue beneath the skin's surface using sound waves.", "Exemplary ranges include from about 0.1 MHz to about 10 MHz.", "Other specific ranges may include from about 0.5-3.0 MHz (with tolerances including±20%) A specific low intensity pulsed ultrasound frequency may be about 1.5 MHz.", "In some examples, the ultrasound may be pulsed.", "In some examples, the ultrasound may be pulsed while the tissue temperature is altered between 0 to 50° C. In some examples, the ultrasound may be delivered continuously.", "In further examples, the ultrasound may vacillate between continuous delivery and pulsed delivery.", "The ultrasound frequency range delivered may depend upon set parameters, for example, may be based upon the patient's tissue composition.", "The intensity of the ultrasound may range from about 0.005 W/cm2 to about 3.0 W/ cm2.In some examples, ultrasound can cause a temperature rise up to 10° C. in 2-8 cm deep tissue or at least up to 5° C. The ultrasound can also imparts non-thermal effects such as acoustic streaming and cavitation to provide pain relief.", "Thermal changes also result in activation of a wide variety of thermal receptors (transient receptor potential channels (TRP)) in the skin/tissue that range from 0-60° C. and may mask pain signals to the brain.", "Activation of these receptors either chemically (e.g., menthol activates TRPA1, TRPM8, while capsaicin activates TRPV1, TRPV3) or physically can lead to masking of the pain sensation.", "In some embodiments of the present disclosure, the pain signal to the brain is masked by providing a method/mechanism to maximize/overwhelm the signal(s) from an individual or multiple TRP channels located around painful musculoskeletal region.", "The distribution ratio of various temperature sensitive receptors in the body varies depending upon the body location.", "For example, upper part of the body comprises more heat temperature receptors than cold temperature receptors.", "The present inventors believe that pulsing low intensity ultrasound while controlling the tissue temperature help minimize the losses of ultrasound energy due to attenuation and increases ultrasound velocities inside the tissue, and thereby encourages more effective and efficient bone healing.", "Preheating or Pre-cooling or Pre-conditioning of the tissue around injured area (that is injured bone or tissue) can help encourage greater penetration of the ultrasound.", "Without wishing to be bound to any theory, it is believed that raising the patient's tissue temperature to about 50° C., while also delivering ultrasound waves (pulsed or continuous), can help reach the underlying bone tissue more effectively (i.e., with higher velocities and low attenuation coefficients).", "It is believed that this treatment may help improve bone healing by up to about 30 to 40% or even more.", "However, not all patients have the same tissue composition.", "Some patients may present with more fat tissue, others with more muscle tissue, and different densities of tissue or body mass indices will require different dosages for treatment to ensure the right dosage of ultrasound energy is experienced by the injured bones/tissue therapy point.", "Ultrasound waves are absorbed more as they extend deeper into tissue.", "The ultrasound intensity that reaches the bone will vary based on the delivered parameters such as ultrasound frequency, intensity, pulsing profile, tissue temperature, tissue thickness/composition in a therapeutic area.", "Embodiments disclosed herein also include computer-implemented therapy that applies electroceuticals as electrical stimulation of nerves.", "In electric stimulation, electrical current at distinct voltages, frequencies and intensities is delivered to the skin through electrodes (e.g., TENS, electric muscle stimulation, EMS, or neuromuscular electric stimulation, LAMES, IFT, inferential therapy).", "Pain is relieved by means of the pain gate mechanism involving activation of sensory fibers that mask the pain and/or suppressing of pain signals to the brain.", "It is also believed possible that delivery of electric stimulation may help healing of fractured bones, the bone healing may be accelerated in conjunction with low intensity pulsed ultrasound while keeping the tissue temperature at native body temperature or by externally altering it with the aid of thermoceuticals.", "Additionally, electrical stimulation can generate a physiological response to the irritation produced by electrical stimuli which leads to the release of endorphins.", "Electrically pulsed stimulation also causes enhanced localized muscle activity and thereby increases blood circulation to remove metabolic toxins, thereby reducing pain symptoms and/or may encourage bone growth.", "The electroceuticals can be applied to the body using either low (1-20 Hz) or high frequencies (20-200 Hz) for treating pain and several other therapeutic indications.", "In other words, the energy delivered may range from about 2-200 Hz, or any range there between.", "The voltages used for electric stimulation may vary from 3 V to 120V, while the wave forms applied could assume different shapes such as square, asymmetrical biphasic, and symmetrical biphasic.", "In other examples, the range may be from 10 V to 120V.", "The stimulation could be applied to the body continuously or in pulsed mode or in burst mode to trigger different therapeutic mechanisms for treating ailments.", "Although, the therapeutic mechanism behind electric stimulation has not yet been unequivocally established, it is generally believed that electric stimulation enables the body to produce its own endorphins, increases local blood flow and mask pain signals via pain gate mechanism and several other hypotheses as proposed in the literature.", "It is believed that combining electrical stimulation, thermal therapy, and ultrasound together can offer efficient pain management options.", "It is also believed that combining electrical stimulation, thermal and ultrasound therapies together can offer rapid healing of fractured bones.", "For any of the treatments described, the delivery of the thermal therapy, ultrasound, and/or electrical stimulation or any combination thereof described may be pulsed.", "The delivery of the heat therapy, ultrasound, and/or electrical stimulation or any combination thereof described may be continuous.", "The delivery of the heat therapy, ultrasound, and/or electrical stimulation or any combination thereof described may be alternated.", "The delivery of the heat therapy, ultrasound, and/or electrical stimulation or any combination thereof described may alternate between pulsed and/or continuous.", "The particular therapy may be optimized for the patient.", "If pulsed, it is possible for the time range of the pulsing to the separated by microseconds, milliseconds or seconds.", "Typically, electric stimulation pulsing could be in the order of micro to milliseconds.", "Acoustic pulsing could be in the order of milliseconds.", "Thermal pulsing may be in the order of seconds to minutes.", "In some embodiments, the therapy device may communicate with a physician computing device to provide personalized care via application of personalized pain management therapy through customized application of electroceuticals, and thermoceuticals.", "For example, a physician computing device can receive inputs from a healthcare provider for setting the initial physical therapy and adjusting the therapy as the treatment is applied.", "The initial pain management therapy may also be set via a patient computing device communicatively coupled to the pain therapy device.", "The initial pain management therapy indicates the specific combination and intensity levels of electroceuticals, thermoceuticals, and/or ultrasound.", "During treatment, sensors communicatively coupled to the pain management device detect the physiological responses resulting from the application of the pain management therapy.", "The pain therapy device also receives patient feedback indicating pain relief scores via a user interface for the patient computing device (or entered directly from the graphic user interface of pain therapy device).", "The pain therapy device continues to apply the physical therapies (e.g., the electroceuticals, thermoceuticals, ultrasound, acupuncture, pressure/massage based therapies) indicated in the therapy until the physiological responses/patient feedback indicates a threshold pain management level has been reached.", "For example, as the pain management therapy is applied, the sensors coupled to the pain therapy device may detect an increase in blood flow or an increase in blood-oxygen levels at the localized area of pain.", "If the rate of blood flow (or bone healing) and the value of the blood-oxygen levels reach a threshold value specified in the pain management treatment, the pain therapy device reduces or completes the application of the physical therapies.", "Similarly, the pain therapy device may receive feedback from the patient via a user interface indicating the pain has decreased (e.g., by indicating a pain relief score on a 0-5 or a 0-10 scale).", "In response, the pain therapy device continues or completes or modifies the application of the physical therapies.", "For example, a therapy analysis engine executing on the pain therapy device receives updates from a physiological data collection engine and from a patient feedback interface and uses the collected information to iterate the dosage of the physical medicine.", "Embodiments disclosed herein also recognize that the demographics of chronic pain patients (or patients with fractured bones) and the physical therapy requirements are unique.", "It has been well documented in the literature that people with certain pain symptoms, do not respond to electroceuticals and thermoceuticals (or even pharmaceuticals) in similar fashion.", "For example, some people may get pain relief using TENS, and others may not respond to such a therapy at all, and may even have allergic reaction.", "Therefore, the physiology of individuals and the patient feedback plays an extremely important role in determining the ideal/optimal therapy.", "In addition to being responsive to patient feedback and physiological response from an applied pain therapy, the pain therapy device further tailors or “titrates” physical medicine(s) dosage (energy type(s), intensity, exposure depth and duration) based on the patient demographics (e.g.", "age, gender, body mass index, ethnicity, pre-existing health conditions and cultural background).", "For example, the server system includes a patient analytics database that stores patient demographics and applied therapy information (e.g., the specific combination of the physical therapies applied and the dosage levels) as well as information on outcomes of the applied pain management therapy on multiple individuals that had previously applied pain management therapies.", "The database may comprise enormous amount of information from hundreds or thousands or even millions of individual patients and provides a powerful tool to conduct data analytics (analogous to an automated virtual/crowd-sourced clinical study that constantly gets updated with addition of ever increasing data sets) and customize the pain therapy for individuals either in an automated fashion or through prompt response from the physician.", "The analytics data can indicate the statistical likelihood of satisfactory pain management outcomes from application of the pain therapy.", "The pain therapy device connects with the server system over a network to compare the patient information for a specific patient with the patient information in the analytics data to identify a subset of individuals that have similar characteristics as the patient.", "For example, the therapy analysis engine may comprise a software application or software module executing in either the pain therapy device or the server system.", "The therapy analysis engine identifies a subset of individuals that are with the same age range, gender, body mass index, and other pre-existing medical conditions, pain therapies received in the past or on-going (including drugs, or surgical procedures or alternate medicines), physical characteristics as the patient.", "The therapy analysis engine also identifies one or more pain management therapies that were applied to the subset of individuals that resulted in favorable pain management outcome.", "The pain therapy device can thus personalize the applied pain management therapy based on subjective data such as patient feedback, objective data such as physiological responses to the applied therapy, as well as analytics data that can indicate the personalized pain management therapy as collected from other patients with similar characteristics as the patient.", "The pain therapy device can transfer the patient information and the pain management therapy information through wireless connectivity to the database for storage in the analytics system.", "In this way, the pain management adds the current patient's information (e.g., demographic information, symptoms, etc.", "), applied pain management therapy, and therapy outcomes (e.g., patient relief scores and physiological changes resulting from the therapy) to the analytics database.", "These illustrative aspects and examples are given to introduce the reader to the general subject matter discussed here and are not intended to limit the scope of the disclosed concepts.", "The following sections describe various additional features and examples with reference to the drawings in which like numerals indicate like elements, and directional descriptions may be used to describe the illustrative aspects but, like the illustrative aspects, should not be used to limit the present disclosure.", "FIG.", "1 is a block diagram depicting a pain therapy device 100 that connects to a server system 130 over a network 115 to provide personalized pain management therapies based on measured physiological/sensor feedback data (including blood flow and musculoskeletal characterization related data), subjective patient input data (e.g., a pain relief score), and analytics data compiled on other patients and applied pain therapies.", "The pain therapy device 100 can be communicatively coupled to a patient computing device 120 and/or a physician computing device 110 for receiving feedback to adjust the applied pain management therapy.", "The pain therapy device 100 includes a memory 101 that stores executable program code for performing operations described herein.", "For example, memory 101 includes program code for execution of a physiological/sensor data collection engine 102, a therapy analysis engine 104, a server system interface engine 106, and a patient feedback interface 107.In another embodiment, the server system 130 includes program code for the therapy analysis engine 131.An initial pain management therapy may be programmed via physician computing device 110 or patient computing device 120.In some embodiments, the patient using the pain therapy device 100 may communicate directly with a health care provider via patient computing device 120 and physician computing device 110.The patient computing device 120 and the physician computing device 110 may comprise any suitable computing device, such as a personal computer, a tablet computer, or a cell phone.", "The initial pain management therapy may be personalized based on patient information (i.e.", "information on the user of pain therapy device 100).", "For example, the physician computing device 110 includes a physician interface application 112 that presents options for inputting patient information (e.g., patient pain symptoms, demographic information, medical history, and additional information) and a personalized pain management therapy based on the patient information.", "A healthcare provider operating the physician computing device 110 can prescribe the personalized pain management therapy via the physician interface application 112.For example, the physician interface application 112 presents a user interface for selecting a physical medicine via a combination of electroceuticals, or thermoceuticals.", "In other embodiments, the patient computing device 120 includes a patient interface application 122 for inputting patient information.", "Via the patient interface application 122, the patient provides inputs regarding the personalized pain management therapy as discussed or otherwise prescribed by the healthcare provider.", "The personalized pain management therapy can thus be administered via the health care provider or self-administered via the patient.", "One or more applicators 124 connected to the pain therapy device 100 apply the personalized pain management therapy input via physician interface application 112 or patient interface application 122 or directly through physical therapy device 100.The personalized pain management therapy comprises of physical therapies that include a combination of electrical stimulation, hot and cold stimulation, and ultrasonic pulses.", "In some embodiments, based on feedback received from physician computing device 110 and patient computing device 120, the therapy analysis engine 104 executing on the pain therapy device 100 adjusts the applied personalized pain therapy accordingly.", "For example, inputs received via a patient feedback interface 111 presented on physician computing device 110 or patient feedback interface 121 presented on patient computing device 120 may indicate a pain relief score from a value of 0-10, with 10 indicating the most intense pain and 0 indicating no pain.", "It should be understood that other values or indications could be used, such as emoticons, descriptive text, color, or a selection on a continuum.", "As the personalized pain management therapy is applied via applicator 124, a pain relief score may be received indicating a low measurement of pain.", "A satisfactory application of personalized pain management therapy can be based, for example, on reduction of a pain relief score by a certain pre-programmed threshold.", "In response, the therapy analysis engine 104 may adjust the personalized pain management therapy by adjusting the dosages and intensities of physical therapies to accommodate for lesser pain.", "The feedback comprising the pain relief score may also be received directly on a user interface on pain therapy device 100.For example, memory 101 of patient therapy device 100 may include program code for executing a patient feedback interface 107 used to receive feedback to the therapy from the patient.", "In further embodiments, the therapy analysis engine 104 adjusts the personalized pain therapy in response to physiological measurements detected via one or more sensors coupled to pain therapy device 100.For example, a physiological data collection engine 102 executing in memory 101 of pain therapy device 100 may receive information on the blood-oxygen levels, rate of blood flow, and tissue thickness from connected sensors.", "The personalized pain management therapy may include instructions on applying physical therapies at specific dosage levels and adjust the applied physical therapies in response to changes in physiological measurements.", "For example, the therapy analysis engine 104 may apply a combination of electrical stimulation at a certain intensity level, heat stimulation at a certain temperature, and ultrasonic pulses until a physiological responses indicate a blood flow of a certain rate.", "Once the specified blood flow rate (or blood oxygen levels) is achieved, pain therapy device 100 may apply physical therapies with different intensity levels/temperature values.", "This process continues until the pain management therapy is complete (e.g., the prescribed physical therapies are fully administered).", "In additional embodiments, patient symptoms and demographic information on the patient (i.e.", "user of pain therapy device 100) may be compared with analytics information stored on multiple other patients to determine the personalized pain management therapy.", "The analytics data is stored on a patient analytics database 132 in server system 130 and comprises patient information compiled on numerous other patients.", "For example, the stored patient information includes data on prior patient demographics, prior patient pain symptoms, the pain management therapies previously applied on the other patients, and the outcomes of the pain management therapies (e.g., indicating satisfactory outcome, unsatisfactory outcome, etc.).", "The analytics data can also include the average pain feedback score that was indicated by the prior patients in response to previously applied pain management therapies.", "In one embodiment, a server system interface engine 106 is a software application or module executing in pain therapy device 100.The server interface engine 106 transmits data comprising the patient information to server system 130.The server system interface engine 106 provides instructions for communicating the patient information to server system 130 and receiving information in response from server system 130.Based on the received patient information, a therapy analysis engine 131 executing on the server system 130 identifies a subset of individuals that have similar characteristics as the user of pain therapy device 100.For example, therapy analysis engine 131 may identify a subset of individuals that are in the same age range, have the same gender, have a similar body mass index as the user of pain therapy device 100, and/or have similar pain symptoms, or any combination thereof.", "The therapy analysis engine 131 may also identify a prior applied pain therapy among the subset of individuals that is associated with a pain therapy outcome indicating reduced pain symptoms.", "For example, the therapy analysis engine 131 may identify a prior applied pain therapy among the subset of individuals that indicates a satisfactory pain management outcome.", "A pain therapy with a satisfactory pain management outcome may include pain therapies that have resulted in reduced pain relief scores by a certain threshold (e.g., 2 points or more).", "A pain therapy with a satisfactory pain management outcome may also be indicated when a health care provider has approved a given therapy or removed a patient who has had a successful result with the therapy.", "A pain therapy with a satisfactory pain management outcome may also be indicated by accomplishment of objective physiological measurements such as reduction in inflammation or improvement in range of motion, improvement in local blood oxygen levels or flushing out toxins in the injured body part or visually observation of parameters such as wound healing or bone healing or any measurable parameters that either directly or indirectly correlate with reduction in pain as deemed appropriate by physician.", "The selected pain management therapy based on the analytics data is transmitted to the pain therapy device 100.Because the received pain management therapy is determined from prior therapies applied on patients with similar characteristics to user of pain therapy device 100, the received pain management therapy is personalized to the user of pain therapy device 100.In another embodiment, the pain therapy device receives the analytics data on other patients from the server system 130.The therapy analysis engine 104 executing in pain therapy device 100 uses the received analytics data to select the subset of individuals that have similar characteristics as the user of pain therapy device 100.In this embodiment, the therapy analysis engine 104 identifies a personalized pain management therapy that, from the analytics data, indicates prior satisfactory outcome (e.g., reduction in pain symptoms).", "The analytics data is depicted as stored in patient analytics database 132 in server system 130 for illustrative purposes.", "In other embodiments pain therapy device 100 stores the analytics data.", "FIG.", "2 depicts a block diagram illustrating the logical components of pain therapy device 100.Pain therapy device 100 includes a micro-controller unit (MCU) 210.MCU 210 includes processing devices such as an application specific integrated circuit (ASIC), or any general-purpose processor.", "MCU 210 can include logic for connecting to external interfaces 250-264.For example, MCU 210 includes an audible transducer 250, an LCD interface 252 (or interface for any other type of user interface device), a reset switch 254, an RS232 port 256, an Inter Circuit Serial Programming (ICSP) and Joint Test Action Group (JTAG) port 258, a Bluetooth interface 260, a WiFi interface 262, and a network interface 264 (e.g., an Ethernet port).", "The MCU 210 is also coupled to sensors for receiving physiological measurements from the user of pain therapy device 100.Said sensors include temperature sensor 230 (for measuring the body temperature of the patient at the point of contact of the applicator 124, blood flow sensor 232 (for measuring the blood flow rate at the point of contact of the applicator 124), oxygen sensor 234 (for measuring the blood-oxygen level at the point of contact of the applicator 124), tissue thickness sensor 236 (for measuring the thickness of the tissue at point of contact of applicator 124), and an applicator surface contact sensor 238 (for detecting if the applicator 124 is in contact with tissue.", "In some embodiments, sensors 230-238 can be included as part of applicator 124 (as shown further below in FIG.", "3).", "The MCU can also include or be in communication with a computer-readable medium storing instructions that, when executed by the MCU 210, cause the MCU 210 to perform the operations described herein.", "For example, the MCU 210 is in communication with memory 101, which includes any suitable non-transitory computer-readable medium.", "The computer-readable medium includes any electronic, optical, magnetic, or other storage device capable of providing a processor with computer-readable instructions or other program code.", "Non-limiting examples of a computer-readable medium include a magnetic disk, memory chip, ROM, RAM, an ASIC, a configured processor, optical storage, magnetic tape or other magnetic storage, or any other medium from which a computer processor can read instructions.", "The instructions include processor-specific instructions generated by a compiler and/or an interpreter from program code written in any suitable computer-programming language, including, for example, C, C++, C#, Visual Basic, Java, Python, Perl, JavaScript, and ActionScript.", "The program code includes one or more of a physiological data collection engine 102, therapy analysis engine 104, server system interface engine 106, and patient feedback interface 107.The MCU 210 can also be communicatively coupled to control inputs 222-234 for controlling the characteristics of the pain management therapy as applied by applicator 124.Control inputs 222-234 include a heat switch 222, cold switch 224, ultrasound switch 226, ultrasound intensity control 228, ultrasound duty cycle 230, electric stimulation switch 232, and infrared switch 234.Ultrasound switch 226, ultrasound intensity control 228, and ultrasound duty cycle control 230 (which control the respective characteristics of the ultrasound pulses that are part of the pain management therapy) are fed to ultrasound power amplifier 236.Ultrasound power amplifier 236 provides necessary amplification of the signals before driving the ultrasound output of applicator 124.The output from electric stimulation switch 232 can be fed to electric stimulation circuit 238 before driving the electrical characteristics of applicator 124.Similarly, output from infrared switch 234 can be fed to infrared circuit 240 before driving infrared output of applicator 124.Via heat switch 222 and cold switch 224, temperature characteristics of applicator 124 can be specified.", "The output of heat switch 222 and cold switch 224 for controlling the temperature characteristics are provided to applicator 124.In some embodiments, the control inputs 222-234 can be coupled to MCU 210 via an I2C expander port 220.A medical grade power supply 202 can provide the power requirements to the components herein.", "For example, medical grade power supply 202 provides power to ultrasound power amplifier 236, applicator 124, electric stimulation circuit 238, and infrared circuit 240.FIG.", "3 illustrates a representation of the various components present in the applicator 124, as shown as different layers.", "The sequencing of the various layers could be altered and does not necessarily have to be in the order shown in FIG.", "2.Further, multiple layers/components of the applicator 124 could be embedded into a single layer or form physically distinct layers.", "The control inputs 222-234 provide the electrical signals that control the various layers of applicator 124.The layers for applicator 124 can be placed on the surface area of the tissue of the patient for whom the pain management therapy is applied.", "Applicator 124 can include a heat sink component 302, which measures the temperature of the tissue on which the applicator 124 is applied.", "The thermoelectric element component 304 applies the hot or cold temperature as specified by heat switch 222, cold switch 224, and the specific temperature as set by MCU 210.The applicator 124 can also include a PCB & LED component 306 that can display.", "The PCB inside the applicator integrates the thermoelectric elements, sensors, ultrasonic transducer and TENS electrodes and simplifies the connectivity.", "The PCB is also capable of connecting to any additional sensors or thermal energy generating transducers integrated into the applicator (e.g., blood flow detection sensor, infra-red radiation source etc.).", "The LED component visually indicates the status of applicator such as ON or OFF (especially, for the convenience of care providers).", "In some embodiments, the applicator 124 can also include built in sensors 308, which include one or more of the temperature sensor 230, blood flow sensor 232, oxygen sensor 234, tissue thickness sensor 236, and applicator surface contact sensor 238.Some of the described sensors could be used to characterize several musculoskeletal characteristics, such as tissue healing and/or bone healing properties.", "Applicator 124 can also include a substrate component 310 and/or electrode component 311 for applying the electrical impulses as driven by electric stimulation circuit 238.Applicator 124 can also include an ultrasound transducer for applying the ultrasound impulses driven by ultrasound power amplifier 236.In some embodiments, the sensors 308 measure the substrate 310 and/or electrode 311 temperature and send the temperature to the MCU 210.The sensors 308 could gather a variety of medically useful/diagnostic data related to treating pain, healing fracture bones, and may help monitoring the progress of healing or administering right dosage for a given therapy as defined.", "The ultrasound transducer 312 can be utilized by the therapy analysis engine 104 to identify the thickness or depth of the tissue based on ultrasound reflection intensity measured.", "This kind of sensing feature helps provide for the inclusion of safety features regarding the device usage on different parts of the body (e.g., it is possible to prevent the usage of the device on the head or skull of the patient).", "The sensing feature also enables the determination of physical dosage to be delivered to a targeted area.", "FIG.", "4 is a flowchart depicting an example process 400 for applying a personalized pain management therapy based on stored analytics data on numerous other prior patients and adjusting the applied therapy based on physiological feedback.", "This is conceptually similar to a flow chart that could be used for rapid/personalized healing of fractured bones.", "The process 400 may be performed by one or more of the components listed in FIGS.", "1-3 or in any suitable computing and/or communication environment.", "The process 400 involves receiving patient information specifying patient demographic data, patient physiological data, and patient pain symptoms, as shown in block 410.Demographic data can include information on patient such as age, gender, body weight and BMI, race, and geographic information.", "Patient pain symptoms include descriptions of patient pain symptoms and indications of pain.", "For example, patient pain symptoms can include a graphical indication of a body part (e.g., by selection of an area of a body on a touch screen) to show areas of localized pain, textual descriptions of the areas of localized pain, and a numeric pain relief score indicating the severity of the pain at the specified location.", "Pain symptoms can also be more or less detailed.", "All common chronic pains and musculoskeletal pains such as back pain, neck pain, shoulder pain, arthritis, muscle spasms, tendonitis, bursitis, sports injuries are either visually or verbally represented.", "Any other form of pain that requires diagnosis by qualified physician (e.g., fibromyalgia) or that cannot be treated using pain therapy device (visceral pain, especially involving cutting, burning and/or involving internal organ damage) will not be included in the system as a default.", "Patient physiological data includes physiological measurements of a measured tissue area.", "Physiological measurements include, for example, the measured rate of blood flow, blood-oxygen levels, tissue depth, tissue composition, tissue temperature, bone strength, callus stiffness, acoustic emissions/reflections from fractured/partially healed/fully healed or normal/healthy bones, ultrasound velocity and attenuation across a healing fractured bone and other measurements.", "The patient information may be received via inputs in physician interface application 112 in physician computing device 110 or patient interface application 122 in patient computing device 120 and transmitted to pain therapy device 100.In other embodiments, the patient information can be directly entered in pain therapy device 100 via a user interface on the pain therapy device 100.While the pain therapy device 100 can process the patient information to determine the personalized pain management therapy, in other embodiments, the patient information is transmitted to server system 130.Process 400 also includes comparing the received patient information with pain analytics data compiled on a plurality of individuals to determine a recommended pain management therapy, as shown in block 420.For example, the server system 130 includes a patient analytics database 132 that stores the pain analytics data on numerous prior patients.", "The pain therapy device 100 can transmit the patient information to the server system 130 for the comparison.", "In other embodiments, the pain therapy device 100 can receive the analytics data from the server system 130 via network 115 for the comparison.", "Therapy analysis engine 104 (executing in pain therapy device 100) or therapy analysis engine 131 (executing in server system 130) compares patient information with the pain analytics data to determine a subset of individuals with similar characteristics as the patient as explained above with respect to FIG.", "1.Additionally, therapy analysis engine 104 or therapy analysis engine 131 identifies the recommended pain management therapy that previously depicted a satisfactory outcome (e.g., by lower received pain relief scores from other patients, from objective measurements of physiological data indicating lesser pain symptoms).", "As shown in block 430, the recommended pain management therapy is applied via an applicator device 124.For example, the applicator device 124 provides one or more electroceuticals, or thermoceuticals, or ultrasound, or any combination at a specific frequency, intensity, and/or duration as specified by the recommended pain management therapy.", "Note in some aspects, the recommended pain management therapy can be overridden and manually input via inputs received from physician computing device 110 or patient computing device 120.After application of the recommended pain management therapy (which is initially based on the analytics data on pain management therapies applied on other patients), the pain therapy device 100 can further adjust and personalize the therapy based on feedback from the patient.", "As shown in block 440, process 400 further involves receiving, from one or more sensors, updated physiological data reflecting changes in the patient physiological data, and subjective patient feedback (in the form of pain score) in response to the applied recommended pain management therapy.", "For example, as the recommended pain management therapy is applied by applicator 124, sensors 230-238 measure changes in the temperature, blood flow rate, blood-oxygen levels, and tissue thickness resulting from the therapy.", "The sensor data can be received via a physiological data collection engine 102.The changes in the physiological data in response to the applied pain management therapy can be considered objective feedback to the pain management therapy.", "Note that while the type of feedback in response to the applied pain management therapy described in block 440 is feedback from physiological data, other types of feedback are also possible.", "For example, the pain therapy device 100 can receive subjective feedback from the patient in the form of a patient relief score (e.g., a numeric value from 0-10) as discussed above with respect to FIG.", "1.The therapy analysis engine 104 can adjust the applied pain management therapy (or bone healing therapy) in response to the changes in physiological data (or in response to other feedback), as shown in block 450.For example, the applied voltage or current of the electrical stimulation, the temperature of the heating element, or the intensity of the ultrasonic pulses can be adjusted based on the changes in the physiological data or sensor data or based on patient feedback.", "Probably, the pain relief scores from patients in conjunction with objective physiological data (whenever available) could be used to adjust or titrate the dosage of the physical medicine involving single or combination of therapies.", "For example, the thermoceutical dosage may be defined by three parameters, i.e., intensity (e.g., temperature range; frequency, intensity and duty cycle in case of ultrasound), energy exposure time, and course duration for a given tissue thickness or composition (or BMI).", "If the physical medicine dosage delivered does not increase the tissue temperature or raise the deep tissue temperature in stipulated time frame and/or for sustained time period, and/or do not provide pain relief to the patient, one could consider the dosage delivered is sub optimal.", "At present, our understanding about how distinct physical modalities or their combination therapies at what dosage levels provide efficient pain relief is relatively limited.", "Further complexity stems from the fact that pain is a subjective personal experience, and the physiology of individual is different from each other and the way each individual responds to given therapy is unknown.", "If a patient does not respond to a particular electroceutical or thermoceutical pain therapy, it is not generally implied that the given therapy does not work; it only implies that given therapy is not appropriate for that patient.", "Therefore, it is important to identify the patterns from various therapies provided to patients with diverse backgrounds and profiles to develop a personalized pain therapy.", "Typically, the dosage delivered will always be within the safety limitations as outlined by data published in the literature, the dosages will be titrated based on positive response from patients (i.e., lower pain scores).", "Once adequate data is accumulated from patient responses, it is possible to correlate pain relief (or rate of bone healing) measured in response to given physical medicine dosage with quantifiable physiological data or body reflex related measurements (e.g., increase local blood flow of injured body part, thermal imaging, tissue temperatures, blood oxygen levels, sensitivity to pressure etc.)", "and constitutes one of the inventive aspect of this innovation.", "As shown in block 460, the therapy analysis engine 104 makes a determination as to whether the updated physiological data indicates reduced pain symptoms (or faster bone healing).", "For example, the physiological changes in treated body part may include vasodilation, vasoconstriction as quantified by blood flow volume or velocity or by variation in blood oxygen levels or simply by measurement of tissue temperature or measurement of pressure sensitivity to pain (using algometer) or it could even include identification/measurement of certain cellular or molecular changes or electrical signals caused in response to external stimulation.", "If the updated physiological data or patient feedback indicates reduced pain symptoms (it is possible that subjective and objective evaluation results may not converge, the patient feedback coupled with statistics may precede physiological measurements), the patient information, patient feedback, the updated physiological data, the recommended pain management therapy, and the adjusted pain management therapy are stored in the analytics database, as shown in block 470.For example, physiological data indicating reduced pain symptoms can be considered a satisfactory application of the pain management therapy.", "To add to the pain analytics database, the server system interface engine 106 executing on pain therapy device 100 can transmit one or more of the patient information (indicating the initial set of physiological measurements), the updated physiological measurements, the recommended pain management therapy (as derived from the pain analytics database), and/or the adjusted pain management therapy (as adjusted based on this individual patient's physiological responses) to the server system 130.The server system 130 stores the received information in patient analytics database 132.If the updated physiological data and/or patient feedback does not indicate reduced pain symptoms, a different pain management therapy may need to be selected.", "In response to the lack of reduction of pain symptoms, the patient information, updated physiological measurements, recommended pain management therapy, and adjusted pain management therapy are first stored in the analytics database, as shown in block 480.This adds to the database and indicates a therapy that does not necessarily work for patients with characteristics similar to the user of pain therapy device 100.The process 400 then returns to block 420, where the therapy analysis engine 104 compares the patient information with pain analytics data to determine a different pain management therapy.", "The process 400 continues until a satisfactory pain management therapy is found.", "Any suitable computing system or group of computing systems can be used to implement the physician computing device 110 and the patient computing device 120 described in FIG.", "1.For example, FIGS.", "5-6 are block diagrams depicting examples of implementations of such components.", "As shown in FIG.", "5, the physician computing device 110 includes a processor 1002 that is communicatively coupled to a memory 1004 and that executes computer-executable program code and/or accesses information stored in the memory 1004.The processor 1002 comprises, for example, a microprocessor, an application-specific integrated circuit (“ASIC”), a state machine, or other processing device.", "The processor 1002 includes one processing device or more than one processing device.", "Such a processor is included or may be in communication with a computer-readable medium storing instructions that, when executed by the processor 1002, cause the processor to perform the operations described herein.", "The memory 1004 includes any suitable non-transitory computer-readable medium.", "The computer-readable medium includes any electronic, optical, magnetic, or other storage device capable of providing a processor with computer-readable instructions or other program code.", "Non-limiting examples of a computer-readable medium include a magnetic disk, memory chip, ROM, RAM, an ASIC, a configured processor, optical storage, magnetic tape or other magnetic storage, or any other medium from which a computer processor can read instructions.", "The instructions include processor-specific instructions generated by a compiler and/or an interpreter from code written in any suitable computer-programming language, including, for example, C, C++, C#, Visual Basic, Java, Python, Perl, JavaScript, and ActionScript.", "The physician computing device 110 also comprises a number of external or internal devices such as input or output devices.", "For example, the physician computing device 110 is shown with an input/output (“I/O”) interface 1008 that receives input from input devices or provide output to output devices.", "A bus 1006 is also included in the physician computing device 110.The bus 1006 communicatively couples one or more components of the physician computing device 110.The physician computing device 110 executes program code that configures the processor 1002 to perform one or more of the operations described above.", "The program code includes one or more of the patient feedback interface 111 or the physician interface application 112.The program code is resident in the memory 1004 or any suitable computer-readable medium and is executed by the processor 1002 or any other suitable processor.", "In additional or alternative embodiments, one or more modules are resident in a memory that is accessible via a data network, such as a memory accessible to a cloud service.", "The physician computing device 110 also includes a communication interface 1010.The communication interface 1010 includes any device or group of devices suitable for establishing a wired or wireless data connection to one or more data networks 115.Non-limiting examples of the communication interface 1010 include an Ethernet network adapter, a modem, and/or the like.", "The physician computing device 110 transmits messages as electronic or optical signals via the communication interface 1010.Similar to the physician computing device 110, the patient computing device 120 also includes a processor 1102, I/O interface 1108, communication interface 1110, and memory 1104 communicatively coupled via a bus 1106.The memory 1104 includes non-transitory computer-readable memory as described above and stores program code defining operations of the patient computing device 120.The processor 1102 executes the computer-executable program code and/or accesses information stored in the memory 1104.For example, the patient computing device 120 includes memory 1104 that stores program code for the physician interface application 121 and the patient interface application 122.The following paragraphs describe further illustrative embodiments for the pain therapy device 100.There may be provided a pain therapy device that is useful in treating various physiological conditions.", "The device may include a controller, sensors and an applicator.", "The applicator generally features a plurality of transducers that produce electrical, heat, cold and ultrasound energies respectively.", "The controller provides the required electronics to control energies produced by the transducers.", "The controller is configured to modify the intensity, timing, or duration of the different energies, which are applied over the treatment area (skin) over the feedback control signal obtained from the sensors.", "Both the controller and the applicator may be cooperate either by wired communication or can communicate wirelessly.", "They may be integrated into a single product.", "The pain therapy for use herein can carry out therapeutic treatment using the lowest possible energy intensities in order to achieve a therapeutic effect.", "One or more of a combination of electric stimulation (electroceuticals), thermal stimulation (thermoceuticals via application of heat, cold) or ultrasound (pulsed or continuous), when applied to the treatment area in a systematic manner, has been demonstrated to show synergistic effect leading to better and faster therapeutic relief Superficially applied heat follows a top-down heating mechanism through conduction and heat may not get transferred beyond top 1 cm to 2 cm depth of the tissue (note that skin and tissue beneath it are poor thermal conductors).", "On the other hand, ultrasonic energy can heat up both surface and deep tissue (from 1 cm to 5 cm) easily as the acoustic energy absorbed by the tissue gets converted into thermal energy.", "Because of these fundamentally distinct modes of heating (conductive heating vs. converted heating), use of ultrasound and superficial heat combination rapidly creates a thermal gradient across the treated tissue leading to significantly higher blood flow rates quickly unlike conventional single form of thermal energy based therapies.", "Therefore, it is possible to provide improved oxygen supply to injured body part for sustained periods of time, and flush out unwanted inflammation causing chemicals to provide rapid and sustained pain relief Cold also follows a top-down thermal conduction, where cooling of the dermal and sub-dermal layers (top 1 cm to 2 cm) shows several beneficial effects with regard to treating acute injuries.", "Cold can decrease cellular metabolism and causes vasoconstriction of the blood vessels in the area and decreases the inflammation in the area and thus the associated pain and swelling.", "In combination with ultrasound, cold may provide an additive effect.", "For example, combination of cold and ultrasound energies together can create an inverse thermal gradient for improved blood flow, that is, the surface temperatures of the top most tissue layer will be below body temperature, while the deep tissue temperatures will be above body temperature.", "Indeed, such an inverse thermal gradient, which is practically impossible to accomplish from conventional thermal therapies may be extremely useful for safely heating deep tissue to 45-70° C. rapidly for shorter time periods for face lifting and cosmetic applications.", "Providing these energies in a controlled pulsatile manner can help reduce the risk of adverse effects, while still achieving the desired therapeutic effect.", "Because a larger volume of dermal and sub-dermal tissues can be exposed to the energies when used in combination, especially thermal energy and ultrasound, there may be increased blood flow around the treatment area providing fresh oxygen and nutrients, and increasing subsequent tissue metabolism.", "Similarly, the combination of electric stimulation (e.g., TENS) and thermal stimulation may offer synergetic benefits both in terms of improving local blood flow and efficiently masking pain signals.", "Therefore, combination therapies not only account for individual patient related physiologies, but also provide an opportunity to precisely fine tune or titrate body reflexes across the deep tissue to provide rapid pain relief and other related therapeutic benefits (e.g., faster tissue regeneration giving relief from pain, wound healing, blocking pain sensation reducing pain, inflammation, spasms, and other side effects).", "In one example, pulsed heating may be synchronized with pulses of pressure waves such that both pulses reach the target simultaneously.", "In another example, pulsed cooling may be synchronized with pulses of pressure waves such that both pulses reach the target simultaneously.", "In another example, pulsed heating is followed by pulsed cooling and both are synchronized with pulses of pressure waves such that both pulses reach the target simultaneously.", "In another example, TENS, ultrasound and surface heating can be synergistically applied to treat the entire cross section of the tissue.", "In another example, TENS, ultrasound and cold could be synergistically applied to accomplish targeted goals.", "The recent scientific advances made in medicine us realize that personalizing the medication or therapies is critical for achieving efficacious therapeutic benefits across the diverse population.", "The current approaches of using physical medicine indiscriminately across the various patient population leads to application of inconsistent therapies, and dosages and results in inefficient or inferior therapies, which may be randomly successful or unsuccessful.", "For example, the tissue thickness of a 300 pound person is different from 100 pound person, and so is the composition of the tissue, but if one applies electroceuticals or thermoceuticals identically to both these weight groups, the results may not be identical—after all, the applied energies are identical, but not the injured body parts.", "Further, the pathophysiology of each individual is different, and it is difficult to provide universal medicine for pain without personalizing it, especially physical medicines that do not cause adverse side effects.", "One more complex problem associated with pain is that patients' opinions/perceptions regarding pain are subjective and cannot be measured independently/objectively.", "Patients' perceptions about pain (especially chronic pain) may dynamically change; their response to a particular therapy may also change either positively or negatively over a period of time.", "Therefore, personalization of precisely dosed combination therapies accounting patient feedback provides an unprecedented opportunity to treat pain safely and efficiently.", "FIG.", "7 shows a schematic block diagram of an exemplary pain therapy device.", "This device may be used with the treatment methods described herein.", "The device includes a controller and applicator.", "The power is provided by a battery or capacitor of a suitable power supply unit.", "The controller includes a microprocessor unit and controls a plurality of electronic circuit modules for the generation of different energy, such as thermal energy (0 to 70° C., more specifically from about 0-50 ° C.) and ultrasound (0.2 to 20 MHz, or in other examples from about 0.1 to about 10 MHz).", "Alternatively, or in addition, the controller may deliver electro stimulation to the body in a post or continuously in the frequency range of about 1-200 Hz, pulse amplitude in the range of 0 mA to 500 mA, pulse width in that 1 micro second to millisecond range.", "The controller may be programmed for the duration, switching sequence and intensity of each of these energies.", "The applicator may include transducers capable of delivering heat, cold and ultrasound and/or electro stimulation to the skin/treatment area.", "The applicator also features a plurality of sensors for the purpose of feedback and control.", "The sequencing of the various layers could be altered and does not necessarily have to be in the order as shown in figures.", "FIGS.", "8A and 8B show the schematic cross sections of different possible pain therapy device designs.", "Controller (1) comprises of electronic circuitry (3), rechargeable battery (4), on/off switch (6) and an LED indicator (5).", "FIG.", "8A illustrates the integrated design where both the controller and applicator makes a single unit.", "Whereas FIG.", "8B illustrates the two piece design in which both the controller and applicator are two separate entities and are connected through a wire/wireless.", "FIGS.", "9A to 9C, FIGS.", "10A and 10B, FIGS.", "11A and 11B, FIGS.", "12A and 12B, and FIGS.", "13A and 13B illustrate schematic cross sections of different exemplary applicator designs.", "The applicator generally includes an ultrasound transducer (8), heat/cold transducer (10), insulation layer (9), temperature sensors/PCB (11), top cover (7) and bottom cover.", "In some embodiments, applicator may also include internal PCB, multiple sensors, and electrodes.", "In some of the embodiments this also includes side support (13).", "In some embodiments the ultrasonic energy from applicator is transferred into the body through conducting media.", "U.S. Pat.", "No.", "9,710,607 provides more detail about these devices, the entire disclosure of which is incorporated herein by reference.", "In one example, the power source used to operate the device can be a rechargeable battery, an AC power adapter and/or a capacitor.", "The input power unit may be configured for delivering current of range 0.1 mA to 4000 mA and delivering potential of range 2V to 120V to deliver multiple therapies at different currents or voltages.", "For example, the current and voltages used for accomplishing, heat, cold, ultrasonic and TENS (or IFT, interferential therapy) energies may be different.", "The device can include a plurality of circuit blocks configured and connected to various transducers for converting the input energy to heat, cold and ultrasound.", "This section may have a switch to keep the device in on/off/standby mode to conserve energy when not in use.", "The standby function is optionally automated based on the program in the controller.", "A controller can be provided for containing the required software for operation.", "The controller also interfaces with the detecting transducers for the required computation for quantifying the detected values.", "When various forms of energy are supplied to the treatment area via a combination effect of control signals, the controller and the transducer can supply pulses with a minimum duration of 1 millisecond or more.", "The controller may be further configured/programmed for altering the outputs on the basis of the feedback signals provided by the sensors disposed over the said applicator.", "Based on the settings and the program running in the controller, the exact temperature and duration of application of heat or cold or electric treatment or ultrasound can be precisely controlled by this circuit block.", "Various durations of temperature and frequencies are possible.", "The applicator can have an ultrasound sound transducer and heat and/or cold transducers or TENS (IFT) electrodes along with sensors that gather key diagnostic and therapy related information.", "As shown in FIGS.", "9A to 9C, 10A, 10B, 11A, 11B, 12A, 12B, 13A and 13B illustrate, these transducers may be aligned one above the other.", "In one example, the ultrasound transducer may be positioned above the heat/cold transducer, or the ultrasound transducer can surround the heat/cold transducer and vice versa, or the transducers can be side by side, or the design may be an irregular design to utilize the produced energies efficiently and treat the physiological condition very effectively.", "The applicator may also have temperature sensors placed on or around the heating/cooling element to measure the temperatures and send the information to the controller through feedback loop mechanism to maintain constant exposure temperatures.", "The sensors can be configured for feedback control of the device.", "For example, the sensors can provide control signals to the controller for the optimized and efficient use of the different energies to be applied over the treatment area.", "The sensors (which may include ultrasonic transducers that have dual function as sensor and therapeutic agent) can provide additional information regarding blood flow, tissue thickness, tissue composition, tissue image, bone strength, callus stiffness, blood oxygen levels, pain-pressure sensitivity, applicator contact with body and so on.", "This can reduce the power usage of the power source/battery and allow for prolonged use without repeated charging.", "In use, a gel or other material may be positioned on a patient's skin.", "This may help the ultrasound, electrical stimulation and any other form of physical medicine that needs an efficient conductive media to transfer energy into the body.", "For example, thermal energy from ultrasound can only be transferred efficiently through a conductive (electrically or thermally or acoustically) gel, while thermal energy from superficial heating may be transferred directly into the body without including any additional conducting medium.", "The electrical stimulation also requires conductive gel pads to pass electric current into the body and in between the electrodes.", "Further the applicator may also require an adhesive tape to attach it to the body.", "It is also possible that the adhesive pads could act as conductive elements, or vice versa.", "The gel could be applied in the form of liquid, semi-solid, solid, suspension or slurry.", "The applicators can also be attached to the body through strap mechanism.", "Therefore, the applicator may come in direct contact with the body partially or fully through an additional conducting medium as required or applicator may come in contact with skin without any conductive medium, if applied therapy do not require such a medium.", "In an exemplary embodiment, the method can include directing ultrasound waves at a tissue and heating the tissue with thermal energy.", "The thermal energy pulses may be synchronized to arrive at the tissue simultaneously with the ultrasound waves within a preset range, and the heat of each thermal energy pulse can be dissipated in an environment that includes the tissue before a subsequent thermal energy pulse arrives at the tissue.", "The deposited heat energy per pulse, the number of pulses, and the repetition rate of the pulses may be determined by a processor according to the temperature and the heat dissipation capability of the tissue.", "The electrical energy can be delivered continuously or in pulses at low frequency (2-20 Hz) or high frequency (20 Hz to 200 Hz) in combination with pulsed or continuous thermoceuticals.", "Therefore, the above-described intelligent pain management computer systems and methods for managing pain therapy may be implemented using a variety of pain therapy devices or physical medicine delivery systems described herein.", "Additionally or alternatively, the method can include directing electro stimulation at the tissue as well.", "The compact design of the disclosed device can give a patient the freedom to use the device on the move and/or at home, reducing the clinic visits.", "The device can be used/placed on any part of the body and also for any ailment that will respond to these energies.", "To provide further explanation, the following examples are provided as additional illustrative embodiments and use cases for the computer-implemented pain management system disclosed herein.", "The present disclosure provides, in one aspect, a pain therapy device for delivering at least one form of physical medicine having a microprocessor and wired/wireless networking capability that communicates with mobile or smart phones or computers either through physical connectivity or remotely (e.g., via Bluetooth or wife) and enables users to manage and track therapeutic treatments (e.g., pain therapy).", "In one implementation, the application includes software that is stored on a memory of the mobile therapeutic device and is executed by the processor.", "The present disclosure also provides a solution for efficiently managing pain without drugs and invasive procedures, by delivering one or more forms of physical therapies either individually, sequentially or in combination.", "The physical therapies are compliant and can be self-administered conveniently, safely and cost effectively.", "Further, the present disclosure provides a method for connecting patients with the health care providers.", "In some examples, it enables them to communicate the progression or regression of the therapy as they experience it along with measurable feedback, compliance data, sensor data, if any.", "It also allows them to receive a safe, compliant and efficient therapy.", "The present disclosure also provides a method employing an intelligent means for delivering physical medicine to a plurality of patients, with an option from a remote location, comprising the steps of: (i) providing each of the patients with a personal pain therapy device(s) capable of providing one or more forms of physical medicine to patients on demand (at home or at doctor's office); (ii) providing a microprocessor associated with therapy device capable of controlling physical medicine delivery and simultaneously send and receive the information over network(s) to suggest or carry out preferred prescription(s), monitoring and compliance related activities; (iii) providing the usage information, sensing information and compiling the status report of the said therapy device(s) via a network(s); (iv) providing a quantified patient response measurement after each compliance of prescription that is transmitted to the remote computing system for a report; and (v) providing an analysis algorithm on the local or remote computing system for processing the data collected or monitored from the device, sensors, and patients for formulating an automated prescription, modifying a prescription, and assessing the therapy compliance and thereof In a sense, the most efficacious therapy is identified akin to clinical trials, by comparing and contrasting and conducting statistical analysis of various therapy related parameters.", "In one embodiment of the present disclosure, a repository database gathers and stores the information regarding individual or collective patient profiles, demographics, disease symptoms, diagnosis, prescription, sensing data, physiological data, device usage/compliance and quantified response from patient and/or health care provider manually, semi-automatically, or automatically over the network.", "If the prescribed therapy does not lead to satisfactory results in a given time frame as indicated by the patient feedback, an analytical algorithm recommends alternate therapies involving same physical medicine with different dosages or alternate physical medicine or combination of physical therapies as defined by the rules for providing various physical therapies until the patient experiences most efficacious therapy as indicated by his/her feedback.", "Further, the algorithm with access to wider patient database comprising above information, can have the ability to compare the pain symptoms or diagnosis or profile or demographics of the patient to be treated with those in the database and thereby identify the best possible prescription(s) or dosage of physical medicine such that the therapy administered can lead to efficacious therapy.", "In another embodiment of the present disclosure, the pain therapy device provided is a wearable pain therapy device that is compact, convenient, and is capable of providing a combination of physical medicine for efficacious and sustainable pain relief.", "In another embodiment of the present disclosure, the disclosed system intelligently personalizes pain therapy by electronically connecting the pain therapy device to a physician computing device, enabling the health care provider to receive and view the feedback from the patient seamlessly and search a network-based database comprising data on numerous pain patients along with their patient profiles (i.e.", "indicating patient demographic and other information), physiological responses to the therapies, and pain relief scores received in response to the various physical medicine therapies administered.", "The disclosed system thereby allows the physician to identify data/statistics driven preferred therapeutic options for personalizing the pain therapy.", "The system provides reports and/or graphs of the recorded data such that the compliance and progression of the therapy is monitored, trends are identified, prescription rules are framed or the outcome of the therapy is correlated with the therapy or therapies administered in conjunction with multiple patient profiles with related symptoms, therapies, patient feedback, diagnosis and/or sensing data such as vital signs, tissue temperature, blood flow in painful part of the body etc.", "for further evaluation.", "In another embodiment, the present disclosure provides a software application (e.g., a mobile application or other program that is executable by a portable device having a processor) that includes a therapy tracking feature that enables patients to record and review various parameter data related to applied pain management therapies.", "The parameters may include testable and quantitatively measureable aspects of certain symptoms associated with the therapy.", "For example, a symptom of pain may be recorded on a recurring basis (e.g., daily) by the patient ranking the extent of the pain on a fixed scale, such as 0 (for no pain) to 10 (severe pain) or 0 (no pain) to 5 (severe pain) as defined (one could also use painful and smiley faces as a graphical user interface to quantify the pain).", "The disclosed software application can provide reports and/or graphs of the recorded data such that trends may be identified, or such that the outcome of the therapy is correlated with the therapy or therapies administered in conjunction with the patient profile and/or physiological data such as vital signs, tissue temperature, blood flow, blood oxygen level, tissue thickness, tissue imaging etc.", "for further evaluation.", "Some of the parametric values graphed/tabulated or stored include, level of compliance, progression/regression of the therapy, patient profile, demographics, physical modality/dosage administered, and physiological data captured from sensors.", "The progression or regression of the pain management therapy may be tracked in response to the historical data being requested; such tracking may include retrieving at least one of the parameters from the repository, and displaying the retrieved parameter in a tabular and/or graphical format.", "The tracking of pain management therapy progress and on demand/automated prescription or suggestion options can be tailored (e.g., made compliant with any governing law).", "In some embodiments, the patient can request the prescription and the history related to his/her treatment can also be revealed/shared with the patient.", "In another embodiment of the present disclosure, the software application includes a calendar/timing feature for scheduling administrations of the pain management therapy treatments and/or appointments, or ensuring the compliance of the prescription provided.", "For example, if treatment involves administration of a pain management therapy on a recurring basis, and the calendar feature allows the patient to schedule such administrations and may include reminders.", "Similarly, if the patient does not follow the prescription or misses a dosage, the event can be recorded and evaluated as part of the comprehensive therapy review by the physician.", "In another embodiment of the present disclosure, the software application provides information related to the pain management therapy and/or ongoing treatments, which is viewable on the communicating device.", "The information may include news, company alerts, and/or the parameters related to the therapy outcome.", "In some embodiments, the information is received on demand remotely or stored in the memory.", "For example, each of the news items may include a news story and/or a company alert.", "The said method may also include communicating, through the network interface, one or more messages between the patient and a case manager.", "Any one of the messages may be an address change notification, an insurance notification, and/or a vacation notification.", "In yet another embodiment of the present disclosure, the software application provides a support feature that enables the user to communicate with, for example, a health care provider, a physician or care manager or head nurse.", "In other examples, the user may use the support feature to select a care manager from a list of service providers to ask questions related to the pain management therapy, and/or to request scheduling appointments and other support services.", "According to another embodiment of the present disclosure, a system for managing therapeutic treatment of a disease of a patient by a user is provided, including a communicating device having a processor and a memory coupled to the processor.", "The said communicating device is constructed and adapted to communicate with at least one server.", "A software application is stored on the memory.", "The software application is executable by the processor and comprises a physiological data collection engine for collecting physiological data, a data storage module for storing one or more parameters related to the treatment, a tracking module for tracking the parameters, a therapy analysis engine for determining a personalized pain management therapy, and a server system interface engine for communicating information related to the treatment with a health physician, a company, and/or a case manager.", "In some embodiments, the communicating device may be a smart phone having a wireless network device, or a personal digital assistant having a wireless network device or a computer.", "The information may include news, company alerts, and/or the parameters related to therapy outcome.", "The parameters and/or the information are optionally displayed to the user in an interface of the computing device.", "The software application may also include a calendar module for scheduling treatment administrations and/or appointments.", "The information may include the treatment administrations and/or the appointments.", "The parameters may further include parameter data acquired from a medical test and diagnosis of the patient.", "The parameters may include a parameter type, a parameter value, and/or a test date.", "The parameter type may involve various types of pain symptom such as somatic pain, neuropathic pain, musculoskeletal pains of various body parts, back pain, arthritis (rheumatoid or osteo), elbow pain, bursitis, tendonitis, migraine, headaches, fibromyalgia, post-operative pain, joint pain, tunnel syndrome, tendon or ligament pain, acute injuries; extensibility of collagen tissue, skin lift, skin rejuvenation, facial tightening, decreases in joint stiffness, improvement in range of motion, relief in muscle spasms, increase in global and local blood flow, global and local blood oxygen levels, body/skin temperature, tissue temperature, heart rate, tenderness of the tissue (as measured by algometry), skin pH, vital signs such as blood pressure, body temperature, local metabolism, nerve conduction velocities and so on.", "In another embodiment of the present disclosure, the repository database in a server system stores patient profile.", "The patient profile may include patient information comprising a patient name, demographics, vital signs, patient street address, a patient telephone number, time and date of therapy administration, therapy compliance, and/or a patient e-mail address.", "The server system may generate the messages based on the patient profile, the case manager, and/or a message type.", "The message type may be an address change notification, an insurance notification, and/or a vacation notification.", "In another embodiment of the present disclosure, the repository database at the server system stores various physical therapies administered, the dosage, such as electric stimulation applied (voltage, current, pulsing time, duration), ultrasound (frequency, intensity, duty cycle, duration), heat (temperature [e,g, 25-60° C., in some examples, 25-50° C.], duration, pulsing), cold (0-25° C., duration, pulsing), and combinations involving simultaneous and sequential applications of aforementioned physical therapies with the corresponding parameters that define the physical medicine dosage, exposure intensity, therapy duration.", "In another embodiment of the present disclosure, a system and method are provided that enable entry of the patient profile, pain symptom, and prescription for physical medicine to be administered.", "The entered information is stored in a database for immediate or future reference to personalize the therapy.", "In another embodiment of the present disclosure, a system and method are provided which enable a single device with the capability of delivering more than one physical medicine or modalities or combination of medical devices to deliver multiple physical modalities to targeted tissue such as temperature (heat or cold), ultrasound (e.g., 0.5 to 3 MHz) and electrical stimulation (e.g., TENS or IFT) to a targeted live tissue to provide optimum therapeutic benefits.", "Further, the system may have the capability collect non-invasive sensing information of patient such as vital signs, tissue thickness, tissue images, tissue temperature at different depths, blood flow rates in the skin or in the tissue, blood oxygen levels, pressure point depth or pressure-pain threshold, and skin pH, if required.", "In addition, the system may be configured for retrieving and storing treatment information (including day and time), subject information, sensing information, demographics, billing information and outcome of the therapy session as defined by the subject on a given pain relief score from unsatisfactory to fully satisfied and further capable of communicating with a database located on a server either locally or in cloud.", "In another embodiment of the present disclosure, a system and method are provided which are configured to perform analysis of the compiled or stored data to determine whether the prescribed treatment is compliant, progressing or regressing or needs alteration.", "The system and method may be designed in such a way that it conducts comprehensive analytics regularly on ever increasing data being gathered and intelligently refines its recommendation for prescription or automatically titrates the physical medicine delivery/prescription.", "It may normalize the pain relief score from multitude of patients and may make recommendations for personalized physical medicine, i.e., individual or combination modalities, method, dosage and therapy duration, for individuals or a group or section of demographics and may generate automatic messages regarding pain therapy status.", "In another embodiment of the present disclosure, a graphical user interface for the entry of data (i.e., prior to the treatment) is provided, such as patient profile, demographics, symptoms, diagnosis, pre-existing condition.", "Further, the interface may display information correlating pertinent entry data in a graphical manner, to show the relationship between individual (or a group of) patient profile(s) or demographics, with regard to physical medicine(s) administered and the outcome of the therapy, risk and benefit ratios with respect to any type of clinical application or therapy as warranted to make the therapy safe, personable and efficient.", "The disclosed graphical user interface can also display the population exposures and outcomes, costs and benefits, antecedents and outcomes, causes and effects, and comorbidities of any number of health care scenarios.", "The graphical user interface can provide a graphical representation of the relationship between any such information or events.", "In another embodiment of the present disclosure, a computer readable medium is provided for storing executable instructions for execution by a computer having memory, where medium stores instructions for inputting contact information into a data storage device, transmitting an electronic message based on the contact information, receiving a response, storing the response and a timestamp into the data storage device, storing a time and date of receipt of the response into the data storage device, and querying the database for trends based on the response.", "In some embodiments, the ever increasing amount of information stored in the database with regard to patient profiles, pain symptoms, physical therapies administered, outcomes measured, sensing data gathered, compliance levels monitored would be collectively and intelligently evaluated to propose/recommend a preferred prescription either automatically or upon request to personalize the pain therapy for an individual or classified group.", "The disclosed system is capable of self-correcting/fine tuning the prescription recommendation or optimizing the physical medicine delivery as the data sampling size increases from a broad spectrum of the society.", "In another embodiment of the present disclosure, a medical device is provided which is capable of delivering multiple modes of physical medicine (e.g., electric stimulation, ultrasound, heat and cold either individually or in combination), collecting non-invasive sensing information relevant to assessing the progression or regression of the therapy, connects wirelessly to a database, and transmits the entry data, therapy data, sensing data and the patient feedback, conducts analytics, identifies the trends/correlations, and thereby intelligently recommends the prescription or automatically administers the therapy.", "In another embodiment, a portable and versatile pain therapy device is presented that provides an applicator, a plurality of sensors and an electronic controller powered by a rechargeable battery/power source.", "The said device is capable of producing and applying different forms of energies when placed on the treatment area (the skin).", "The generated energies penetrate through the skin into the deeper layers to produce soothing and palliative effects to give the sense of relief In another embodiment, disclosed is provided a pain therapy device, comprising: an applicator and a controller wherein the applicator is configured for accepting at least one electrical input; a controller configured for providing the intensity, sequence, nature, and timing information for the different energies supplied to the said applicator; and the applicator comprising of plurality of transducers configured for converting input electrical energy to different forms of output energies that are transmitted to the dermal and sub-dermal layers; and a plurality of sensors disposed over the applicator configured for providing a feedback control signal to the controller.", "Further herein disclosed is a method for performing electronic therapy using a portable electronic device comprising the steps of: attaching the device to the skin of the subject; inputting electrical energy from a power source; controlling the electrical energy power input using a controller; converting the electrical energy to different forms of output energy using a plurality of configured circuit blocks; and providing the intensity, sequence, nature, and timing signals for activating therapy using different energies or at least one energy through an applicator; and modifying the intensity, sequence, nature, and timing signals as per the feedback control signals from a plurality of sensors.", "In a further embodiment of the present invention there is provided a feedback control mechanism enabled by a plurality of sensors disposed over the applicator, which provides further control over the application of the different energies to the treatment area.", "The sensors can collect and send the data to the feedback control mechanism of the controller to control various parameters such as temperature, frequency, intensity, input power, time, or any other parameters.", "The data collected can be sent to the feedback loop of the controller.", "In another example, the data collected can be delivered as outlined above with respect to the intelligent pain management system described.", "The device/platform disclosed herein may also be used for intelligent and personalized fracture healing.", "The device may be used to offer low intensity pulsed ultrasound (LIPUS) combination therapies.", "Deliver of LIPUS in combination with thermoceuticals, electroceuticals and bone healing rate determining sensors provides an opportunity for personalizing faster bone healing therapies.", "The disclosed device/software platform can allow easy selection of the appropriate therapy with feedback loop mechanisms, which may involve (automatic) selection of dosage, duration, and any other appropriate features.", "Use of the device in combination with the intelligent data systems described herein can allow a doctor to monitor therapy compliance by a patient.", "The sensor feedback can automatically measure the tissue temperature and the rate of bone healing in response to applied therapy.", "FIG.", "14 illustrates a flow chart that illustrates various patient profile data, sensor data related to physiological parameters, tissue and bone, therapy data and the bone fracture type to be treated.", "This data collected on hundreds/thousands or even millions of patients can be mined to provide personalized treatment for faster/efficient bone healing.", "Disclosed herein is a portable therapy device configured to drive inputs to one or more switches controlling combination treatments comprising a combination of at least one thermoceutical, electroceutical, and ultrasound therapy while measuring physiological, musculoskeletal characteristics related parameters via sensors.", "The device may include one or more applicators.", "It is possible to provide three separate applicators for the therapies.", "It is possible to provide one or more therapies combined into one applicator.", "In a specific example, heat/cold therapy (thermoceuticals) and ultrasound therapy may be provided in a single applicator.", "In another example, heat/cold therapy (thermoceuticals), ultrasound, and electrical stimulation (electroceuticals) may be provided in a single application.", "In one example, an applicator is coupled to the one or more switches and configured to deliver ultrasonic energy in a pulsed or continuous mode, wherein ultrasound frequency ranges from about 0.1 MHz to about 10 MHZ, and wherein intensities range from about 0.01 W/cm2 to about 3.0 W/cm2 in various combinations.", "The same or an additional applicator coupled to the one or more switches and configured to deliver thermoceutical energy in a pulsed or continuous mode, wherein thermal energy ranges from about 0 ° C. to about 50° C. The same or an additional applicator comprising electrodes coupled to the one or more switches and configured to deliver electroceutical energy in a pulsed or continuous in the frequency range from about 1-200 Hz; pulse amplitude 0 mA to 500 mA.", "It is understood that any of the proposed or defined parameters can operate with±20% error margin.", "The device may also feature at least one or more sensors integrated into the same or additional applicators and coupled to a processing device to measure at least one physiological parameter or musculoskeletal characteristics related parameter comprising body temperature, tissue temperature, local blood flow, local blood oxygen levels, local tissue thickness, local tissue composition/structure, bone strength, bone fracture type, callus stiffness, and velocity and attenuation properties of sound waves in tissue or bone.", "The device may be used to treat arthritis, joint pain, bursitis, muscle pain or injuries, muscle spasm or strain, soft tissue injuries, musculoskeletal injuries, back pain, joint stiffness, cold hands, rheumatism, neuralgia, inflammation, edema, ischemia, spasm, to stimulate enzyme activity and immune system, bone healing, tendon healing, or wound healing.", "In other examples, it may be used to stimulate or accelerate fractured bone or tissue healing.", "The device may be used for faster healing of damaged or fractured bones, and for monitoring bone healing progress through sensor feedback.", "Other embodiments provide a medical device for the application of a therapy, comprising: a processing device configured to drive inputs for one or more switches controlling characteristics of treatments comprising thermoceuticals, electroceuticals, ultrasound, or a combination thereof, the characteristics of the treatments corresponding to the therapy; an applicator, coupled to the one or more switches, the applicator configured to apply the treatments or a combination thereof based on the inputs to the one or more switches; one or more sensors coupled to the processing device, the one or more sensors configured to 1) detect physiological parameters responsive to the application of the treatments or combination thereof and 2) provide the physiological parameters to the processing device; and a patient feedback interface communicatively coupled to the processing device, the patient feedback interface configured to receive user inputs indicating patient feedback information responsive to the application of the treatments or combination thereof.", "The characteristics of the treatments or the combination thereof may include intensity levels and time durations.", "The physiological parameters may comprise one or more of body temperature, tissue temperature, local blood flow, local blood oxygen levels, local tissue thickness, local tissue composition/structure, local tissue imaging, local tissue bone strength, bone fracture type, callus stiffness, and velocity and attenuation properties of sound waves in tissue or bone.", "In other embodiments, there is disclosed a method for delivering a bone healing treatment, comprising: receiving patient information comprising patient data and symptoms; comparing the received patient information with bone healing analytics data compiled on a plurality of individuals to determine a personalized bone healing therapy; applying the personalized bone healing therapy to deliver one or more of thermoceuticals, electroceuticals, or ultrasound, in various combinations; receiving updated patient information in response to the applied personalized bone healing therapy; and storing the updated patient information and the personalized pain management therapy in a database to add to the bone healing analytics data compiled on the plurality of individuals.", "It is possible to adjust, in response to the updated patient information, the personalized bone healing therapy by adjusting the combination of thermoceuticals, electroceuticals, or ultrasound; and store the adjusted personalized bone healing therapy in the database to add to the bone healing analytics data.", "The patient data and the updated patient information may further comprise patient physiological data including one or more of patient vital signs, body or tissue temperature, blood flow rate, blood oxygen levels, or body tissue thickness or density.", "The patient data and the updated patient information may be received at a bone healing therapy device, and wherein the personalized bone healing therapy is applied by transmitting electronic signals to an applicator to deliver the thermoceuticals, electroceuticals, ultrasound, or combination thereof via one or more applicators.", "The patient data and the bone healing analytics data may be stored in a memory of the bone healing therapy device or in a remotely connected database, and the bone healing analytics data may include correlated patient information and patient feedback regarding bone healing therapy outcomes on the plurality of individuals.", "Comparing the received patient information with bone healing analytics data to determine the personalized bone healing therapy may comprises: identifying a subset of individuals from the plurality of individuals that have similar characteristics as the patient as indicated in the patient information; and identifying, from the bone healing analytics data on the subset of individuals, a stored applied therapy associated with a stored therapy outcome indicating enhanced bone healing symptoms, wherein the identified stored applied therapy corresponds to the personalized bone healing therapy.", "It is possible for the patient data and the updated patient information to be received by one or more sensors communicatively coupled to a bone healing therapy device or by user inputs received at a patient feedback interface.", "The personalized bone healing therapy may comprise a combination therapy of: delivering thermoceuticals comprising heat in a temperature range of about 0-50° C.; and delivering ultrasonic energy at ultrasound frequency ranges of from about 0.1 MHz to about 10 MHZ and intensities from about 0.01 W/cm2 to about 3.0 W/cm2, wherein the delivery of thermoceuticals and ultrasonic energy may be in a pulsed or continuous mode and in various combinations of duration and intensities.", "Numerous specific details are set forth herein to provide a thorough understanding of the claimed subject matter.", "However, those skilled in the art will understand that the claimed subject matter may be practiced without these specific details.", "In other instances, methods, apparatuses, or systems that would be known by one of ordinary skill have not been described in detail so as not to obscure claimed subject matter.", "Unless specifically stated otherwise, it is appreciated that throughout this specification discussions utilizing terms such as “processing,” “computing,” “calculating,” “determining,” and “identifying” or the like refer to actions or processes of a computing device, such as one or more computers or a similar electronic computing device or devices, that manipulate or transform data represented as physical electronic or magnetic quantities within memories, registers, or other information storage devices, transmission devices, or display devices of the computing platform.", "The system or systems discussed herein are not limited to any particular hardware architecture or configuration.", "A computing device can include any suitable arrangement of components that provides a result conditioned on one or more inputs.", "Suitable computing devices include multipurpose microprocessor-based computer systems accessing stored software that programs or configures the computing system from a general purpose computing apparatus to a specialized computing apparatus implementing one or more embodiments of the present subject matter.", "Any suitable programming, scripting, or other type of language or combinations of languages may be used to implement the teachings contained herein in software to be used in programming or configuring a computing device.", "Embodiments of the methods disclosed herein may be performed in the operation of such computing devices.", "The order of the blocks presented in the examples above can be varied—for example, blocks can be re-ordered, combined, and/or broken into sub-blocks.", "Certain blocks or processes can be performed in parallel.", "The use of “adapted to” or “configured to” herein is meant as open and inclusive language that does not foreclose devices adapted to or configured to perform additional tasks or steps.", "Additionally, the use of “based on” is meant to be open and inclusive, in that a process, step, calculation, or other action “based on” one or more recited conditions or values may, in practice, be based on additional conditions or values beyond those recited.", "Headings, lists, and numbering included herein are for ease of explanation only and are not meant to be limiting.", "While the present subject matter has been described in detail with respect to specific embodiments thereof, it will be appreciated that those skilled in the art, upon attaining an understanding of the foregoing, may readily produce alterations to, variations of, and equivalents to such embodiments.", "Accordingly, it should be understood that the present disclosure has been presented for purposes of example rather than limitation, and does not preclude inclusion of such modifications, variations, and/or additions to the present subject matter as would be readily apparent to one of ordinary skill in the art." ] ]
Patent_15875743
[ [ "IMAGE-FORMING APPARATUS PROVIDED WITH URGING MEMBER FOR URGING PROCESS CARTRIDGE", "An image-forming apparatus includes: a main body; a toner cartridge having a first opening; a process cartridge having a photosensitive drum and a second opening; a positioning part; a transfer unit; and an urging member.", "The toner cartridge and process cartridge are attachable to and detachable from the main body in an axial direction of the photosensitive drum.", "The positioning part and transfer unit are positioned above the process cartridge attached to the main body.", "The positioning part fixes the attached process cartridge in position relative to the main body.", "The urging member urges the attached process cartridge toward the positioning part and urges the process cartridge such that such that the toner can be supplied from the toner cartridge to the process cartridge through the first opening and the second opening when the toner cartridge and the process cartridge are attached to the main body." ], [ "1.An image-forming apparatus configured to form an image on a sheet, the image-forming apparatus comprising: a main body comprising: an upper wall having a tray that is recessed downward for catching the sheet; a first wall having a first access opening; and a second wall having a second access opening; a toner cartridge attachable to the main body in a first direction through the first access opening, the toner cartridge accommodating toner and having a first opening; a waste toner container attachable to the main body in a second direction perpendicular to the first direction through the second access opening; a process cartridge including a photosensitive drum, the process cartridge being attachable to the main body in an axial direction along an axis of the photosensitive drum, the process cartridge having a second opening, the process cartridge further comprising: a cleaning member configured to remove toner from the photosensitive drum; and a discharge tube having a third opening, the discharge tube being configured to discharge the toner removed by the cleaning member toward the waste toner container through the third opening; a positioning part positioned above the process cartridge in a state where the process cartridge is attached to the main body, the positioning part being configured to fix the process cartridge in position relative to the main body in the state where the process cartridge is attached to the main body; and a transfer belt positioned above the process cartridge in the state where the process cartridge is attached to the main body.", "2.The image-forming apparatus according to claim 1, further comprising an urging member for urging the process cartridge toward the positioning part in the state where the process cartridge is attached to the main body, the urging member being configured to urge the process cartridge to allow the second opening of the process cartridge to communicate with the first opening of the toner cartridge in a state where the toner cartridge is attached to the main body and in the state where the process cartridge is attached to the main body, wherein the process cartridge includes: a drum unit including the photosensitive drum; and a developing unit including a developing roller, wherein the drum unit includes a first side plate and a second side plate apart from the first side plate in the axial direction, the photosensitive drum being supported by the first side plate and the second side plate, and wherein the second side plate includes a surface, the urging member urging the surface of the process cartridge toward the positioning part in the state where the process cartridge is attached to the main body.", "3.The image-forming apparatus according to claim 2, wherein the main body includes a coupling, wherein the second side plate of the drum unit includes a support part including a cylindrical part having a general cylindrical shape, the cylindrical part protruding from an outer surface of the second side plate and supporting an end of the photosensitive drum, the end of the photosensitive drum having a coupling recess, and the coupling of the main body being inserted into the coupling recess in the state where the process cartridge is attached to the main body, and wherein the support part of the drum unit includes the surface, the surface being positioned below the coupling recess.", "4.The image-forming apparatus according to claim 2, wherein the developing unit is movable about a rotational shaft extending in the axial direction relative to the drum unit.", "5.The image-forming apparatus as claimed in claim 1, further comprising an urging member for urging the process cartridge toward the positioning part in the state where the process cartridge is attached to the main body, the urging member being configured to urge the process cartridge to allow the second opening of the process cartridge to communicate with the first opening of the toner cartridge in a state where the toner cartridge is attached to the main body and in the state where the process cartridge is attached to the main body, wherein the process cartridge includes a surface that contacts the urging member in the state where the process cartridge is attached to the main body, the surface of the process cartridge being positioned between the second opening of the process cartridge and the third opening of the discharge tube in a direction perpendicular to the axial direction and a vertical direction.", "6.The image-forming apparatus according to claim 1, wherein the main body includes: a coupling; a third access opening, the process cartridge being attachable to the main body through the third access opening; and a cover movable between a closed position where the cover closes the third access opening and an open position where the cover opens the third access opening, and wherein the main body includes a side plate, the process cartridge being positioned between the cover and the side plate of the main body in the axial direction in the state where process cartridge is attached to the main body, the coupling being disposed on the side plate of the main body.", "7.The image-forming apparatus according to claim 1, wherein the positioning part comprises a first positioning part and a second positioning part, the transfer belt being positioned between the first positioning part and the second positioning part in the axial direction in the state where the process cartridge is attached to the main body, wherein the main body includes: a third access opening; and a cover movable between a closed position where the cover closes the third access opening and an open position where the cover opens the third access opening, the second positioning part being positioned between the cover and the first positioning part in the axial direction, wherein the waste toner container is positioned between the second positioning part and the cover in the axial direction.", "8.The image-forming apparatus according to claim 1, further comprising an urging member for urging the process cartridge toward the positioning part in the state where the process cartridge is attached to the main body, the urging member being configured to urge the process cartridge to allow the second opening of the process cartridge to communicate with the first opening of the toner cartridge in a state where the toner cartridge is attached to the main body and in the state where the process cartridge is attached to the main body, wherein the urging member has an engaging surface, the process cartridge moving from an outside position where the process cartridge is outside the main body to an inside position where the process cartridge is inside the main body in the axial direction during attachment of the process cartridge to the main body, and wherein the engaging surface contacts the process cartridge during attachment of the process cartridge to the main body, the engaging surface sloping upward toward downstream in a direction in which the process cartridge moves from the outside position to the inside position.", "9.The image-forming apparatus according to claim 8, wherein the urging member includes a tension spring.", "10.The image-forming apparatus according to claim 1, wherein the toner cartridge is positioned above the process cartridge in the state where the toner cartridge is attached to the main body and in the state where the process cartridge is attached to the main body.", "11.The image-forming apparatus according to claim 10, wherein the main body includes a receiving part receiving the toner cartridge in the state where the toner cartridge is attached to the main body, the receiving part including a bottom wall having a fourth opening communicating with the toner cartridge in the state where the toner cartridge is attached to the main body, and wherein the main body includes an input tube, the input tube including a top end communicating with the fourth opening of the receiving part and a bottom end having a fifth opening, the fifth opening communicating with the second opening of the process cartridge in the state where the process cartridge is attached to the main body.", "12.The image-forming apparatus according to claim 1, further comprising: a sheet tray attachable to the main body in a direction perpendicular to the axial direction, the sheet tray being positioned below the process cartridge in the state where the process cartridge is attached to the main body and in a state where the sheet tray is attached to the main body, the sheet tray moving from an inside position where the sheet tray is inside the main body to an outside position where the sheet tray is outside the main body in the direction perpendicular to the axial direction during detachment of the sheet tray from the main body; a secondary transfer roller; a first roller configured to convey a sheet from the sheet tray toward a position between the transfer belt and the secondary transfer roller; and a discharge roller configured to discharge the sheet conveyed from the position between the transfer belt and the secondary transfer roller toward the tray in a direction in which the sheet tray moves from the inside position to the outside position.", "13.The image-forming apparatus according to claim 1, further comprising an image-reading unit configured to read image data on an original document, the image-reading unit being positioned above the main body.", "14.The image-forming apparatus according to claim 13, wherein the image-reading unit includes: a platen on which the original document is to be placed; and a pressure cover supported to the platen, the pressure cover being pivotally movable with respect to the platen.", "15.An image-forming apparatus configured to form an image on a sheet, the image-forming apparatus comprising: a main body comprising: an upper wall having a tray that is recessed downward for catching the sheet; and a first wall having an access opening; a first toner cartridge attachable to the main body in a first direction, the first toner cartridge accommodating first toner and having a first toner opening; a second toner cartridge attachable to the main body in the first direction, the second toner cartridge accommodating second toner and having a second toner opening; a waste toner container attachable to the main body in a second direction perpendicular to the first direction through the access opening; a process cartridge including a photosensitive drum, the process cartridge being attachable to the main body in an axial direction along an axis of the photosensitive drum, the process cartridge having a second opening, the process cartridge further comprising: a cleaning member configured to remove toner from the photosensitive drum; and a discharge tube having a third opening, the discharge tube being configured to discharge the toner removed by the cleaning member toward the waste toner container through the third opening; and a transfer belt positioned above the process cartridge in a state where the process cartridge is attached to the main body.", "16.The image-forming apparatus according to claim 15, wherein the first toner cartridge is disposed between the first wall and the second toner cartridge in a state where the first toner cartridge and the second toner cartridge are attached to the main body.", "17.The image-forming apparatus according to claim 15, further comprising: a sheet tray attachable to the main body in a direction perpendicular to the axial direction, the sheet tray being positioned below the process cartridge in the state where the process cartridge is attached to the main body and in a state where the sheet tray is attached to the main body, the sheet tray moving from an inside position where the sheet tray is inside the main body to an outside position where the sheet tray is outside the main body in the direction perpendicular to the axial direction during detachment of the sheet tray from the main body; a secondary transfer roller; a first roller configured to convey a sheet from the sheet tray toward a position between the transfer belt and the secondary transfer roller; and a discharge roller configured to discharge the sheet conveyed from the position between the transfer belt and the secondary transfer roller toward the tray in a direction in which the sheet tray moves from the inside position to the outside position." ], [ "<SOH> BACKGROUND <EOH>There has been known an image-forming apparatus provided with a process cartridge to be attached to and detached from a main housing.", "Japanese Patent Application Publication No.", "2008-170944 discloses an image-forming apparatus provided with a process cartridge including a drum unit and a developing unit.", "The drum unit includes a photosensitive drum, and the developing unit is positioned to oppose the drum unit.", "The process cartridge is attachable to and detachable from a main housing with respect to an axial direction of the photosensitive drum." ], [ "<SOH> SUMMARY <EOH>In view of the foregoing, it is an object of the present disclosure to provide an improved image-forming apparatus.", "In order to attain the above and other objects, the disclosure provides an image-forming apparatus including a main body, a toner cartridge, a process cartridge, a positioning part, a transfer unit and an urging member.", "The toner cartridge is attachable to and detachable from the main body, the toner cartridge accommodating toner therein and having a first opening.", "The process cartridge includes a photosensitive drum configured to carry a toner image thereon, the photosensitive drum defining an axis extending in an axial direction, the process cartridge being attachable to and detachable from the main body in the axial direction, the process cartridge having a second opening.", "The positioning part is positioned above the process cartridge attached to the main body, the positioning part being configured to fix the process cartridge in position relative to the main body when the process cartridge is attached to the main body.", "The transfer unit is positioned above the process cartridge attached to the main body, the toner image on the photosensitive drum being configured to be transferred to the transfer unit when the process cartridge is attached to the main body.", "The urging member is configured to urge the process cartridge toward the positioning part when the process cartridge is attached to the main body, the urging member being configured to urge the process cartridge such that the toner can be supplied from the toner cartridge to the process cartridge through the first opening and the second opening when the toner cartridge and the process cartridge are attached to the main body." ], [ "CROSS REFERENCE TO RELATED APPLICATION This application is a continuation of prior U.S. application Ser.", "No.", "15/589,267, filed May 8, 2017, which is a continuation of prior U.S. application Ser.", "No.", "15/065,967, filed Mar.", "10, 2016 (now U.S. Pat.", "No.", "9,678,470 issued Jun.", "13, 2017), which claims priority from Japanese Patent Application No.", "2015-070127 filed Mar.", "30, 2015.The entire content of the priority application is incorporated herein by reference.", "TECHNICAL FIELD The present disclosure relates to an electro-photographic type image-forming apparatus.", "BACKGROUND There has been known an image-forming apparatus provided with a process cartridge to be attached to and detached from a main housing.", "Japanese Patent Application Publication No.", "2008-170944 discloses an image-forming apparatus provided with a process cartridge including a drum unit and a developing unit.", "The drum unit includes a photosensitive drum, and the developing unit is positioned to oppose the drum unit.", "The process cartridge is attachable to and detachable from a main housing with respect to an axial direction of the photosensitive drum.", "SUMMARY In view of the foregoing, it is an object of the present disclosure to provide an improved image-forming apparatus.", "In order to attain the above and other objects, the disclosure provides an image-forming apparatus including a main body, a toner cartridge, a process cartridge, a positioning part, a transfer unit and an urging member.", "The toner cartridge is attachable to and detachable from the main body, the toner cartridge accommodating toner therein and having a first opening.", "The process cartridge includes a photosensitive drum configured to carry a toner image thereon, the photosensitive drum defining an axis extending in an axial direction, the process cartridge being attachable to and detachable from the main body in the axial direction, the process cartridge having a second opening.", "The positioning part is positioned above the process cartridge attached to the main body, the positioning part being configured to fix the process cartridge in position relative to the main body when the process cartridge is attached to the main body.", "The transfer unit is positioned above the process cartridge attached to the main body, the toner image on the photosensitive drum being configured to be transferred to the transfer unit when the process cartridge is attached to the main body.", "The urging member is configured to urge the process cartridge toward the positioning part when the process cartridge is attached to the main body, the urging member being configured to urge the process cartridge such that the toner can be supplied from the toner cartridge to the process cartridge through the first opening and the second opening when the toner cartridge and the process cartridge are attached to the main body.", "BRIEF DESCRIPTION OF THE DRAWINGS The particular features and advantages of the disclosure as well as other objects will become apparent from the following description taken in connection with the accompanying drawings, in which: FIG.", "1 is a central cross-sectional view of a printer as an example of an image-forming apparatus according to a first embodiment; FIG.", "2 is a cross-sectional view of the printer according to the first embodiment taken along a line A-A of FIG.", "6; FIG.", "3 is a perspective view of a process cartridge shown in FIG.", "2 in the printer according to the first embodiment; FIG.", "4A is a perspective view of a left end portion of the process cartridge shown in FIG.", "3; FIG.", "4B is a right side view of the process cartridge shown in FIG.", "3; FIG.", "5 is a perspective view of an urging member shown in FIG.", "3; FIG.", "6 is a cross-sectional view of the printer according to the first embodiment taken along a line B-B shown in FIG.", "1, and showing a state where the process cartridge is at its first position and a connector tube is at its communication position; FIG.", "7 is a cross-sectional view of the printer according to the first embodiment taken along the line B-B shown in FIG.", "1, and showing a state where the process cartridge is at the first position and the connector tube is at a non-communication position; FIG.", "8 is a cross-sectional view of the printer according to the first embodiment taken along the line B-B shown in FIG.", "1, and showing a state where the process cartridge is at its second position and the connector tube is at the non-communication position; FIG.", "9 is a cross-sectional view of a printer according to a second embodiment and taken along a line corresponding to the line B-B of FIG.", "1, and showing a state where a process cartridge is at its first position and the connector tube is at the communication position; and FIG.", "10 is a cross-sectional view of the printer according to the second embodiment and taken along the line corresponding to the line B-B of FIG.", "1, and showing a state where the process cartridge is at its second position and the connector tube is at the non-communication position.", "DETAILED DESCRIPTION 1.Overall Structure of the Printer A printer 1 as an example of an image-forming apparatus according to a first embodiment will be described while referring to FIGS.", "1 through 8.As shown in FIG.", "1, the printer 1 includes a main casing 2, a sheet-supplying unit 11 for supplying a sheet, an image-forming unit 3 for forming an image on the sheet, a sheet-discharging unit 4 for discharging the image-formed sheet, and an image-reading unit 5 for reading image data on an original document.", "The main casing 2 is generally box-shaped, and includes an outer frame 50 and an inner frame 51 provided within the outer frame 50, as shown in FIG.", "6.The outer frame 50 includes a front wall, a rear wall, an upper wall, a right wall and a left wall which constitute a front wall, a rear wall, an upper wall, a right wall and a left wall of the main casing 2.The front wall of the outer frame 50 is formed with an access opening 7 and another access opening 63 for allowing communication between the inside and the outside of the main casing 2 in a front-rear direction.", "Structures of the outer frame 50 and inner frame 51 will be described later in greater detail.", "The sheet-supplying unit 11 includes a first sheet tray 9, a first pick-up roller 12, a second sheet tray 10, and a second pick-up roller 13.The first sheet tray 9 is positioned at a lower end portion of an interior of the main casing 2.The first sheet tray 9 is generally box shaped having an upper open end, and is detachably attachable to the main casing 2.The first sheet tray 9 is configured to accommodate sheets.", "The first pick-up roller 12 is positioned above a rear end portion of the first sheet tray 9.The first pick-up roller 12 is generally cylindrical extending in a left-right direction, and is rotatably supported to the main casing 2.The second sheet tray 10 is provided at the front wall of the main casing 2 (i.e., outer frame 50).", "The second sheet tray 10 is a flat plate-like shape having a generally rectangular shape in a front view.", "The second sheet tray 10 has a lower end pivotally connected to the front wall, so that the second sheet tray 10 is pivotally movable about the lower end between a closed position closing the access opening 7 (shown by a sloid line in FIG.", "1) and an open position opening the access opening 7 (shown by a phantom line in FIG.", "1).", "When placed at the open position, the second sheet tray 10 serves as a sheet receiving tray on which sheets for manual insertion are placed.", "The second pick-up roller 13 is positioned rearward of the second sheet tray 10 and at a generally center portion of the main casing 2 in the front-rear direction.", "The second pick-up roller 13 has a generally cylindrical shape extending in the left-right direction.", "The second pick-up roller 13 is rotatably supported to the main casing 2.The sheet-supplying unit 11 also includes: a first sheet-feeding path 181 along which a sheet is configured to be fed by the first pick-up roller 12 from the first sheet tray 9; and a second sheet-feeding path 182 along which a sheet is configured to be fed by the second pick-up roller 13 from the second sheet tray 10.As shown in FIGS.", "1 and 2, the image-forming unit 3 includes toner cartridges 16Y, 16M, 16C and 16K, process cartridges 17Y, 17M, 17C and 17K, a scanner unit 15, a transfer unit 18, and a fixing unit 19.In the following description Y, M, C and K represent toners of yellow, magenta, cyan, and black, respectively.", "Further, in the drawings, reference characters of Y, M, C and K are added only for typical components, for explanatory purpose.", "As shown in FIG.", "6, the toner cartridges 16Y, 16M, 16C and 16K are positioned at right upper end portion of the interior of the main casing 2.As shown in FIG.", "2, the toner cartridges 16Y, 16M, 16C and 16K are arrayed and spaced apart from one another in a diagonal direction from an upper front portion toward a lower rear portion of the main casing 2.The toner cartridges 16Y, 16M, 16C and 16K are detachably attached to the main casing 2, and contain therein toners of different colors of yellow, magenta, cyan, and black, respectively.", "The toner cartridges 16Y, 16M, 16C and 16K have the same structures as one another.", "As shown in FIG.", "1, the process cartridges 17Y, 17M, 17C and 17K are positioned at a generally center in the main casing 2.The process cartridges 17Y, 17M, 17C and 17K are arrayed in the diagonal direction from the upper front upper to the lower rear with a space between neighboring cartridges.", "The process cartridges 17Y, 17M, 17C and 17K are attached to and detached from the main casing 2 with respect to the left-right direction.", "Specifically, the process cartridges 17Y, 17M, 17C and 17K are attached to the main casing 2 in a leftward direction (from the right to the left), while being detached from the main casing 2 in a rightward direction (from the left to the right).", "The leftward direction is an example of an attaching direction.", "As shown in FIGS.", "2 and 6, each of the process cartridges 17Y, 17M, 17C and 17K is positioned leftward and downward of the corresponding one of the toner cartridges 16Y, 16M, 16C and 16K when mounted in the main casing 2.Incidentally, the process cartridges 17Y, 17M, 17C and 17K have identical structures to each other.", "Therefore, in the following, the structure of the process cartridge 17K will only be described.", "As shown in FIG.", "1, the process cartridge 17K includes a drum unit 21K and a developing unit 22K.", "The drum unit 21K includes a photosensitive drum 24K and a charging roller 25K.", "The photosensitive drum 24K is provided in an upper end portion of the drum unit 21K.", "The photosensitive drum 24K is generally cylindrical and extends in the left-right direction.", "The photosensitive drum 24K is rotatably supported to a drum-unit frame 23K (described later) of the drum unit 21K.", "The photosensitive drum 24K defines an axis extending in the left-right direction about which the photosensitive drum 24K is rotatable.", "The charging roller 25K is positioned downward of the photosensitive drum 21K, and is generally cylindrical shaped extending in the left-right direction.", "The charging roller 25K is rotatably supported to the drum-unit frame 23 (described later) of the drum unit 21K.", "The developing unit 22K includes a developing roller 27K, a supply roller 28K, and a thickness-regulating blade 29K.", "The developing roller 27K is rotatably provided in an upper end portion of the developing unit 22K.", "The developing roller 27K is generally cylindrical extending in the left-right direction.", "The developing roller 27K is rotatably supported to a developing-unit frame 26 (described later) of the developing unit 22K, and is in contact with a front end portion of the photosensitive drum 24K.", "The supply roller 28K is positioned frontward and downward of the developing roller 27K.", "The supply roller 22 has a generally cylindrical shape extending in the left-right direction.", "The supply roller 28K is rotatably supported to the developing-unit frame 26 (described later) of the developing unit 22K.", "The supply roller 28K has an upper end portion in contact with a lower front end portion of the developing roller 21.The thickness-regulating blade 29K is positioned rearward and downward of the developing roller 27K.", "The thickness-regulating blade 29K has a plate-like configuration extending in the left-right direction.", "The thickness-regulating blade 29K is in contact with a lower rear end portion of the developing roller 27K.", "The scanner unit 15 is positioned downward of the respective process cartridges 17Y, 17M, 17C and 17K.", "More specifically, the scanner unit 15 is positioned between the process cartridges 17Y, 17M, 17C and 17K and the second pick-up roller 13 in a vertical direction.", "The scanner unit 15 is configured to irradiate laser beams to the respective photosensitive drums 24Y, 24M, 24C and 24K based on image data to expose the respective photosensitive drums 24Y, 24M, 24C and 24K to light.", "The transfer unit 18 is positioned upward of the respective process cartridges 17Y, 17M, 17C and 17K.", "The transfer unit 18 includes a belt unit 31, a secondary transfer roller 32, and a belt cleaning unit 150.The belt unit 31 generally extends in the front-rear direction.", "The belt unit 31 includes a first roller 33, a second roller 34, a third roller 35, an intermediate transfer belt 36, and primary transfer rollers 37Y, 37M, 37C and 37K.", "The first roller 33 is positioned at a rear end portion of the belt unit 31, and is generally cylindrical shaped extending in the left-right direction.", "The second roller 34 is positioned at a front end portion of the belt unit 31, and is generally cylindrical shaped extending in the left-right direction.", "The third roller 35 is positioned at a lower rear portion of the belt unit 31, and is positioned frontward and downward of the first roller 33.The third roller 35 is generally cylindrical shaped extending in the left-right direction.", "The intermediate transfer belt 36 is looped over the first roller 33, the second roller 34, and the third roller 35 under tension.", "The intermediate transfer belt 36 has a lower portion in contact with the photosensitive drums 24Y, 24M, 24C and 24K of the process cartridges 17Y, 17M, 17C and 17K attached to the main casing 2.The intermediate transfer belt 36 is circularly movable such that the lower portion thereof moves rearward.", "The primary transfer rollers 37Y, 37M, 37C and 37K are arrayed between the second roller 34 and the third roller 35 in the front-rear direction with a space between neighboring rollers.", "Each of the primary transfer rollers 37Y, 37M, 37C and 37K is positioned upward of and in confrontation with corresponding one of the attached photosensitive drums 24Y, 24M, 24C and 24K via the intermediate transfer belt 36.The primary transfer rollers 37Y, 37M, 37C and 37K have a generally cylindrical shape extending in the left-right direction.", "The secondary transfer roller 32 is positioned rearward of the first roller 33 such that the intermediate transfer belt 36 is nipped between the secondary transfer roller 32 and first roller 33.The secondary transfer roller 32 is generally cylindrical shaped extending in the left-right direction.", "The belt cleaning unit 150 includes a belt-cleaning frame 151, a belt-cleaning blade 152, and a belt-cleaning screw 153.The belt-cleaning frame 151 is generally box shaped extending in the left-right direction.", "The belt-cleaning frame 151 has an upper rear end portion in which an opening 106 is formed to penetrate the upper rear end portion of the belt-cleaning frame 151 in the front-rear direction.", "The belt-cleaning blade 152 is positioned at a rear end portion of the belt-cleaning frame 151.The belt-cleaning blade 152 has a generally flat plate shape extending in the left-right direction.", "The belt-cleaning blade 152 has an upper end portion in contact with a front end portion of the intermediate transfer belt 36.The belt-cleaning blade 152 faces the opening 106.The belt-cleaning screw 153 is provided in a lower end portion of the belt-cleaning frame 151 and extends in the left-right direction.", "The belt-cleaning screw 153 has a right end rotatably supported by a right wall constituting a first conveying tube 161 (FIG.", "2) described later.", "The fixing unit 19 is positioned upward of the secondary transfer roller 32.The fixing unit 19 includes a heat roller 41 and a pressure roller 42 in pressure contact with a rear end portion of the heat roller 41.The sheet-discharging unit 4 includes a discharge tray 43, discharge rollers 48, reverse rollers 44, and a guide 45.The discharge tray 43 is formed in the upper wall of the main casing 2 and is recessed downward.", "The discharge rollers 48 are positioned rearward and upward of the discharge tray 43.The discharge rollers 48 are generally cylindrical shaped and extend in the left-right direction.", "The reverse rollers 44 are positioned upward of the fixing unit 19.The reverse rollers 44 have a generally cylindrical shape and extend in the left-right direction.", "The guide 45 is positioned above the fixing unit 19.The guide 45 is movable between a discharge position indicated by a solid line in FIG.", "1 and a reverse position indicated by a phantom line in FIG.", "1.As shown in FIG.", "1, in the discharge position, the guide 45 extends generally in the vertical direction in a side view and has an upper end portion pivotally movably supported to the main casing 2.In the discharge position, a lower end portion of the guide 45 is rearward relative to the upper end portion thereof so that the sheet can be directed toward the discharge rollers 48.In the reverse position, the lower end portion of the guide 45 is positioned frontward than that at the discharge position, so that the sheet can be directed toward the reverse rollers 44.The sheet-discharging unit 4 further includes a first conveying path 183 and a second conveying path 184.The sheet from the fixing unit 19 is conveyed toward the discharge rollers 48 along the first conveying path 183 when the guide 45 is at the discharge position.", "The sheet is conveyed from the fixing unit 19 to the reverse rollers 44 along the second conveying path 184 when the guide 45 is at the reverse position.", "The sheet nipped by the reverse rollers 44 is configured to be conveyed downward while passing rearward of the fixing unit 19 by reverse rotations of the reverse rollers 44, and is then turned upward in a U-shaped fashion toward a position between the intermediate transfer belt 36 and the secondary transfer roller 32.The image-reading unit 5 is positioned upward of the main casing 2 so as to cover the discharge tray 43 from above.", "The image-reading unit 5 includes a platen 46 on which an original document is to be placed, and a pressure cover 47 pivotally movably supported to the platen 46.2.Detailed Structure of the Main Casing The main casing 2 includes the outer frame 50 and the inner frame 51, as described earlier.", "As shown in FIG.", "6, the outer frame 50 has a box-like shape and is formed of a resin material.", "The right wall of the outer frame 50 is formed with an opening 6 as an example of a sixth opening for allowing communication between an inside and an outside of the main casing 2 in the left-right direction.", "The outer frame 50 also includes a cover 8 pivotally movable between a closed position for closing the opening 6 (FIG.", "6) and an open position for opening the opening 6 (FIG.", "7).", "The outer frame 50 further includes: mounting units 53Y, 53M, 53C, and 53K having respective openings 59Y, 59M, 59C, and 59K; covers 60Y, 60M, 60C, and 60K capable of opening and closing over the openings 59Y, 59M, 59C, and 59K; and a cover 64 (see FIG.", "2) that opens and closes over the access opening 63 formed in the front wall.", "The mounting units 53Y, 53M, 53C and 53K are respectively disposed on the upper-right sides of the corresponding process cartridges 17Y, 17M, 17C and 17K.", "The mounting units 53Y, 53M, 53C and 53K are arranged at intervals in the front-rear direction.", "The openings 59Y, 59M, 59C and 59K provide communication between the interior of the corresponding mounting units 53Y, 53M, 53C and 53K and the exterior of the outer frame 50 in the left-right direction.", "In the first embodiment, the mounting units 53Y, 53M, 53C and 53K all have the same construction.", "Therefore, only the mounting unit 53M will be described below as a representative example.", "Similarly, the covers 60Y, 60M, 60C and 60K all have the same construction and, therefore, only the cover 60M will be described below.", "The mounting unit 53M includes a receiving part 65M, and an input tube 66M.", "The receiving part 65M has a box-like shape with an open top.", "As shown in FIG.", "2, the receiving part 65M has a bottom portion having a rounded W-shape in a side view.", "An opening 67M (see FIG.", "2) is formed in a front end portion of the bottom portion of the receiving part 65M to penetrate the same vertically.", "The input tube 66M extends downward from the front end portion of the receiving part 65M.", "The input tube 66M has a general cylindrical shape that is elongated vertically.", "The input tube 66M has a top end that is in vertical communication with the opening 67M of the receiving part 65M.", "The input tube 66M has a bottom end portion that slopes downward toward the left.", "The bottom end portion is formed with an opening 68M, as an example of a third opening.", "That is, the opening 68 occupies a plane that slopes downward toward the left.", "The input tube 66M is provided with a seal 69M on its bottom end.", "The seal 69M is formed of an elastic material and has a frame-like structure that is generally rectangular in a plan view.", "The seal 69M is arranged around the opening 68M.", "The cover 60M is pivotably movably supported to a bottom edge on the right wall of the mounting unit 53M.", "The cover 60 can pivot pivot between a closed position for covering the opening 59M and an open position (see FIG.", "7) for exposing the opening 59M.", "The cover 64 has a plate-like shape that is generally rectangular in a front view.", "The cover 64 is pivotably movably supported to a bottom edge on the front wall of the main casing 2 (outer frame 50).", "The cover 64 can pivot between a closed position for covering the access opening 63 and an open position for exposing the access opening 63.The cover 8 is provided with detectors 110Y, 110M, 110C, and 110K.", "Since the detectors 110Y, 110M, 110C and 110K all have the same construction, only the detector 110K will be described below as a representative example.", "As shown in FIG.", "4B, the detector 110K includes a light-emitting element 111K, and a light-receiving element 112K.", "The light-emitting element 111K is disposed on the cover 8 at a position to the lower-front side of a second conveying tube 98K described later.", "The light-receiving element 112K is disposed on the cover 8 at a position on the upper-rear side of the second conveying tube 98K described later.", "The light-receiving element 112K is configured to receive light emitted from the light-emitting element 111K.", "The inner frame 51 is formed of a metallic material.", "As shown in FIGS.", "2 and 6, the inner frame 51 includes a first side plate 54 having openings 58 and 107 formed therein, a second side plate 55, a bottom plate 56 supporting the scanning unit 15, a top plate 57 connected to the first side plate 54 and second side plate 55, a center plate 52, a first positioning part 61, and a second positioning part 62.The first side plate 54 constitutes a right end of the inner frame 51.The first side plate 54 has a flat plate shape that is generally rectangular in a side view and elongated vertically.", "The opening 58 is formed in a vertical center region of the first side plate 54.The opening 58 has a general rectangular shape in a side view.", "As shown in FIG.", "2, the opening 58 has a front-rear dimension that is larger than a size of the process cartridges 17Y, 17M, 17C, and 17K juxtaposed in the front-rear direction.", "The opening 58 penetrates the first side plate 54 in the left-right direction.", "As shown in FIG.", "2, the opening 107 is formed in a front end region of the first side plate 54.The opening 107 is positioned frontward of the opening 58 and has a circular shape in a side view.", "The opening 107 penetrates the first side plate 54 in the left-right direction.", "The second side plate 55 constitutes a left end of the inner frame 51.The second side plate 55 is disposed leftward of and apart from the first side plate 54.The second side plate 55 has a flat plate shape that is generally rectangular in a side view and elongated vertically.", "Coupling gears 132 and couplings 133 are disposed on a left surface of the second side plate 55.The coupling gears 132 are rotatably supported on the second side plate 55.The couplings 133 are supported on the corresponding coupling gears 132 so as to be capable of moving relative to the same.", "Each of the couplings 133 has a right end that protrudes rightward from the second side plate 55.The couplings 133 can move in the left-right direction.", "Springs (not shown) constantly urge the couplings 133 rightward.", "The bottom plate 56 is disposed beneath the scanning unit 15 to support the same.", "The bottom plate 56 has a flat plate shape that is generally rectangular in a plan view and elongated in the left-right direction.", "The bottom plate 56 is connected to the first side plate 54 and second side plate 55.The top plate 57 is disposed above the belt unit 31.The top plate 57 has a flat plate shape that is generally rectangular in a plan view and elongated in the left-right direction.", "The center plate 52 is disposed beneath the process cartridges 17Y, 17M, 17C, and 17K and above the scanning unit 15.The center plate 52 has a flat plate shape that is generally rectangular in a plan view and elongated in the left-right direction.", "The center plate 52 is formed of a resin material.", "The center plate 52 has a right end that extends rightward beyond a bottom edge defining the opening 58.The first positioning part 61 is disposed beneath a right end portion of the top plate 57 and between the first side plate 54 and belt unit 31 in the left-right direction.", "The first positioning part 61 is positioned above the process cartridges 17Y, 17M, 17C, and 17K.", "The first positioning part 61 is a plate-shaped member that is generally rectangular in a side view and L-shaped in a front view.", "The first positioning part 61 has a top end portion connected to the right end portion of the top plate 57.The first positioning part 61 has a bottom end portion that extends downward to approximately the same position as the bottom end of the belt unit 31 in the vertical direction.", "The first positioning part 61 includes first positioning grooves 135Y, 135M, 135C, and 135K.", "The first positioning grooves 135Y, 135M, 135C, and 135K are formed in a bottom edge of the first positioning part 61.The first positioning grooves 135Y, 135M, 135C, and 135K are spaced at intervals from each other in the diagonal direction from the upper front to the lower rear.", "The first positioning grooves 135Y, 135M, 135C, and 135K are recessed upward from the bottom edge of the first positioning part 61.The second positioning part 62 is disposed below a left end portion of the top plate 57 and between the second side plate 55 and the belt unit 31 in the left-right direction.", "The second positioning part 62 is a plate-shaped member that is generally rectangular in a side view and L-shaped in a front view.", "The second positioning part 62 has a top end portion connected to the left end portion of the top plate 57; and a bottom end portion that extends to approximately the same vertical position as the bottom end of the belt unit 31.The second positioning part 62 includes second positioning grooves 136Y, 136M, 136C, and 136K.", "The second positioning grooves 136Y, 136M, 136C, and 136K are formed in a bottom edge of the second positioning part 62 and are spaced at intervals from each other in the diagonal direction from the upper front to the lower rear.", "The second positioning grooves 136Y, 136M, 136C, and 136K are recessed upward from the bottom edge of the second positioning part 62.In a left-right projection, the second positioning grooves 136Y, 136M, 136C, and 136K are respectively aligned with the first positioning grooves 135Y, 135M, 135C, and 135K.", "3.Detailed Description of the Toner Cartridges Referring to FIG.", "2, the toner cartridge 16K includes a cartridge frame 71K having an opening 72K formed therein, a first agitator 141K, and a second agitator 142K.", "The cartridge frame 71K has a box-like shape and is elongated in the left-right direction.", "The cartridge frame 71K has a bottom wall that has a general rounded W-shape in a side view.", "The cartridge frame 71K includes a partitioning plate 137K.", "The partitioning plate 137K extends diagonally downward and rearward from an inner peripheral surface of a front wall constituting the cartridge frame 71K.", "The partitioning plate 137K partitions the cartridge frame 71K into a large accommodating chamber 138K disposed constituting a rear portion of the cartridge frame 71K, and a small accommodating chamber 139K constituting a front portion of the cartridge frame 71K.", "The large accommodating chamber 138K is larger than the small accommodating chamber 139K.", "The first agitator 141K is accommodated in the large accommodating chamber 138K.", "The first agitator 141 is rotatably supported by the cartridge frame 71K.", "The second agitator 142K is accommodated in the small accommodating chamber 139K and is rotatably supported by the cartridge frame 71K.", "The opening 72K is formed in a lower-front end portion of the cartridge frame 71K.", "The opening 72K vertically penetrates a bottom wall constituting the small accommodating chamber 139K.", "The opening 72K is an example of a first opening.", "4.Detailed Description of the Process Cartridges (1) Drum Unit As shown in FIG.", "3, the drum unit 21K includes the drum-unit frame 23K.", "The drum-unit frame 23K has a box-like shape that is elongated in the left-right direction and open on the top side.", "The drum-unit frame 23K includes a first side plate 75K, a second side plate 76K, a first conveying tube 77K having an opening 70K as an example of a second opening, and a drum cleaning unit 73K.", "As shown in FIGS.", "3 and 4B, the first side plate 75K constitutes a right end portion of the drum-unit frame 23K.", "The first side plate 75K has a flat plate shape that is generally rectangular in a side view.", "The first side plate 75K includes a first support part 78K.", "The first support part 78K is disposed in a front-rear center region of the first side plate 75K to protrude rightward therefrom.", "The first support part 78K includes a first cylindrical part 79K, and a first engagement part 80K.", "The first cylindrical part 79K has a general cylindrical shape that is elongated in the left-right direction.", "The first cylindrical part 79K protrudes rightward from a right surface of the first side plate 75K.", "Also, as shown in FIGS.", "2 and 4B, the first cylindrical part 79K has an upper end portion that protrudes upward from an upper edge of the first side plate 75K.", "The first cylindrical part 79K thus has a top surface positioned higher than a top surface of the first side plate 75K.", "The first cylindrical part 79K rotatably supports a right end of the photosensitive drum 24K.", "The first engagement part 80K protrudes upward from a top edge of the first cylindrical part 79K.", "The first engagement part 80K is positioned between the opening 70K formed in the first conveying tube 77K and an opening 102K formed in a discharge tube 100K described later in the front-rear direction.", "The first engagement part 80K includes a first plate part 81K having an opening 83K formed therein, a first bar part 82K, and a first protruding part 144K.", "The first plate part 81K has a flat plate shape that extends upward from the first cylindrical part 79K.", "The opening 83K is formed in an upper end portion of the first plate part 81K.", "The opening 83K has a general circular shape in a side view and penetrates the upper end portion of the first plate part 81K in the left-right direction.", "The first bar part 82K protrudes rearward from the upper end portion of the first plate part 81K.", "The first protruding part 144K protrudes leftward from a rear end of the first bar part 82K.", "The first protruding part 144K includes a first sloped surface 84K.", "The first sloped surface 84K constitutes a bottom surface of the first protruding part 144K.", "The first sloped surface 84K slopes upward toward the left side.", "As shown in FIG.", "4A, the second side plate 76K constitutes a left end portion of the drum-unit frame 23K and is positioned leftward and apart from the first side plate 75K.", "The second side plate 76K has a flat plate shape that is generally rectangular in a side view.", "The second side plate 76K is separated leftward from the opening 70K by a greater distance than the first side plate 75K is.", "The second side plate 76K includes a second support part 85K.", "The second support part 85K is provided in a front-rear center region of the second side plate 76K and protrudes leftward from the same.", "The second support part 85K includes a second cylindrical part 86K, and a second engagement part 87K.", "The second cylindrical part 86K has a general cylindrical shape that is elongated in the left-right direction.", "The second cylindrical part 86K protrudes leftward from the left surface of the second side plate 76K.", "Also, the second cylindrical part 86K has an upper end portion that protrudes upward from an upper edge of the second side plate 76K.", "The second cylindrical part 86K thus has a top surface positioned higher than a top surface of the second side plate 76K.", "The second cylindrical part 86K rotatably supports a left end of the photosensitive drum 24K.", "Here, the left end of the photosensitive drum 24K has a coupling recess 145K for engaging with the coupling 133K.", "The second engagement part 87K protrudes downward from a bottom edge of the second cylindrical part 86K.", "The second engagement part 87K includes a second plate part 88K having an opening 90K formed therein, a second bar part 89K, and a second protruding part 146K.", "The second plate part 88K has a flat plate shape that extends downward from the second cylindrical part 86K.", "The opening 90K is formed in a bottom end portion of the second plate part 88K.", "The opening 90K has a general circular shape in a side view and penetrates the bottom end portion of the second plate part 88K in the left-right direction.", "The second bar part 89K protrudes rearward from the bottom end portion of the second plate part 88K.", "The second protruding part 146K protrudes leftward from a rear end of the second bar part 89K.", "The second protruding part 146K includes a second sloped surface 91K.", "The second sloped surface 91K constitutes a bottom surface of the second protruding part 146K.", "The second sloped surface 91K slopes upward toward the left side.", "As shown in FIG.", "3, the first conveying tube 77K is assembled to the first side plate 75K through a fixing part 93K that protrudes rightward from the right surface of the first side plate 75K.", "The first conveying tube 77K has a general square cylindrical shape that is elongated vertically.", "The first conveying tube 77 has a top wall that slopes downward toward the left.", "The opening 70 is formed in the top wall of the first conveying tube 77.Accordingly, the opening 70K also occupies a plane that slopes downward toward the left.", "The opening 70K can be in vertical communication with the opening 68K formed in the input tube 66K.", "As shown in FIG.", "6, the opening 70K in the first conveying tube 77K is arranged to the right of the first positioning part 61.The first conveying tube 77K is provided with a seal 92K on its upper end.", "The seal 92K is formed of an elastic material in a frame-like shape that is rectangular in a plan view.", "The seal 92K is arranged around the opening 70K.", "When the process cartridge 17K is mounted in the main casing 2, the seal 92K contacts the seal 69K of the input tube 66K.", "As shown in FIGS.", "1 and 3, the drum cleaning unit 73K is provided on rearward of the photosensitive drum 24K.", "The drum cleaning unit 73K includes a drum cleaning frame 95K having an opening 105K formed therein, a drum cleaning blade 96K as an example of a cleaning member, a drum cleaning screw 97K, and the discharge tube 100K having the opening 102K as an example of a fourth opening.", "The drum cleaning frame 95K has a box-like shape and is elongated in the left-right direction.", "The drum cleaning frame 95K has a right end supported on the first side plate 75K, and a left end supported on the second side plate 76K.", "As shown in FIG.", "1, the opening 105K is formed in an upper-front portion of the drum cleaning frame 95K and penetrates the same in the front-rear direction.", "The drum cleaning blade 96K is arranged on a front portion of the drum cleaning frame 95K.", "The drum cleaning blade 96K has a flat plate shape and is elongated in the left-right direction.", "The drum cleaning blade 96K has a top end portion positioned in confrontation with the opening 105K in the front-rear direction and in contact with a rear end portion on a circumferential surface of the photosensitive drum 24K.", "The drum cleaning screw 97K is disposed in a bottom portion of the drum cleaning frame 95K and is oriented in the left-right direction.", "The drum cleaning screw 97K has a left end that is rotatably supported by the second side plate 76K.", "The drum cleaning screw 97K has a right end that is positioned inside the discharge tube 100K.", "As shown in FIG.", "3, the discharge tube 100K has a general cylindrical shape and extends rightward from a right end portion of the drum cleaning frame 95K, and then bends and extends downward.", "The opening 102K is formed in a bottom end of the discharge tube 100K.", "The discharge tube 100 has a right wall that rotatably supports the right end of the drum cleaning screw 97K.", "The discharge tube 100K is provided with a seal 101K on its bottom end.", "As shown in FIG.", "6, the opening 102K formed in the discharge tube 100K is positioned farther rightward than the opening 70K formed in the first conveying tube 77K.", "Further, the opening 102K in the discharge tube 100K is disposed rightward of the first positioning part 61K.", "The seal 101K is formed of an elastic material in a frame-like shape that is rectangular in a plan view.", "The seal 101K is arranged around the opening 102K on the bottom end of the discharge tube 100K.", "(2) Developing Unit As shown in FIG.", "3, the developing unit 22K is positioned between the front end portions of the first side plate 75K and second side plate 76K constituting the drum-unit frame 23K.", "The developing unit 22K further includes the developing-unit frame 26K, and a unit screw 30K (see FIG.", "1).", "The developing-unit frame 26K has a box-like shape that is elongated in the left-right direction and open on the top side.", "The developing-unit frame 26K includes a rotational shaft 74K, and the second conveying tube 98K.", "The rotational shaft 74K protrudes rightward from a right wall constituting the developing-unit frame 26K.", "The rotational shaft 74K has a general columnar shape and is elongated in the left-right direction.", "The rotational shaft 74K is rotatably supported in a bottom end portion of the first side plate 75K.", "The rotational shaft 74K also protrudes leftward from a left wall of the developing-unit frame 26K.", "The protruding portion (left end portion) of the rotational shaft 74K is rotatably supported in a bottom end portion of the second side plate 76K.", "In this way, the developing-unit frame 26K can pivotally movable about the rotational shaft 74K relative to the drum-unit frame 23K.", "More specifically, the developing-unit frame 26K can pivotally move between a contact position in which the developing roller 27K contacts the photosensitive drum 24K, and a separated position in which the developing roller 27K is separated from the photosensitive drum 24K.", "The second conveying tube 98K is positioned rightward of the first side plate 75K constituting the drum-unit frame 23K.", "The second conveying tube 98K has a general cylindrical shape that first extends rightward from the right end of the developing-unit frame 26K, and then bends and extends upward.", "The second conveying tube 98K is configured of a light-permeable member, at least in a region in which the light-emitting element 111K and light-receiving element 112K confront each other.", "Hence, if a sufficient amount of toner is present in the second conveying tube 98K, the light from the light-emitting element 111K is blocked by the toner and thus cannot be received by the light-receiving element 112K.", "The second conveying tube 98K has a top end in communication with a bottom end of the first conveying tube 77K.", "A connector 99K is provided to surround the junction of the second conveying tube 98K and first conveying tube 77K.", "The connector 99K is formed of an elastic material, and specifically a sponge material in the present embodiment.", "The connector 99 has a general cylindrical shape that is elongated vertically.", "The connector 99K has a top end portion connected to the bottom end of the first conveying tube 77K, while a bottom end of the connector 99K is connected to the top end of the second conveying tube 98K.", "In this way, the internal space of the first conveying tube 77K is in communication with the internal space in the second conveying tube 98K through the connector 99K.", "Further, the first conveying tube 77K and second conveying tube 98K are joined on the right side of the first side plate 75K.", "As shown in FIG.", "1, the unit screw 30K is arranged in a bottom portion of the developing-unit frame 26K.", "The unit screw 30K is positioned rearward of the supply roller 28K.", "The right end of the unit screw 30K is rotatably supported in the right wall of the second conveying tube 98K (see FIG.", "3), while the left end of the unit screw 30K is rotatably supported in the left wall of the developing-unit frame 26K.", "5.Structure of the Urging Members As shown in FIGS.", "2 and 6, urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK are disposed in the main casing 2 on respective ends of the corresponding process cartridges 17Y, 17M, 17C, and 17K.", "Other than being disposed beneath the left ends of the process cartridges 17 and to the left of the second positioning part 62, the urging members 120LY, 120LM, 120LC, and 120LK have the same structure and configuration as the urging members 120RY, 120RM, 120RC and 120RK.", "Therefore, the urging members 120RY, 120RM, 120RC and 120RK will serve as a representative example in the following description.", "Further, since the urging members 120RY, 120RM, 120RC and 120RK have the structure, the urging member 120RM will be used as a representative example in the following description.", "As shown in FIG.", "6, the urging member 120RM is disposed above the right end of the process cartridge 17M and overlaps the first conveying tube 77M in a front-rear projection.", "The urging member 120RM is positioned to the right of the first positioning part 61 and to the left of the opening 70M.", "As shown in FIG.", "5, the urging member 120RM includes a pivoting part 121M having a center hole 129M formed therein, a contact part 122M, an engaging part 123M, and a tension spring 124M.", "The pivoting part 121M has a general bar shape that is elongated in the front-rear direction.", "The pivoting part 121M has a front end serving as an example of a first end, while a rear end thereof serves as an example of a second end.", "The front-rear direction is an example of an orthogonal direction.", "The center hole 129M is formed in the front end of the pivoting part 121M.", "The center hole 129M has a general circular shape in a side view and penetrates the front end of the pivoting part 121M in the left-right direction.", "The center hole 129M is engaged with a boss (not shown) provided on either the first side plate 54 or the second side plate 55.Through this engagement, the pivoting part 121M can pivot about a central axis of the center hole 129M.", "The contact part 122M protrudes rightward from the rear end of the pivoting part 121M.", "The contact part 122M has a general plate shape that is elongated in the left-right direction.", "The contact part 122M includes an engaging surface 125M.", "The engaging surface 125M constitutes a top surface on a right end portion of the contact part 122M.", "The engaging surface 125M slopes upward toward the left.", "The engaging part 123M protrudes rightward from a front-rear center portion of the pivoting part 121M.", "The engaging part 123M includes a base part 126M, and a tip-end part 127M.", "The base part 126M has a general columnar shape and is elongated in the left-right direction.", "The base part 126M protrudes rightward from the front-rear center portion of the pivoting part 121M.", "The tip-end part 127M protrudes rightward from a right end of the base part 126M.", "The tip-end part 127M has a conical shape that tapers toward the right.", "The tip-end part 127M has a peripheral surface that constitutes a sloped surface 128M.", "That is, the sloped surface 128M has a top edge that slopes upward toward the left.", "The tension spring 124M is a helical spring whose bottom end is engaged with the rear end of the pivoting part 121M.", "More specifically, when viewed in the left-right direction, the bottom end of the tension spring 124M is disposed between the contact part 122M and the engaging part 123M.", "In other words, the tension spring 124M is disposed closer to the rear end of the pivoting part 121M than the engaging part 123M is to the rear end of the pivoting part 121M.", "As shown in FIG.", "6, the urging member 120RM is pivotably movably supported on the first side plate 54 through the boss (not shown) thereof inserted through the center hole 129M.", "Accordingly, the urging member 120RM can pivot about the central axis of the center hole 129M aligned in the left-right direction.", "Further, the top end of the tension spring 124M is engaged with a first mounting part 130 provided on a right surface of the first side plate 54.With this arrangement, the rear end of the pivoting part 121M is constantly urged upward.", "Note that the tension spring 124 in each of the urging members 120L is engaged with a second mounting part 131 provided on a right surface of the second side plate 55.6.Structures Related to Waste Toner Conveyance As shown in FIG.", "2, the main casing 2 includes a first conveying tube 161, a second conveying tube 162, a conveying screw 164, a third conveying tube 165, and a waste toner container 166 having an opening 177.The first conveying tube 161 is disposed rightward of the front end portion of the first side plate 54.The first conveying tube 161 has a general cylindrical shape and is elongated vertically.", "The first conveying tube 161 has a top end that is connected to the lower end portion of the belt-cleaning frame 151 of the belt cleaning unit 150 shown in FIG.", "1 via the opening 107 formed in the first side plate 54.The right wall constituting the first conveying tube 161 rotatably supports the right end of the belt cleaning screw 153.The second conveying tube 162 is disposed below the first conveying tube 161 and extends in the diagonal direction from the upper front to the lower rear.", "The second conveying tube 162 has a general cylindrical shape.", "The second conveying tube 162 is fixed to the first side plate 54.The second conveying tube 162 has a front end connected to a bottom end of the first conveying tube 161.The second conveying tube 162 includes a waste toner conveying tube 163 therein.", "The waste toner conveying tube 163 is disposed within the second conveying tube 162.The waste toner conveying tube 163 can rotate relative to the second conveying tube 162.The waste toner conveying tube 163 is connected to connector tubes 172 described later, and movement of the connector tubes 172 causes the waste toner conveying tube 163 to rotate relative to the second conveying tube 162, as will be described later.", "The waste toner conveying tube 163 includes a conveying cylindrical part 171, and the connector tubes 172Y, 172M, 172C, and 172K having respective openings 175Y, 175M, 175C, and 175K.", "The conveying cylindrical part 171 is disposed in the second conveying tube 162 and extends along the same direction of the second conveying tube 162 (i.e., in the diagonal direction).", "The conveying cylindrical part 171 has a general cylindrical shape.", "As shown in FIG.", "2, the connector tubes 172Y, 172M, 172C, and 172K are arranged at intervals from each other in the diagonal direction in which the conveying cylindrical part 171 extends.", "Since the connector tubes 172Y, 172M, 172C, and 172K all have the same construction, only the connector tube 172M will be described below as a representative example.", "As shown in FIG.", "6, the connector tube 172M has a general cylindrical shape that extends diagonally upward and rightward from the conveying cylindrical part 171, and then bends and extends upward.", "The opening 175M is formed in a top end of the connector tube 172M.", "The opening 175M is as an example of a fifth opening.", "As shown in FIG.", "6, the connector tube 172M includes a seal 173M, and an interlocking member 174M.", "The opening 175M formed in the connector tube 172M is capable of communicating with the opening 102M of the discharge tube 100M, as will be described later.", "The seal 173M is formed of an elastic material having a frame-like shape that is rectangular in a plan view.", "The seal 173M is arranged around the opening 175M.", "The seal 173M is in contact with the seal 101M of the discharge tube 100M.", "The interlocking member 174M has a general bar shape.", "One end of the interlocking member 174M is attached to the top end of the connector tube 172M so as to be capable of rotating relative thereto, while the other end is attached to the cover 8 and is capable of rotating relative thereto.", "With this structure, the interlocking member 174M can move in conjunction with movement of the cover 8.Movement of the interlocking member 174M can in turn move the connector tube 172M between a communication position in which the connector tube 172M is communicated with the discharge tube 100, and a non-communication position in which such communication of the connector tube 172M to the discharge tube 100 is interrupted.", "As shown in FIG.", "2, the conveying screw 164 is disposed inside the conveying cylindrical part 171.The conveying screw 164 extends in the diagonal direction from the upper front to the lower rear.", "The conveying screw 164 is rotatably supported in the conveying cylindrical part 171.The third conveying tube 165 extends downward from a rear end of the second conveying tube 162.The third conveying tube 165 has a general cylindrical shape that is elongated vertically.", "The third conveying tube 165 has an opening 200 formed in a bottom end portion of the third conveying tube 165.A shutter 176 is movably disposed in the bottom end portion of the third conveying tube 165.The opening 200 is configured to be opened and closed through the movement of the shutter 176.The waste toner container 166 is disposed in a lower-right section of the main casing 2 to the rear of the access opening 63.The waste toner container 166 has a box-like shape that is elongated in the front-rear direction and can be mounted in and removed from the main casing 2 through the access opening 63.The waste toner container 166 has an opening 177 formed in an upper-rear corner portion of the waste toner container 166.The opening 177 penetrates a top wall constituting the waste toner container 166 vertically.", "A shutter 178 is movably disposed on the top wall of the waste toner container 166 such that the opening 177 can be opened and closed through the movement of the shutter 178.When the waste toner container 166 is mounted in the main casing 2, the opening 177 is in communication with the opening 200 formed in the third conveying tube 165.Hence, the waste toner conveying tube 163 is connected to the waste toner container 166 through the third conveying tube 165.7.Operations for Mounting and Removing the Toner Cartridges In the following description for the mounting and removal of the toner cartridges 16 and process cartridges 17, operations for mounting and removing the toner cartridge 16M and process cartridge 17M will be described as representative examples.", "For mounting the toner cartridge 16M in the main casing 2, the cover 60M is placed in its open position shown in FIG.", "7.The toner cartridge 16M is then inserted into the receiving part 65M of the mounting unit 53M via the opening 59M.", "When the toner cartridge 16M has been mounted on the receiving part 65M, the opening 72M of the toner cartridge 16M is in vertical communication with the opening 67M formed in the receiving part 65M of the mounting unit 53M.", "To remove the toner cartridge 16M from the main casing 2, the cover 60M is again placed in its open position, and the toner cartridge 16M is extracted from the main casing 2 through the opening 59M.", "8.Operations for Mounting and Removing the Process Cartridges To mount the process cartridge 17M in the main casing 2, the cover 8 is placed in its open position shown in FIG.", "8.The process cartridge 17M is then inserted into the main casing 2 through the opening 6 and the opening 58, while slidingly moving along the center plate 52.At this time, the first sloped surface 84M of the first support part 78M in the process cartridge 17M contacts the engaging surface 125M of the urging member 120RM, as shown in solid lines in FIG.", "8.Further, the second sloped surface 91M of the second support part 85M in the process cartridge 17M contacts the engaging surface 125M of the urging member 120LM.", "As the process cartridge 17M is further moved leftward, the first sloped surface 84M slides diagonally upward and leftward along the engaging surface 125M of the urging member 120RM, and the second sloped surface 91M slides diagonally upward and leftward along the engaging surface 125M of the urging member 120LM.", "Consequently, the process cartridge 17M moves diagonally upward and leftward.", "As a result, the opening 83M in the first support part 78M of the process cartridge 17M becomes fitted around the right end of the engaging part 123M constituting the urging member 120RM, and the opening 90M formed in the second support part 85M of the process cartridge 17M becomes fitted around the right end of the engaging part 123M constituting the urging member 120LM.", "As the process cartridge 17M moves farther leftward, the inner edge of the opening 83M defined in the first support part 78M contacts the sloped surface 128M of the urging member 120RM, while the inner edge of the opening 90M defined in the second support part 85M contacts the sloped surface 128M of the urging member 120LM.", "As the process cartridge 17M continues to move leftward, the inner edge of the opening 83M defined in the first support part 78M slides diagonally upward and leftward along the sloped surface 128M of the urging member 120RM, while the inner edge of the opening 90M defined in the second support part 85M slides diagonally upward and leftward along the sloped surface 128M of the urging member 120LM.", "Consequently, the process cartridge 17M moves diagonally upward and leftward.", "At this time, the first sloped surface 84M separates from the engaging surface 125M formed on the urging member 120RM, and the second sloped surface 91M separates from the engaging surface 125M formed on the urging member 120LM.", "As the process cartridge 17M continues to move leftward, the base part 126M of the urging member 120RM is inserted into the opening 83M formed in the first support part 78M.", "Similarly, the base part 126M on the urging member 120LM is inserted into the opening 90M formed in the second support part 85M.", "Next, the top surface of the first cylindrical part 79M in the process cartridge 17M becomes engaged in the first positioning groove 135M formed in the first positioning part 61.Similarly, the top surface of the second cylindrical part 86M becomes engaged in the second positioning groove 136M formed in the second positioning part 62.At this time, the process cartridge 17M is fixed in position in the main casing 2, as shown in FIG.", "2.In addition, the coupling 133M is inserted into the coupling recess 145M formed in the left end of the photosensitive drum 24 supported by the drum-unit frame 23M.", "At this time, the tension spring 124M of the urging member 120RM urges the first support part 78M upward through the base part 126M of the urging member 120RM.", "Similarly, the tension spring 124M of the urging member 120LM urges the second support part 85M upward through the base part 126M of the urging member 120LM.", "Due to the upward urging of the process cartridge 17M by the urging members 120RM and 120LM, the seal 92M of the first conveying tube 77M and the seal 69M on the input tube 66M of the mounting unit 53M contact each other with pressure.", "At this time, the opening 70M formed in the first conveying tube 77M is in vertical communication with the opening 68M formed in the mounting unit 53M.", "In this state, the process cartridge 17M is in the first position, as shown in FIG.", "6.To remove the process cartridge 17M from the main casing 2, the cover 8 is first moved from its closed position to its open position shown in FIG.", "7.At this time, the cover 8 pulls the interlocking member 174M downward and the interlocking member 174M in turn pulls the connector tube 172M downward, causing the waste toner conveying tube 163 to rotate counterclockwise in a rear view and the connector tube 172M to move into its non-communication position.", "The connector tube 172M is configured to move from its communication position to its non-communication position by a distance L1 in the vertical direction, as shown in FIG.", "7.From this state, an operator pulls the process cartridge 17M rightward.", "Through this action, the opening 83M of the first support part 78M disengages from the base part 126M on the urging member 120RM, and the opening 90M formed in the second support part 85M disengages from the base part 126M on the urging member 120LM, as shown in FIG.", "8.As the process cartridge 17M continues to move rightward, the inner edge of the opening 83M defined in the first support part 78M slides downward and rightward along the sloped surface 128M of the urging member 120RM, and the inner edge of the opening 90M defined in the second support part 85M slides downward and rightward along the sloped surface 128M of the urging member 120LM.", "Consequently, the process cartridge 17M itself moves diagonally downward and rightward.", "As the process cartridge 17M continues to move rightward, the first sloped surface 84M on the first support part 78M contacts the engaging surface 125M on the urging member 120RM, while the second sloped surface 91M on the second support part 85M contacts the engaging surface 125M on the urging member 120LM.", "At this time, the inner edge of the opening 83M defined in the first support part 78M separates from the sloped surface 128M on the urging member 120RM, and the inner edge of the opening 90M defined in the second support part 85M separates from the sloped surface 128M on the urging member 120LM.", "As the process cartridge 17M continues to move rightward, the first sloped surface 84M slides diagonally downward and rightward along the engaging surface 125M formed on the urging member 120RM, and the second sloped surface 91M slides diagonally downward and rightward along the engaging surface 125M formed on the urging member 120LM.", "Consequently, the process cartridge 17M itself moves diagonally downward and rightward and is placed on the center plate 52.This action interrupts communication between the opening 70M formed in the first conveying tube 77M and the opening 68M formed in the mounting unit 53M.", "At this time, the process cartridge 17M is in its second position, as shown in FIG.", "8.The process cartridge 17M is configured to move between the first position and the second position by a distance L2 smaller than the distance L1 in the vertical direction.", "From this state, the process cartridge 17M is extracted from the main casing 2 through the opening 6 and opening 58.9.Image-Forming Operation When the toner cartridges 16Y, 16M, 16C, and 16K and process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2, as shown in FIG.", "2, and an image-forming operation is initiated on the printer 1, the charging rollers 25Y, 25M, 25C, and 25K is configured to apply a uniform positive charge to the surfaces of the corresponding photosensitive drums 24Y, 24M, 24C, and 24K, as illustrated in FIG.", "1.Subsequently, the scanning unit 15 exposes the surfaces of the photosensitive drums 24Y, 24M, 24C, and 24K by irradiating laser beams with high-speed scanning, thereby forming electrostatic latent images based on image data on the surfaces of the corresponding photosensitive drums 24Y, 24M, 24C, and 24K.", "Incidentally, in each of the toner cartridges 16Y, 16M, 16C, and 16K, when the light-receiving element 112 receives light from the corresponding light-emitting element 111 (that is, when the level of toner in the second conveying tube 98 has not yet reached up to a position detectable by the detector 110), the first agitator 141 in each toner cartridge 16 is configured to rotate to convey toner from the corresponding large accommodating chamber 138 into the corresponding small accommodating chamber 139, as illustrated in FIG.", "2.The second agitator 142 in the corresponding small accommodating chamber 139 also rotates to introduce toner from the small accommodating chamber 139 into the input tube 66 via the corresponding openings 72 and 68.The toner introduced into the input tube 66 moves through the first conveying tube 77 and second conveying tube 98 of the corresponding process cartridge 17.When the light-receiving element 112 no longer receives light from the corresponding light-emitting element 111 (that is, when a sufficient amount of the toner has been introduced into the second conveying tube 98), the printer 1 stops driving the first agitator 141 and second agitator 142 of the corresponding toner cartridge 16.Thus, the toner can be maintained at a low level in the second conveying tubes 98Y, 98M, 98C, and 98K, and therefore the level of the toner can be prevented from rising higher to reach the openings 70Y, 70M, 70C, and 70K in the first conveying tubes 77Y, 77M, 77M and 77C.", "As illustrated in FIG.", "1, the unit screws 30Y, 30M, 30C, and 30K subsequently convey the toner from right to left in the developing-unit frames 26Y, 26M, 26C, and 26K while supplying the toner onto the supply rollers 28Y, 28M, 28C, and 28K.", "The toner supplied to the supply rollers 28Y, 28M, 28C, and 28K is in turn supplied onto the developing rollers 27Y, 27M, 27C, and 27K, at which time the toner is positively tribocharged between the supply rollers 28Y, 28M, 28C, and 28K and the corresponding developing rollers 27Y, 27M, 27C, and 27K.", "As the developing rollers 27Y, 27M, 27C, and 27K rotate, the thickness of the toner supplied onto the developing rollers 27Y, 27M, 27C, and 27K is regulated by the thickness-regulating blades 29Y, 29M, 29C, and 29K so that a toner layer of uniform thickness is carried on the surface of each of the developing rollers 27Y, 27M, 27C, and 27K.", "The positively charged toner carried on the surfaces of the developing rollers 27Y, 27M, 27C, and 27K is subsequently supplied to the electrostatic latent images formed on the surfaces of the respective photosensitive drums 24Y, 24M, 24C, and 24K as the photosensitive drums 24Y, 24M, 24C, and 24K rotate.", "In this way, toner images are formed through reverse development on the surfaces of the photosensitive drums 24Y, 24M, 24C, and 24K.", "Next, while the intermediate transfer belt 36 circulates, the photosensitive drums 24Y, 24M, 24C, and 24K transfer the toner images in their corresponding colors onto the intermediate transfer belt 36 in a primary transfer process.", "In the meantime, the first pick-up roller 12 picks up and separates the sheets accommodated in the first sheet tray 9 and conveys the sheets one at a time and at a prescribed timing along the first sheet-feeding path 181 to the position between the intermediate transfer belt 36 and the secondary transfer roller 32.As each sheet passes between the intermediate transfer belt 36 and the secondary transfer roller 32, the toner image carried on the intermediate transfer belt 36 is transferred onto the sheet in a secondary transfer process.", "Thereafter, heat and pressure are applied to the sheet in the fixing unit 19 as the sheet passes between the heat roller 41 and the pressure roller 42, thereby thermally fixing the toner image to the sheet.", "After the toner image has been thermally fixed to the sheet in the fixing unit 19, the sheet is guided by the guide 45 oriented in the discharge position and is discharged into the discharge tray 43.Here, if sheets have been loaded in the second sheet tray 10, the second pick-up roller 13 picks up and separates the sheets in the second sheet tray 10 and conveys the sheets one at a time and at a prescribed timing along the second sheet-feeding path 182 to the position between the intermediate transfer belt 36 and secondary transfer roller 32.Further, when images are being formed on both surfaces of the sheet, the sheet is guided along the guide 45 oriented in the reverse position after a toner image has been thermally fixed to one side of the sheet in the fixing unit 19, and the sheet enters between the reversing rollers 44.By reversing their rotation, the reversing rollers 44 convey the sheet back downward into the main casing 2 along a U-shaped path that guides the sheet back to the position between the intermediate transfer belt 36 and the secondary transfer roller 32 in order that an image can be formed on the back side of the sheet.", "Once the image has been formed on the back side of the sheet and thermally fixed to the sheet in the fixing unit 19, the sheet is guided along the guide 45, now oriented in the discharging position, and is discharged into the discharge tray 43.10.Cleaning Operation In the meantime, the drum cleaning blades 96Y, 96M, 96C, and 96K scrape off waster toner remaining on the corresponding photosensitive drums 24Y, 24M, 24C, and 24K.", "The waste toner is collected in the drum cleaning frames 95Y, 95M, 95C, and 95K.", "The drum cleaning screws 97Y, 97M, 97C, and 97K convey this waste toner rightward in the drum cleaning frames 95Y, 95M, 95C, and 95K.", "As shown in FIG.", "2, the waste toner being conveyed through the drum cleaning frames 95Y, 95M, 95C, and 95K flows into the connector tubes 172Y, 172M, 172C, and 172K through the discharge tubes 100Y, 100M, 100C, and 100K.", "Thereafter, the conveying screw 164 conveys the waste toner diagonally downward and rearward in the conveying cylindrical part 171 to the third conveying tube 165.The waste toner falls down through the third conveying tube 165 and is collected in the waste toner container 166.As shown in FIG.", "1, the belt-cleaning blade 152 scrapes residual toner off the intermediate transfer belt 36 so that the toner drops into the belt-cleaning frame 151.The belt-cleaning screw 153 then conveys the waste toner rightward in the belt-cleaning frame 151.The waste toner being conveyed in the belt-cleaning frame 151 flows into the conveying cylindrical part 171 through the first conveying tube 161 shown in FIG.", "2.The waste toner is subsequently conveyed diagonally downward and rearward in the conveying cylindrical part 171 by the conveying screw 164 and flows into the third conveying tube 165.The waste toner is discharged from the third conveying tube 165 and collected in the waste toner container 166.To remove the waste toner container 166 from the main casing 2, the operator places the cover 64 in the open position and pulls the waste toner container 166 out of the main casing 2 through the access opening 63.At this time, the shutter 178 closes the opening 177 formed in the waste toner container 166, and the shutter 176 closes the opening 200 at the bottom end of the third conveying tube 165.11.Operational and Technical Advantages of the First Embodiment (1) In the printer 1 of the embodiment described above, the toner cartridges 16Y, 16M, 16C, and 16K can be mounted in and removed from the main casing 2 in the left-right direction through the corresponding openings 59Y, 59M, 59C, and 59K, as shown in FIG.", "7.Further, the process cartridges 17Y, 17M, 17C, and 17K can be mounted in and removed from the main casing 2 in the left-right direction through the openings 6 and 58, as shown in FIG.", "8.Hence, the toner cartridges 16Y, 16M, 16C, and 16K and process cartridges 17Y, 17M, 17C, and 17K can be independently mounted in and removed from the main casing 2 in the left-right direction.", "When the process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2, as shown in FIG.", "6, the urging members 120RY, 120RM, 120RC and 120RK and the urging members 120LY, 120LM, 120LC, and 120LK urge the corresponding process cartridges 17Y, 17M, 17C, and 17K toward the first positioning part 61 and the second positioning part 62.Thus, the process cartridges 17Y, 17M, 17C, and 17K are positioned such that toner supplied from the toner cartridges 16Y, 16M, 16C, and 16K can be received through the corresponding openings 70Y, 70M, 70C, and 70K.", "Therefore, the toner supplied from the toner cartridges 16Y, 16M, 16C, and 16K can be reliably supplied to and received in the process cartridges 17Y, 17M, 17C, and 17K.", "(2) As shown in FIG.", "6, the urging members 120RY, 120RM, 120RC and 120RK and the urging members 120LY, 120LM, 120LC, and 120LK are positioned to the left of the openings 70Y, 70M, 70C, and 70K formed in the first conveying tubes 77Y, 77M, 77C, and 77K.", "Accordingly, this construction can prevent interference between the urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK and the openings 70Y, 70M, 70C, and 70K during mounting and removal of the process cartridges 17Y, 17M, 17C, and 17K relative to the main casing 2.", "(3) Further, the urging members 120RY, 120RM, 120RC and 120RK are disposed to the right of the first positioning part 61, as shown in FIG.", "6.Accordingly, the space in the printer 1 is effectively utilized when laying out the urging members 120RY, 120RM, 120RC and 120RK.", "(4) Further, as shown in FIG.", "6, the first positioning part 61 and second positioning part 62 are arranged further leftward than the openings 70Y, 70M, 70C, and 70K formed in the first conveying tubes 77Y, 77M, 77C, and 77K.", "This arrangement can prevent interference between the first positioning part 61 and second positioning part 62 and the openings 70Y, 70M, 70C, and 70K when the process cartridges 17Y, 17M, 17C, and 17K are mounted in or removed from the main casing 2.", "(5) As shown in FIG.", "3, the process cartridges 17Y, 17M, 17C, and 17K are respectively provided with the drum-unit frames 23Y, 23M, 23C, and 23K and developing-unit frames 26Y, 26M, 26C, and 26K.", "Further, the openings 70Y, 70M, 70C, and 70K are respectively formed in the drum-unit frames 23Y, 23M, 23C, and 23K.", "Accordingly, the openings 70Y, 70M, 70C, and 70K can be arranged in fixed positions, even when the developing-unit frames 26Y, 26M, 26C, and 26K pivot relative to the drum-unit frames 23Y, 23M, 23C, and 23K.", "Thus, the toner supplied from the toner cartridges 16Y, 16M, 16C, and 16K can be reliably received through the openings 70Y, 70M, 70C, and 70K.", "(6) As shown in FIG.", "3, the first conveying tubes 77Y, 77M, 77C, and 77K are connected to the second conveying tubes 98Y, 98M, 98C, and 98K on the right side of the first side plates 75Y, 75M, 75C, and 75K.", "In other words, the first conveying tubes 77Y, 77M, 77C, and 77K are connected to the second conveying tubes 98Y, 98M, 98C, and 98K respectively at positions opposite to the second side plates 76Y, 76M, 76C, and 76K with respect to the first side plates 75Y, 75M, 75C, and 75K in the left-right direction.", "This configuration prevents the need to enlarge the process cartridges 17Y, 17M, 17C, and 17K in the front-rear and vertical directions.", "(7) As shown in FIG.", "6, the first conveying tubes 77Y, 77M, 77C, and 77K at least partially overlap the corresponding urging members 120RY, 120RM, 120RC and 120RK in a front-rear projection.", "Accordingly, the space required for arranging the urging members 120RY, 120RM, 120RC and 120RK and the first conveying tubes 77Y, 77M, 77C, and 77K in the printer 1 can be reduced.", "(8) As shown in FIG.", "3, the developing-unit frames 26Y, 26M, 26C, and 26K can pivot about the rotational shaft 74K relative to the drum-unit frames 23Y, 23M, 23C, and 23K, respectively.", "Hence, by pivoting the developing-unit frames 26Y, 26M, 26C, and 26K relative to the drum-unit frames 23Y, 23M, 23C, and 23K, the developing-unit frames 26Y, 26M, 26C, and 26K can be separated from the drum-unit frames 23Y, 23M, 23C, and 23K, and the developing rollers 27Y, 27M, 27C, and 27K can be separated from the photosensitive drums 24Y, 24M, 24C, and 24K.", "(9) The connectors 99Y, 99M, 99C, and 99K that connect the first conveying tubes 77Y, 77M, 77C, and 77K to the second conveying tubes 98Y, 98M, 98C, and 98K shown in FIG.", "3 are formed of an elastic material, and specifically a sponge.", "Hence, the connectors 99Y, 99M, 99C, and 99K can flex appropriately when the developing-unit frames 26Y, 26M, 26C, and 26K pivot relative to the drum-unit frames 23Y, 23M, 23C, and 23K.", "Accordingly, the connectors 99Y, 99M, 99C, and 99K can reliably connect the first conveying tubes 77Y, 77M, 77C, and 77K to the corresponding second conveying tubes 98Y, 98M, 98C, and 98K, even when the developing-unit frames 26Y, 26M, 26C, and 26K pivot relative to the drum-unit frames 23Y, 23M, 23C, and 23K.", "(10) As shown in FIG.", "4B, the printer 1 of the first embodiment is provided with the detectors 110Y, 110M, 110C, and 110K for detecting the quantity of toner in the respective second conveying tubes 98Y, 98M, 98C, and 98K.", "Hence, the detectors 110Y, 110M, 110C, and 110K can detect whether toner is present in the respective second conveying tubes 98Y, 98M, 98C, and 98K.", "(11) As shown in FIG.", "5, the urging members 120RY, 120RM, 120RC and 120RK and the urging members 120LY, 120LM, 120LC, and 120LK are respectively provided with the engaging surfaces 125Y, 125M, 125C, and 125K.", "The engaging surfaces 125Y, 125M, 125C, and 125K slope upward toward the left.", "Therefore, when the process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2, the first support parts 78Y, 78M, 78C, 78K engage with the engaging surfaces 125Y, 125M, 125C, and 125K of the respective urging members 120RY, 120RM, 120RC and 120RK and the second support parts 85Y, 85M, 85C, and 85K engage with the engaging surfaces 125Y, 125M, 125C, and 125K of the respective urging members 120LY, 120LM, 120LC, and 120LK, and together move the process cartridges 17Y, 17M, 17C, and 17K upward.", "Accordingly, the process cartridges 17Y, 17M, 17C, and 17K can be smoothly engaged with the respective urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK during mounting of the process cartridges 17Y, 17M, 17C, and 17K in the main casing 2.", "(12) As shown in FIG.", "5, each of the urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK is provided with respective pivoting parts 121 121Y, 121M, 121C, and 121K; engaging parts 123Y, 123M, 123C, and 123K; and tension springs 124Y, 124M, 124C, and 124K.", "When the process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2, the tension springs 124Y, 124M, 124C, and 124K of the corresponding urging members 120RY, 120RM, 120RC and 120RK urge the first support parts 78Y, 78M, 78C, 78K upward through the base parts 126Y, 126M, 126C, and 126K of the corresponding urging members 120RY, 120RM, 120RC and 120RK.", "Similarly, the tension springs 124Y, 124M, 124C, and 124K of the corresponding urging members 120LY, 120LM, 120LC, and 120LK urge the second support parts 85Y, 85M, 85C, and 85K upward through the base parts 126Y, 126M, 126C, and 126K of the corresponding urging members 120LY, 120LM, 120LC, and 120LK.", "This configuration can reliably urge the process cartridges 17Y, 17M, 17C, and 17K upward.", "(13) As shown in FIG.", "6, the lower surfaces of the input tubes 66Y, 66M, 66C, 66Y of the respective mounting units 53Y, 53M, 53C, and 53K in which the openings 68Y, 68M, 68C, and 68K are formed slope downward toward the left.", "As shown in FIG.", "3, the top surfaces of the first conveying tubes 77Y, 77M, 77C, and 77K in which the openings 70Y, 70M, 70C, and 70K are formed also slope downward toward the left.", "Thus, the openings 68Y, 68M, 68C, and 68K can be placed smoothly in communication with the openings 70Y, 70M, 70C, and 70K when the process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2.Further, the urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK urge the process cartridges 17Y, 17M, 17C, and 17K toward the first positioning part 61 and second positioning part 62.In this way, the seals 92Y, 92M, 92C, and 92K provided on the first conveying tubes 77Y, 77M, 77C, and 77K are pressed against the corresponding seals 69Y, 69M, 69C, and 69K provided on the input tubes 66Y, 66M, 66C, and 66K.", "This arrangement improves the tightness of the seal formed between the seals 92Y, 92M, 92C, and 92K and the corresponding seals 69Y, 69M, 69C, and 69K.", "(14) As shown in FIG.", "6, the openings 102Y, 102M, 102C, and 102K formed in the discharge tubes 100Y, 100M, 100C, and 100K are arranged to the right of the openings 70Y, 70M, 70C, and 70K formed in the first conveying tubes 77Y, 77M, 77C, and 77K.", "In this way, the openings 102Y, 102M, 102C, and 102K and the openings 70Y, 70M, 70C, and 70K can be arranged with good balance in the main casing 2.", "(15) As shown in FIG.", "6, the openings 102Y, 102M, 102C, and 102K formed in the discharge tubes 100Y, 100M, 100C, and 100K are arranged to the right of the first positioning part 61.Accordingly, this arrangement prevents interference between the openings 102Y, 102M, 102C, and 102K and the first positioning part 61 when the process cartridges 17Y, 17M, 17C, and 17K are mounted in or removed from the main casing 2.", "(16) As shown in FIG.", "3, the first sloped surfaces 84Y, 84M, 84C, and 84K formed on the first support parts 78Y, 78M, 78C, 78K in the process cartridges 17Y, 17M, 17C, and 17K are arranged between the corresponding openings 70Y, 70M, 70C, and 70K and openings 102Y, 102M, 102C, and 102K in the front-rear direction.", "This arrangement reduces the space required in the printer 1 for arranging the openings 70Y, 70M, 70C, and 70K; openings 102Y, 102M, 102C, and 102K; and urging members 120RY, 120RM, 120RC and 120RK.", "(17) As shown in FIGS.", "6 and 7, the connector tubes 172Y, 172M, 172C, and 172K can be moved between the communication position and the non-communication position.", "With this configuration, the connector tubes 172Y, 172M, 172C, and 172K can be placed in the non-communication position when the process cartridges 17Y, 17M, 17C, and 17K are being mounted in or removed from the main casing 2, thereby preventing the connector tubes 172Y, 172M, 172C, and 172K from interfering with the process cartridges 17Y, 17M, 17C, and 17K.", "(18) As shown in FIGS.", "7 and 8, the vertical distance L1 by which the connector tubes 172Y, 172M, 172C, and 172K moves between the communication position and the non-communication position is greater than the vertical distance L2 by which the process cartridges 17Y, 17M, 17C, and 17K move between the first position and the second position.", "This arrangement can prevent interference between the connector tubes 172Y, 172M, 172C, and 172K and the process cartridges 17Y, 17M, 17C, and 17K by moving the process cartridges 17Y, 17M, 17C, and 17K from their first position to their second position after moving the connector tubes 172Y, 172M, 172C, and 172K from the communication position to the non-communication position.", "(19) As shown in FIG.", "7, the connector tubes 172Y, 172M, 172C, and 172K are in their communication position when the cover 8 is in its closed position, and the connector tubes 172Y, 172M, 172C, and 172K are in their non-communication position when the cover 8 is in its open position.", "Accordingly, the connector tubes 172Y, 172M, 172C, and 172K can be reliably placed at the non-communication position when mounting or removing the process cartridges 17Y, 17M, 17C, and 17K relative to the main casing 2.12.Second Embodiment Next, a printer 1A according to a second embodiment will be described with reference to FIGS.", "9 and 10, wherein like parts and components are designated with the same reference numerals to avoid duplicating description.", "In the first embodiment described above, the process cartridges 17Y, 17M, 17C, and 17K are urged toward the first positioning part 61 and second positioning part 62 by the urging members 120RY, 120RM, 120RC and 120RK and urging members 120LY, 120LM, 120LC, and 120LK.", "In the second embodiment shown in FIG.", "9, the main casing 2 is provided with a linkage 250 that can urge the process cartridges 17Y, 17M, 17C, and 17K toward the first positioning part 61 and second positioning part 62.The linkage 250 specifically includes a plate 251, a first linking member 252, a second linking member 253, a first spring 261, and a second spring 262.The plate 251 is disposed above the center plate 52.The plate 251 has a flat plate shape that is generally rectangular in a plan view and elongated in the left-right direction.", "The plate 251 has a right edge engageable with the left surface of the cover 8.The plate 251 has a left edge engageable with the right surface of the second side plate 55.The process cartridges 17Y, 17M, 17C, and 17K are supported on the plate 251.The first linking member 252 is disposed below a right end portion of the plate 251.The first linking member 252 has a general bar shape, with one end of the first linking member 252 attached to the right end portion of the plate 251 so as to be capable of rotating relative thereto, and the other end attached to a right end portion of the center plate 52 so as to be capable of rotating relative thereto.", "The second linking member 253 is disposed below a left end portion of the plate 251.The second linking member 253 has a general bar shape, with one end of the second linking member 253 attached to the left end portion of the plate 251 so as to be capable of rotating relative thereto, and the other end attached to a left end portion of the center plate 52 so as to be capable of rotating relative thereto.", "The first spring 261 is disposed above the right end portion of the plate 251.The first spring 261 is configured of a helical spring, with its bottom end engaged in the plate 251.The second spring 262 is disposed above the left end portion of the plate 251.The second spring 262 is configured of a helical spring, with its bottom end engaged in the plate 251.When mounted in the main casing 2, the process cartridges 17Y, 17M, 17C, and 17K are positioned above the plate 251.At this time, the right ends of the process cartridges 17Y, 17M, 17C, and 17K are urged toward the first positioning part 61 by the first spring 261, and the left ends of the process cartridges 17Y, 17M, 17C, and 17K are urged toward the second positioning part 62 by the second spring 262.When the cover 8 is moved to its open position while the process cartridges 17Y, 17M, 17C, and 17K are mounted in the main casing 2, the weight of the process cartridges 17Y, 17M, 17C, and 17K causes the first linking member 252 and second linking member 253 to pivot counterclockwise in FIG.", "10 so that the plate 251 moves diagonally downward and rightward.", "As a result, the process cartridges 17Y, 17M, 17C, and 17K move from their first position to their second position.", "Conversely, when the cover 8 is moved from this state to its closed position, the left surface of the cover 8 pushes the right end portion of the plate 251 leftward, as illustrated in FIG.", "9.Accordingly, the first linking member 252 and the second linking member 253 pivot clockwise in FIG.", "9, and the plate 251 moves diagonally upward and leftward.", "The printer 1A according to the second embodiment can obtain the same operational and technical advantages as those described in the first embodiment.", "Further, with the printer 1A according to the second embodiment, the linkage 250 can associate the opening and closing operations of the cover 8 with the operations for moving the process cartridges 17Y, 17M, 17C, and 17K between the first position and second position, as shown in FIGS.", "9 and 10.Accordingly, the process cartridges 17Y, 17M, 17C, and 17K can be reliably placed in their second position when the cover 8 is placed in its open position.", "13.Variations of the Embodiments In the embodiments described above, the printer 1(1A) is provided with the drum cleaning blades 96Y, 96M, 96C, and 96K as an example of the cleaning member.", "However, in place of the drum cleaning blades 96Y, 96M, 96C, and 96K, the printer 1(1A) may be provided with cleaning rollers or cleaning brushes that contact the photosensitive drums 24Y, 24M, 24C, and 24K.", "While the description has been made in detail with reference to specific embodiments thereof, it would be apparent to those skilled in the art that various changes and modifications may be made therein without departing from the scope of the above described embodiments." ] ]
Patent_15875746
[ [ "PROJECTION EXPOSURE METHODS AND SYSTEMS", "Projection exposure methods, systems, sub-systems and components are disclosed.", "Methods can include performing a first exposure to image a first sub-pattern of the pattern, where the first sub-pattern includes a plurality of first features extending in a first direction and spaced apart essentially periodically at a predominant periodicity length P in a second direction perpendicular to the first direction.", "The first exposure can be performed using a multipolar illumination mode that includes at least one substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis substantially parallel to the second direction and spaced apart from each other." ], [ "1.-25.", "(canceled) 26.An illumination system, comprising: a plurality of optical elements constructed and arranged to receive primary radiation emitted from a light source and to generate illumination radiation incident on a mask bearing a pattern, a pupil shaping unit configured to generate a defined multipolar intensity distribution corresponding to a selected illumination mode in a pupil surface of the illumination system during use, wherein: the multipolar intensity distribution comprises a quadrupolar intensity distribution having four illumination poles positioned on two pole orientation axes and spaced apart from one another; and the poles of the quadrupolar intensity distribution each have a lenticular pole shape defined by an overlapping zone of two circles with equal unit radius having a distance between the centers of the circles.", "27.The illumination system according to claim 26, wherein the poles of the quadrupolar intensity distribution each have a pole dimension ratio PDR=PH/PW between a pole height PH and a pole width PW according to PDROPT−20%≤PDR≤PDROPT+20% where PDROPT=sin (α)/(1−cos(α)), α is a half pole angle, the pole width PW is measured between an inner pole edge and an outer pole edge in a direction parallel to the pole orientation axis, and the pole height is measured between pole edges in a direction perpendicular to the pole orientation axis.", "28.The illumination system of claim 26, wherein the pupil-shaping unit comprises an array of individual elements.", "29.The illumination system of claim 28, wherein the individual elements comprise diffraction gratings.", "30.The illumination system of claim 28, wherein the individual elements comprise mirrors.", "31.The illumination system of claim 26, wherein the pupil-shaping unit comprises an optical modulation device configured to controllably change an angular distribution of radiation incident on the optical modulation device such that a desired intensity distribution is obtained in the pupil surface of the illumination system.", "32.The illumination system of claim 31, wherein the optical modulation device has an array of individual elements configured to be driven individually to change the angular distribution of radiation incident on the individual elements.", "33.The illumination system of claim 32, wherein the individual elements comprise mirrors.", "34.The illumination system of claim 32, wherein the individual elements comprise diffractive optical elements or acousto-optical elements.", "35.The illumination system according to claim 26, further comprising a blocking device arranged at or close to the pupil surface of the illumination system where the basic intensity distribution is generated, or at or close to a pupil surface optically conjugate thereto; and the blocking device is designed to block areas of the basic intensity distribution which lie outside the region desired for the pole shape.", "36.The illumination system according to claim 26, wherein the pupil shaping unit further comprises a zoom lens group configured to provide a continuously variable magnification during use.", "37.The illumination system according to claim 26, wherein the pupil shaping unit further comprises a pair of axicon elements having axicon surfaces, one concave and one convex, wherein at least one of the axicon elements is movable along an optical axis of the illumination system to allow adjusting a distance between the axicon surfaces.", "38.An apparatus, comprising: an illumination system configured so that during use the illumination system guides radiation along an illumination path to generate illumination radiation incident on a mask bearing a pattern; and a projection objective configured so that during use the projection objective projects an image of the pattern onto a radiation-sensitive substrate with projection radiation guided along a projection path, wherein the apparatus is a projection exposure apparatus, and the illumination system is configured according to claim 26.39.An illumination system, comprising: a plurality of optical elements constructed and arranged to receive primary radiation emitted from a light source and to generate illumination radiation incident on a mask bearing a pattern, a pupil shaping unit configured to generate a defined multipolar intensity distribution corresponding to a selected illumination mode in a pupil surface of the illumination system during use, wherein: the pattern comprises features spaced apart periodically at a predominant periodicity length P where the condition 0.7λ/NA<P<λ/NA holds; the multipolar intensity distribution comprises a dipolar intensity distribution having two illumination poles positioned on a pole orientation axis and spaced apart from each other at a distance d<λ/(P*NA), where λ is a nominal operation wavelength of radiation used, and NA is an image-side numerical aperture of a projection optical system used; and the poles of the dipolar intensity distribution each have a pole shape selected from the group consisting of: a generally biconvex pole shape with a pole dimension ratio PDR=PH/PW>1 between a pole height PH and a pole width PW, where the pole width PW is measured between an inner edge and an outer pole edge in a direction parallel to the pole orientation axis, and the pole height PH is measured between inner and outer pole edges in a direction perpendicular to the pole orientation axis; and a substantially lenticular pole shape defined by two convexly curved edges having opposite sense of curvature and centres of curvature essentially on a line parallel to the pole orientation axis; and the poles of the dipolar intensity distribution each have a pole dimension ratio PDR=PH/PW according to PDROPT−20%≤PDR≤PDROPT+20% where PDROPT=sin (α)/(1−cos(α)), α is a half pole angle, PW is measured between an inner pole edge and an outer pole edge in a direction parallel to the pole orientation axis, and PH is measured between pole edges in a direction perpendicular to the pole orientation axis.", "40.The illumination system of claim 39, wherein the pupil-shaping unit comprises an array of individual elements.", "41.The illumination system of claim 40, wherein the individual elements comprise diffraction gratings.", "42.The illumination system of claim 40, wherein the individual elements comprise mirrors.", "43.The illumination system of claim 40, wherein the individual elements are configured to be controlled individually in order to change an angular distribution of radiation influenced by the individual elements.", "44.The illumination system of claim 40, wherein during use: the array of individual elements is configured to generate a basic intensity distribution including areas of the poles of the dipolar intensity distribution and extending beyond the areas of the poles; the illumination system further comprises a blocking device arranged at or close to the pupil surface of the illumination system where the basic intensity distribution is generated, or at or close to a pupil surface optically conjugate thereto; and the blocking device is designed to block areas of the basic intensity distribution which lie outside the region desired for the pole shape.", "45.The illumination system of claim 39, wherein the pupil shaping unit further comprises a zoom lens group configured to provide a continuously variable magnification during use.", "46.The illumination system of claim 45, wherein the zoom lens group is arranged between the array of individual elements and the pupil surface of the illumination system.", "47.The illumination system of claim 39, wherein the pupil shaping unit further comprises a pair of axicon elements having axicon surfaces, one concave and one convex, wherein at least one of the axicon elements is movable along an optical axis of the illumination system to allow adjusting a distance between the axicon surfaces.", "48.The illumination system of claim 47, wherein the axicon group is arranged between the array of individual elements and the pupil surface of the illumination system.", "49.An apparatus, comprising: an illumination system configured so that during use the illumination system guides radiation along an illumination path to generate illumination radiation incident on a mask bearing a pattern; and a projection objective configured so that during use the projection objective projects an image of the pattern onto a radiation-sensitive substrate with projection radiation guided along a projection path, wherein the apparatus is a projection exposure apparatus, and the illumination system is configured according to claim 39." ], [ "<SOH> BACKGROUND <EOH>Microlithographic projection exposure methods are used for fabricating semiconductor components and other finely structured components.", "Use is made of masks (reticles) that bear the pattern of a structure to be imaged, for example a line pattern of a layer of a semiconductor component, such as an integrated circuit (IC).", "A mask is positioned in a projection exposure system between an illumination system and projection objective in the region of the object surface of the projection objective, and illuminated with illumination radiation provided by the illumination system.", "The radiation varied by the mask and the pattern forms projection radiation propagating through the projection objective, which images the pattern of the mask onto the substrate to be exposed, which normally bears a radiation-sensitive layer (photoresist).", "In some cases, the pattern of the mask is illuminated with radiation from an effective source having an intensity distribution at a pupil plane of the illumination system corresponding to a particular illumination mode.", "An image of the illuminated mask is projected onto a resist-coated semiconductor wafer." ], [ "<SOH> SUMMARY <EOH>In some embodiments, the disclosure provides projection exposure methods and systems that can provide improved long-term stability of optical performance when multipole illumination, such as dipole illumination, is used.", "In certain embodiments, the disclosure provides projection exposure methods and systems that can allow imaging of patterns having predominant periodic features running in a first direction at high contrast, while at the same time other sub-patterns including features running at an angle to the first direction may still be imaged with sufficient detail.", "In one aspect, the disclosure generally provides a method of imaging a pattern onto a substrate provided with a layer of a radiation-sensitive material.", "The method includes performing an exposure to image a first sub-pattern of the pattern, where the first sub-pattern includes a plurality of first features extending in a first direction and spaced apart essentially periodically at a predominant periodicity length P in a second direction perpendicular to the first direction.", "The exposure is performed using a multipolar illumination mode that includes at least one a substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis substantially parallel to the second direction and spaced apart from each other.", "The poles of the dipolar intensity distribution each have an azimuthal width defined by a pole angle θ, and a pole area A POLE according to: in-line-formulae description=\"In-line Formulae\" end=\"lead\"?", "0.6< A POLE /A OPT <1.1 in-line-formulae description=\"In-line Formulae\" end=\"tail\"?", "where A OPT =θ−sin (θ) and where cos(θ/2)=λ/(2*P*NA), where λ is a nominal operation wavelength of radiation used for the first exposure and NA is an image-side numerical aperture of a projection optical system used for the first exposure.", "The spatial intensity distribution at a pupil plane of the illumination system representing the effective source of radiation incident on the mask pattern can be optimized considering at least two different criteria.", "A first criterion relates to the angles of incidence (or illumination directions) provided by the effective source in view of the particular sub-pattern to be exposed, which is characterized by first features extending in a first direction and spaced apart essentially periodically at the predominant periodicity length P in the second direction.", "Under these conditions, an optimized illumination (optimized for contrast in an appropriate focus range determined by the depth of focus (DOF)) would generally provide only those illumination directions which could contribute to the imaging process for the detail of interest, which is characterized by periodicity length P. Where two-beam interference beyond the coherent resolution limit is desired to optimize resolution, the illumination directions allowing high contrast two-beam interference correspond to certain areas in the pupil of the illumination system.", "Those areas including all useful illumination directions (to obtain maximum contrast) generally define areas in the pupil surface having a lenticular shape resulting from an overlapping zone of two circles with equal unit radius having a distance d between the centers of the circles.", "Illumination directions corresponding to pupil locations outside these useful lens-shaped areas generally do not contribute to the desired two-beam interference and might in fact blur a resulting image.", "Those lenticular useful areas including all illumination directions contributing constructively to two-beam interference may be characterized by their size and correspond to a pole area (e.g., an optimum pole area) A OPT in a conjugated exit pupil of the projection optical system used for the exposure method.", "The second criterion regards the illumination angles actually used in an exposure process.", "It has been found that known systems employing dipole illumination typically use only a small fraction of the illumination angles which might theoretically be used to form a high-contrast image with two-beam interference.", "For example, small poles with circular shape or poles having the shape of a segment of an annulus have been used.", "Whereas those small poles of dipole illumination may be acceptable from a contrast point of view if only “useful” illumination directions are used, drawbacks in respect to overall performance may result if light energy is concentrated on correspondingly small areas on optical surfaces at or close to a pupil surface within the exposure system.", "Those local energy concentrations may cause or contribute to “lens heating” and associated time-dependent fluctuations of performance of the exposure system.", "Such issues can be addressed and at least partly avoided by providing illumination modes including at least one substantially dipolar intensity distribution with poles having optimized pole size and pole shape such that the pole area of such poles and/or the shape of the poles is close to the pole area and/or the pole shape.", "Where the condition 0.6<A POLE /A OPT <1.1 is fulfilled for a pole area, light energy within a pupil surface may be distributed over relatively large area fractions of the pupil essentially without trade-off between attainable contrast and intensity.", "Distributing light energy over larger local areas instead of smaller local areas has been found to significantly reduce detrimental effects of lens heating and associated effects on the performance.", "Where the pole area becomes significantly smaller than the lower limit a concentration of radiation energy in a relatively small area may result, which may cause problems associated with inhomogenous lens heating.", "Where the pole area becomes significantly larger than the upper limit, a corresponding distribution of illumination directions may include larger fractions of illumination direction not constructively contributing to a desired contrast, which may be undesirable.", "In some embodiments, the poles of the dipolar intensity distribution have a generally biconvex shape with a pole dimension ratio PDR=PH/PW>1 between a pole height PH and a pole width PW, where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis.", "At least one of the conditions PDR>1.2, PDR>1.4, PDR>1.6, PDR>1.8, PDR>2.0, PDR>3.0 and PDR>3.5 may be fulfilled.", "For example, a generally biconvex shape may be defined by a convex polygon having four or more sides, at least some of the sides including angles significantly larger than 90°, for example 120° or more.", "In certain embodiments, the poles of the dipolar intensity distribution have a substantially lenticular pole shape.", "The shape of a “planar circular two-angle” may be well approximated by a lenticular pole shape.", "A planar circular two-angle may be bound by two segments of a circle with opposite sense of curvature, where the segments intersect at intersection points forming the two “corners” of a “two-angle”.", "The segments of the circle delimiting the pole shape may have the same radius of curvature.", "In some embodiments, the poles of the dipolar intensity distribution have a parallelogram pole shape.", "A pole defined by two pairs of mutually parallel sides including non-rectangular angles may be optimized to fit closely to the shape of a pole area.", "The pole shape may be the shape of a rhombus (or rhomb), which is a quadrilateral in which all of the sides are of equal length (equilateral quadrangle).", "The shape may be described as a diamond or lozenge.", "In certain embodiments, the poles of the dipolar intensity distribution each have a pole dimension ratio PDR=PH/PW between a pole height PH and a pole width PW according to in-line-formulae description=\"In-line Formulae\" end=\"lead\"?", "PDR OPT −20%≤PDR≤PDR OPT +20% in-line-formulae description=\"In-line Formulae\" end=\"tail\"?", "where PDR OPT =sin (α)/(2*(1−cos(α)) and where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis.", "If this condition is fulfilled, a desirable balance may be obtained between a high contrast and a relatively large area where incident radiation energy is distributed at optical elements relatively close to a pupil surface.", "The disclosure also relates to an illumination system configured to generate and use the large pole illumination settings described above, and to a projection exposure apparatus including such an illumination system.", "In some embodiments, the disclosure provides an illumination system that includes a plurality of optical elements constructed and arranged to receive primary radiation emitted from a primary light source and to generate illumination radiation incident on a mask bearing a pattern.", "A number of the optical elements form a pupil shaping unit configured to generate a defined spatial intensity distribution corresponding to a selected illumination mode in a pupil surface of the illumination system.", "The spatial intensity distribution being a multipolar intensity distribution includes at least one substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis and spaced apart from each other.", "The poles of the dipolar intensity distribution each have have a pole shape selected from: a generally biconvex pole shape with a pole dimension ratio PDR=PH/PW>1 between a pole height PH and a pole width PW, where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis; a generally biconvex pole shape defined by a convex polygon having four or more sides, where some of the sides include angles larger than 90°; a parallelogram pole shape defined by a non-rectangular parallelogram having a shorter diagonal and a longer diagonal, where the shorter diagonal extends substantially parallel to a line parallel to the pole orientation axis; and a substantially lenticular pole shape defined by two convexly curved edges having opposite sense of curvature and centres of curvature essentially on a line parallel to the pole orientation axis.", "While reference is made to the use of the projection exposure method and apparatus in the manufacture of integrated circuits, more generally the method and apparatus may also be used in other applications, such as in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc.", "The individual features may be implemented either alone or in combination as embodiments, or may be implemented in other fields of application.", "Further, they may represent advantageous embodiments that are protectable in their own right, for which protection is claimed in the application as filed or for which protection will be claimed during pendency of the application." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of, and claims benefit under 35 USC 120 to, U.S. application Ser.", "No.", "14/556,432, filed Dec. 1, 2014, now U.S. Pat.", "No.", "9,885,958, which is a continuation of, and claims benefit under 35 USC 120 to, U.S. application Ser.", "No.", "12/483,733, filed Jun.", "12, 2009, now U.S. Pat.", "No.", "8,917,379, which is a continuation of, and claims benefit under 35 USC 120 to, international application PCT/EP2007/001574, filed Feb. 23, 2007, which claims benefit of European Application No.", "07000731.5, filed Jan. 16, 2007 and U.S. Ser.", "No.", "60/880,447, filed Jan. 16, 2007.U.S.", "application Ser.", "Nos.", "14/556,432, 12/483,733 and international application PCT/EP2007/001574 are hereby incorporated by reference in their entirety.", "FIELD The disclosure relates to projection exposure methods, systems, sub-systems and components.", "BACKGROUND Microlithographic projection exposure methods are used for fabricating semiconductor components and other finely structured components.", "Use is made of masks (reticles) that bear the pattern of a structure to be imaged, for example a line pattern of a layer of a semiconductor component, such as an integrated circuit (IC).", "A mask is positioned in a projection exposure system between an illumination system and projection objective in the region of the object surface of the projection objective, and illuminated with illumination radiation provided by the illumination system.", "The radiation varied by the mask and the pattern forms projection radiation propagating through the projection objective, which images the pattern of the mask onto the substrate to be exposed, which normally bears a radiation-sensitive layer (photoresist).", "In some cases, the pattern of the mask is illuminated with radiation from an effective source having an intensity distribution at a pupil plane of the illumination system corresponding to a particular illumination mode.", "An image of the illuminated mask is projected onto a resist-coated semiconductor wafer.", "SUMMARY In some embodiments, the disclosure provides projection exposure methods and systems that can provide improved long-term stability of optical performance when multipole illumination, such as dipole illumination, is used.", "In certain embodiments, the disclosure provides projection exposure methods and systems that can allow imaging of patterns having predominant periodic features running in a first direction at high contrast, while at the same time other sub-patterns including features running at an angle to the first direction may still be imaged with sufficient detail.", "In one aspect, the disclosure generally provides a method of imaging a pattern onto a substrate provided with a layer of a radiation-sensitive material.", "The method includes performing an exposure to image a first sub-pattern of the pattern, where the first sub-pattern includes a plurality of first features extending in a first direction and spaced apart essentially periodically at a predominant periodicity length P in a second direction perpendicular to the first direction.", "The exposure is performed using a multipolar illumination mode that includes at least one a substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis substantially parallel to the second direction and spaced apart from each other.", "The poles of the dipolar intensity distribution each have an azimuthal width defined by a pole angle θ, and a pole area APOLE according to: 0.6<APOLE/AOPT<1.1 where AOPT=θ−sin (θ) and where cos(θ/2)=λ/(2*P*NA), where λ is a nominal operation wavelength of radiation used for the first exposure and NA is an image-side numerical aperture of a projection optical system used for the first exposure.", "The spatial intensity distribution at a pupil plane of the illumination system representing the effective source of radiation incident on the mask pattern can be optimized considering at least two different criteria.", "A first criterion relates to the angles of incidence (or illumination directions) provided by the effective source in view of the particular sub-pattern to be exposed, which is characterized by first features extending in a first direction and spaced apart essentially periodically at the predominant periodicity length P in the second direction.", "Under these conditions, an optimized illumination (optimized for contrast in an appropriate focus range determined by the depth of focus (DOF)) would generally provide only those illumination directions which could contribute to the imaging process for the detail of interest, which is characterized by periodicity length P. Where two-beam interference beyond the coherent resolution limit is desired to optimize resolution, the illumination directions allowing high contrast two-beam interference correspond to certain areas in the pupil of the illumination system.", "Those areas including all useful illumination directions (to obtain maximum contrast) generally define areas in the pupil surface having a lenticular shape resulting from an overlapping zone of two circles with equal unit radius having a distance d between the centers of the circles.", "Illumination directions corresponding to pupil locations outside these useful lens-shaped areas generally do not contribute to the desired two-beam interference and might in fact blur a resulting image.", "Those lenticular useful areas including all illumination directions contributing constructively to two-beam interference may be characterized by their size and correspond to a pole area (e.g., an optimum pole area) AOPT in a conjugated exit pupil of the projection optical system used for the exposure method.", "The second criterion regards the illumination angles actually used in an exposure process.", "It has been found that known systems employing dipole illumination typically use only a small fraction of the illumination angles which might theoretically be used to form a high-contrast image with two-beam interference.", "For example, small poles with circular shape or poles having the shape of a segment of an annulus have been used.", "Whereas those small poles of dipole illumination may be acceptable from a contrast point of view if only “useful” illumination directions are used, drawbacks in respect to overall performance may result if light energy is concentrated on correspondingly small areas on optical surfaces at or close to a pupil surface within the exposure system.", "Those local energy concentrations may cause or contribute to “lens heating” and associated time-dependent fluctuations of performance of the exposure system.", "Such issues can be addressed and at least partly avoided by providing illumination modes including at least one substantially dipolar intensity distribution with poles having optimized pole size and pole shape such that the pole area of such poles and/or the shape of the poles is close to the pole area and/or the pole shape.", "Where the condition 0.6<APOLE/AOPT<1.1 is fulfilled for a pole area, light energy within a pupil surface may be distributed over relatively large area fractions of the pupil essentially without trade-off between attainable contrast and intensity.", "Distributing light energy over larger local areas instead of smaller local areas has been found to significantly reduce detrimental effects of lens heating and associated effects on the performance.", "Where the pole area becomes significantly smaller than the lower limit a concentration of radiation energy in a relatively small area may result, which may cause problems associated with inhomogenous lens heating.", "Where the pole area becomes significantly larger than the upper limit, a corresponding distribution of illumination directions may include larger fractions of illumination direction not constructively contributing to a desired contrast, which may be undesirable.", "In some embodiments, the poles of the dipolar intensity distribution have a generally biconvex shape with a pole dimension ratio PDR=PH/PW>1 between a pole height PH and a pole width PW, where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis.", "At least one of the conditions PDR>1.2, PDR>1.4, PDR>1.6, PDR>1.8, PDR>2.0, PDR>3.0 and PDR>3.5 may be fulfilled.", "For example, a generally biconvex shape may be defined by a convex polygon having four or more sides, at least some of the sides including angles significantly larger than 90°, for example 120° or more.", "In certain embodiments, the poles of the dipolar intensity distribution have a substantially lenticular pole shape.", "The shape of a “planar circular two-angle” may be well approximated by a lenticular pole shape.", "A planar circular two-angle may be bound by two segments of a circle with opposite sense of curvature, where the segments intersect at intersection points forming the two “corners” of a “two-angle”.", "The segments of the circle delimiting the pole shape may have the same radius of curvature.", "In some embodiments, the poles of the dipolar intensity distribution have a parallelogram pole shape.", "A pole defined by two pairs of mutually parallel sides including non-rectangular angles may be optimized to fit closely to the shape of a pole area.", "The pole shape may be the shape of a rhombus (or rhomb), which is a quadrilateral in which all of the sides are of equal length (equilateral quadrangle).", "The shape may be described as a diamond or lozenge.", "In certain embodiments, the poles of the dipolar intensity distribution each have a pole dimension ratio PDR=PH/PW between a pole height PH and a pole width PW according to PDROPT−20%≤PDR≤PDROPT+20% where PDROPT=sin (α)/(2*(1−cos(α)) and where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis.", "If this condition is fulfilled, a desirable balance may be obtained between a high contrast and a relatively large area where incident radiation energy is distributed at optical elements relatively close to a pupil surface.", "The disclosure also relates to an illumination system configured to generate and use the large pole illumination settings described above, and to a projection exposure apparatus including such an illumination system.", "In some embodiments, the disclosure provides an illumination system that includes a plurality of optical elements constructed and arranged to receive primary radiation emitted from a primary light source and to generate illumination radiation incident on a mask bearing a pattern.", "A number of the optical elements form a pupil shaping unit configured to generate a defined spatial intensity distribution corresponding to a selected illumination mode in a pupil surface of the illumination system.", "The spatial intensity distribution being a multipolar intensity distribution includes at least one substantially dipolar intensity distribution having two illumination poles positioned on a pole orientation axis and spaced apart from each other.", "The poles of the dipolar intensity distribution each have have a pole shape selected from: a generally biconvex pole shape with a pole dimension ratio PDR=PH/PW>1 between a pole height PH and a pole width PW, where the pole width PW is measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis and the pole height PH is measured between pole edges in a direction perpendicular to the pole orientation axis; a generally biconvex pole shape defined by a convex polygon having four or more sides, where some of the sides include angles larger than 90°; a parallelogram pole shape defined by a non-rectangular parallelogram having a shorter diagonal and a longer diagonal, where the shorter diagonal extends substantially parallel to a line parallel to the pole orientation axis; and a substantially lenticular pole shape defined by two convexly curved edges having opposite sense of curvature and centres of curvature essentially on a line parallel to the pole orientation axis.", "While reference is made to the use of the projection exposure method and apparatus in the manufacture of integrated circuits, more generally the method and apparatus may also be used in other applications, such as in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc.", "The individual features may be implemented either alone or in combination as embodiments, or may be implemented in other fields of application.", "Further, they may represent advantageous embodiments that are protectable in their own right, for which protection is claimed in the application as filed or for which protection will be claimed during pendency of the application.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates schematically the principle of symmetric off-axis illumination; FIGS.", "2A-2D show schematically various illumination modes; FIG.", "3 illustrates schematically a projection exposure system configured for dipole illumination mode with lenticular poles; FIG.", "4 illustrates schematically a circular pupil of a projection objective at imaging conditions beyond the coherent resolution limit using symmetric two-beam interference imaging conditions; FIGS.", "5A-5C illustrate where the poles of the dipolar intensity distribution each have a lenticular pole shape; FIGS.", "6A-6B illustrate schematically the use of a mask to generate biconvex, lenticular illumination poles in a modified conventional illumination system; FIGS.", "7A-7B show poles of a dipolar intensity distribution having a parallelogram pole shape; FIG.", "8 shows a meridional section of an illumination system configured to generate large polses in a projection exposure step-and-scan apparatus; FIGS.", "9A-9B show schematically a detail of a first raster element configured to generate a substantially dipolar intensity distribution having two illumination poles each having a diamond shape as shown in FIG.", "7; FIGS.", "10A-10B show diagrams illustrating improvements on lens heating induced wavefront phase errors obtained by illuminating with large poles; and FIG.", "11 shows dipole illumination with lenticular poles used for double patterning.", "FIG.", "12 shows illumination.", "DETAILED DESCRIPTION In the following description, similar or identical features shown in different figures are designated with the same reference identifications, where appropriate.", "In optical lithography it is known to use off-axis illumination, which enables smaller features to be faithfully imaged.", "With this technique, a mask providing the patterned structure (pattern) is illuminated at oblique (non-perpendicular) angles such that process latitude is improved by increasing the depth of focus and/or such that contrast is improved.", "FIG.", "1 illustrates this principle in which a beam B of radiation is incident on a mask M carrying a pattern PAT at an opening angle γ inclined to the optical axis OA, which is conventionally vertical.", "The incident beam B is diffracted by features of the pattern PAT on the mask M, which are to be imaged onto the wafer W coated by a layer of photoresist RES.", "The zeroth and two first-order-diffracted beams (0, ±1) are shown in FIG.", "1.Improved performance can be achieved when, for example, at least part of the zeroth order and one of the first orders, which are coherent, are captured by the projection objective PO and used to form the image on the wafer W. The smaller the pitch P (or periodicity length) of features of the pattern on the mask M, the larger the diffraction angle β will be according to β=λ/P, where λ is the nominal operating wavelength of radiation in beam B.", "If the size of the features decreases and/or their density increases too much, there will come a point at which the pupil of the projection objective PO can no longer capture more than one diffracted order.", "In practical systems there will be a range of opening angles γ which determines the partial coherence of the light source and thus is important to the figures of merit of the exposure process, such as exposure latitude, depth of focus and proximity effects.", "The distribution of opening angles γ can be visualized by considering the intensity distribution of the effective radiation source (effective source) or equivalently the intensity distribution in the plane of a pupil of the projection objective.", "FIGS.", "2A-2D show representative examples.", "FIG.", "2A illustrates a simple on-axis illumination mode characterized by the parameter σ shown by an arrow in the figure.", "Values of σ (often referred to as coherence factor) are conventionally quoted as the ratio of the radius of the illumination intensity disc (hatched) to the radius of the pupil and therefore take a value between 0 and 1.FIG.", "2B shows an annular illumination mode in which the intensity distribution of the source is confined to an annulus to limit the range of angles of incidence of the off-axis illumination, considering that the spatial intensity distribution at the pupil plane is related to the angular distribution at the mask plane, which is a Fourier transform plane to the pupil plane.", "The annulus may be characterized by the values σi and σo, which are the ratios of its inner and outer radii to the radius of the pupil.", "FIG.", "2C illustrates the intensity distribution of the quadrupole illumination mode, the use of which sometimes gives improved imaging results to the use of annular or coherent modes.", "Conventionally, in using such a quadrupole configuration, it is assumed that the patterned structure of the mask to be projected includes sub-patterns of orthogonal lines along x and y axes and the illumination is oriented such that each of the four poles is situated in a respective one of the four quadrants defined by these x and y axes and at their point of intersection.", "In specific applications it has been found that superior performance can be obtained using dipolar illumination modes.", "FIG.", "2D shows an example of the dipolar illumination intensity distribution for a dipole mode.", "The two poles POLE1, POLE2 of this mode are located off the optical axis OA of the imaging system.", "For illustrative purposes, the two poles illustrated in FIG.", "2D are said to lie along the x axis (second direction) and may be optimal for imaging lines extending parallel to the y axis (first direction), i.e.", "perpendicular to the pole orientation axis POA joining the two poles.", "Sometimes the x and y axis are referred to as horizontal and vertical, respectively, but these terms typically do not bear any relation to the orientation of the machine.", "As illustrated in FIG.", "2D the pole shape of the poles POLE1, POLE2 in conventional systems may correspond to an azimuthal section of an annulus.", "Due to the desire that the first diffraction order should pass completely through the aperture of the projection objective to obtain maximum contrast, limitations result for the radial width Δσ=σo−σi of the poles and for the azimuthal width (width in circumferential direction) of the poles defined by the pole angle Θ.", "With dipole illumination, the effective light source is confined to two poles, in order to create the conditions for two-beam imaging with theoretical ideal contrast.", "FIG.", "3 illustrates schematically a projection exposure system configured for dipole illumination mode.", "Primary radiation provided by a primary light source LS is transformed by an illumination system ILL to provide illumination radiation incident on a mask M bearing a pattern PAT.", "The radiation is diffracted by pattern PAT and forms projection radiation propagating through the projection objective PO, which images the pattern of the mask onto the substrate, which is a wafer W coated with a resist layer RES in the exemplary embodiment.", "The illumination system ILL includes a pupil shaping unit PSU to create an effective source ES formed by a predefined intensity distribution at a pupil plane PILL of the illumination system, where the predefined intensity distribution is a dipole illumination characterized by two lenticular poles POLE1 and POLE2.The pupil plane PILL, where the effective source ES is formed, is a Fourier transform surface with respect to the object surface of the projection objective PO, where the mask M is situated.", "Therefore, the spatial distribution of light intensity of the effective source ES determines an angular distribution of illumination radiation incident on the mask M. A condenser system CS is provided to perform the Fourier transformation.", "The pupil surface PPO of the projection objective is optically conjugated to the pupil surface PILL of the illumination system.", "Therefore, in the absence of a mask, a spatial intensity distribution equivalent to the intensity distribution at the effective source ES may be formed in the pupil surface PPO of the projection objective.", "Where a mask bearing a pattern is inserted between the illumination system and the projection objective, the intensity distribution in the pupil plane PPO of the projection objective also contains diffraction information corresponding to the properties of the mask pattern PAT.", "FIG.", "4 illustrates schematically a circular pupil PPO of a projection objective having unit radius (length=1) corresponding to the utilized image-side numerical aperture NA at imaging conditions beyond the coherent resolution limit of a periodic pattern of mutually parallel lines running in a first direction (y direction) having periodicity length (pitch) P in a second direction (x direction).", "A symmetric two-beam interference imaging condition is assumed, where a first diffraction order (+1 or −1) coincides with the zeroth order (0).", "In this representation, all illumination directions which contribute constructively to two-beam interference correspond to pupil positions lying within the lenticular (lens-shaped) regions LR at opposite edges of the pupil in the x direction.", "Those lenticular regions (also denoted as “planar circular two-angles” result from a partial overlap of circles of equal size corresponding to neighbouring diffraction orders.", "A distance d between the centers of the lenticular regions is determined by d=λ/(P*NA).", "The angular width of the lenticular area (width in circumferential direction) may be defined by a pole angle θ between the opposing corners of the area in the y direction, where pole angle θ is twice the pole half angle α (i.e.", "θ=2*α).", "The size of the lenticular area, i.e.", "the area AOPT of the hatched lenticular region LR in FIG.", "4, may be calculated as AOPT=θ−sin(θ) with cos(α)=d/2=λ/(2*P*NA).", "It is contemplated that a maximum contrast is possible if all illumination directions corresponding to pupil positions within the lenticular regions LR are used.", "The size AOPT of the lenticular regions may be calculated based on the following considerations explained now in connection with FIG.", "4.The area AOPT is four times the difference between the area AS of the circular sector with sector angle α, and the area AABC of triangle ABC indicated in FIG.", "4.The difference area AS-AABC is hatched darkly in FIG.", "4.Calculating the angles in radians, the sector area AS is calculated as follows: AS=(α/2π)·π·r2, where r=1 is the unit radius of the pupil.", "Therefore, AS=(α/2π)·π=α/2.With distance A−C=cos (α) and distance B−C=sin (α) the area AABC of the triangle calculate as: AABC=(sin (α)·cos (α))/2.Further considering that 2·sin (α)·cos (α)=sin (2·α), the area AOPT of the lenticular region LR calculates as follows: A OPT =  4 · ( A S - A ABC ) =  4 · ( α / 2 - ( sin  ( α ) · cos  ( α ) ) / 2 ) =  2 · α - sin  ( 2 · α ) =  θ - sin  ( θ ) An illumination pole having the size and shape of a desirable (e.g., optimum) lenticular region LR may be characterized by a pole width PW measured between an inner and an outer pole edge in a direction parallel to the pole orientation axis POA, and a pole height PH measured between pole edges in a direction perpendicular to the pole orientation axis, i.e.", "in y direction (see FIG.", "5A).", "Defining a pole dimension ratio PDR as the aspect ratio between pole PH height and pole width PW according to PDR=PH/PW, for the lenticular regions PDROPT=sin(α)/(1−cos(α)).", "In conventional systems, only a fraction of those illumination directions is normally used.", "For illustration purposes, FIG.", "4 shows conventional poles CP having a shape corresponding to an azimuthal section of an annulus within the lenticular regions LR.", "Limitations regarding radial width Δσ and pole angle result from the desire that the first diffraction order should fall completely within the aperture of the objective to obtain maximum contrast.", "As evident from FIG.", "4, the area of the conventional poles CP is significantly smaller than the area AOPT of the lenticular zones which could be used for the imaging process at good (e.g., optimum) contrast.", "For example, the size of the conventional poles may be 50% or less of the area AOPT.", "The pole dimension ratio PDR of a conventional pole usually differs significantly from the pole dimension ratio PDROPT defined above.", "For example, a circular pole would correspond to PDR=1.The annular segment shaped conventional poles CP depicted schematically in FIG.", "4 may have varying pole dimension ratio depending on the selected values for Δσ and pole angle.", "Generally, as the pole height increases, the pole width will decrease, and vice versa.", "Now consider a lens or another optical element having an optical surface at or close to the pupil surface of the projection objective, where light intensity is concentrated at the edge of the lens surface in regions corresponding to the poles of the dipole illumination.", "Studies have shown that effects of lens heating may cause characteristic deformations of wavefronts passing the projection objective due to local deformation of the lenses and/or due to localized radiation-induced changes of refractive index.", "For example, where a dipolar intensity distribution is used, the local deformation of lenses or other optical elements and associated wavefront deformations may be dominated by astigmatic contributions.", "In the following a number of embodiments are described where a multipolar illumination mode includes at least one substantially dipolar intensity distribution having two illumination poles, where the pole area APOLE of poles is selected to be very close to or equal to the pole area AOPT defined above.", "Negative effects caused by localized lens heating may be reduced significantly when compared to using conventional poles.", "FIGS.", "5A-5C illustrate where the poles POLE 1 and POLE 2 of the dipolar intensity distribution each have a lenticular pole shape defined by two convexly curved edges having opposite sense of curvature and centers of curvature essentially on a line parallel to the pole orientation axis POA.", "In FIG.", "5A the size and shape of the poles is adjusted such that the poles exactly fit the lenticular region LR corresponding to the given pitch P of the sub-pattern to be printed.", "The conditions APOLE=AOPT and PDRPOLE=PDROPT hold for the pole area and pole dimension ratio, respectively.", "FIG.", "5B shows an example where all light intensity is concentrated in poles POLE1, POLE2 slightly smaller than the lenticular area by about 10%.", "Although not all illumination directions theoretically contributing to constructive interference are used in this setting, the intensity of the poles is still distributed over a relatively large area on optical surfaces near pupil surfaces to eliminate or alleviate lens heating problems.", "In FIG.", "5C the size of the poles POLE1, POLE2 is slightly larger than the pole area AOPT of poles such that a small fraction of illumination directions falls outside the pupil, thereby not contributing to imaging, and some illumination angles smaller than the lowest limit of the optimum angular distribution according to FIG.", "4 are also present.", "As light energy is distributed over relatively large areas around the poles, lens heating problems may be smallest in FIG.", "5C with potential trade-offs in attainable contrast.", "Optimum compromise settings may be evaluated by simulation or test exposures.", "FIGS.", "6A-6B illustrate schematically one option to generate biconvex, lenticular (lens-shaped shaped) illumination poles as described above in a modified conventional illumination system configured to generate illumination poles having the shape of a segment of an annulus.", "Illumination systems as described e. g. in U.S. Pat.", "No.", "6,452,662 B2 may be used and modified for that purpose.", "The disclosure of U.S. Pat.", "No.", "6,452,662 B2 and U.S. Pat.", "No.", "6,855,486 B1 regarding constructive details of illumination systems capable of generating segment shaped pairs of poles are incorporated herein by reference.", "The adjustable optical elements of the pupil shaping unit of the illumination system are first set to generate, in a pupil surface of the illumination system, a dipolar intensity distribution having two basic poles BP1 and BP2, each having the shape of a segment of an annulus where the outer radius is set to σO=1 and the width of the annular segment is adjusted such that Δσ corresponds to the desired pole width PW of the desired lenticular pole.", "The pole angle θ is set to correspond to the desired pole angle θ of the lenticular pole (FIG.", "6A).", "It is to be noted that conventional systems may need to be modified with respect to the variation width of adjustable elements to allow for those dimensions of the annular segment shaped poles, which may be larger than poles in the conventional system in both the circumferential and the radial direction.", "The illumination system includes a blocking device BD (FIG.", "6B) at or close to the pupil surface of the illumination system where the effective source is generated, or at or close to a pupil surface optically conjugate thereto.", "The blocking device in this embodiment is designed to block those areas at the inner edge of the annulus segment shaped poles which lie outside the lenticular region desired for the pole shape.", "For that purpose, the blocking device may take the general butterfly shape schematically shown in FIG.", "6B having “wings” extending in azimuthal direction over an angle θ corresponding to the pole angle, and comprising outer edges concavely curved inwards towards the center of the blocking device to define the inner edge of the lenticular pole.", "Those areas actually blocked by the blocking device are drawn with diagonal hatching in FIG.", "6A.", "When the blocking device is inserted into the appropriate position, the resulting effective light source includes two lenticular poles POLE1 and POLE2 having the pole area AOPT or a slight variation therefrom as described above.", "An exchanging device allowing to exchange blocking devices having different layout may be provided to allow variation of dimensions of the lenticular poles.", "Also, a blocking device may be designed to be variable, for example by providing a number of smaller blades arranged in the manner of a fan to allow varying the pole angle θ as desired.", "The blocking device may also be formed by an opaque coating on a transparent optical element, such as a plate, which may be exchangeable.", "In FIGS.", "7A-7B the poles of the dipolar intensity distribution have a parallelogram pole shape defined by a non-rectangular parallelogram having a shorter diagonal D2 and a longer diagonal D1, the shorter diagonal extending substantially parallel to a line parallel to the pole orientation axis POA.", "FIGS.", "7A and 7B each show the lenticular regions LR corresponding to the optimum size and shape of poles adapted to a sub-pattern having predominant pitch P. In FIG.", "7A, each of the poles POLE1 and POLE2 of the dipolar intensity distribution has the shape of a rhombus, i. e. the shape of an equilateral quadrangle arranged mirror symmetric to the pole orientation axis POA.", "The biconvex, diamond shaped poles are fit into the optimum sized lenticular region LR such that their longer diagonal D1 (extending in y-direction) defines the pole height PH, whereas the shorter diagonal D2 extending between the inner and outer edge of the pole in the second direction (x-direction) corresponds to the pole width PW.", "The area of each of the rhombohedral poles POLE1, POLE2 is APOLE=(D1*D2)/2, which is smaller than the area AOPT of the optimum sized lenticular region.", "The difference in area, ΔA, may be calculated according to ΔA=2*α·sin (2*α)−4*sin (α)*(1−cos (α)).", "As exemplified in FIG.", "7B, it is not necessary to fit the diamond shaped poles exactly into the optimum lenticular region LR.", "For example, the rhombohedral poles may be slightly larger such that tips of the rhombohedron in the first direction and/or in the second direction may extend beyond the outer limits of the ideal lenticular region LR.", "Also, the pole dimension ration PH/PW may deviate from the optimum value, thereby resulting in slightly narrower or wider diamond shaped poles.", "The deviation may be up to about 10%, for example.", "Although some loss of contrast might occur, the oversizing of the rhombohedral poles allows to distribute light energy over larger areas at the edges of optical elements near to a pupil, thereby eliminating or decreasing problems associated with localized lens heating.", "FIG.", "8 shows a meridional section of an illumination system ILL configured to be used in a projection exposure step-and-scan apparatus.", "The illumination system includes a plurality of optical elements constructed and arranged to receive primary radiation emitted from a primary light source LS and to generate illumination radiation incident on a mask M bearing a pattern.", "In the embodiment, the light source LS is an excimer laser emitting primary radiation having a nominal operation wavelength in the deep ultraviolet (DUV) spectral range, for example 193 nm.", "Primary radiation exciting the light source LS forms a coherent radiation bundle having a small cross-section and low divergence, which corresponds to a small value of geometrical optical flux (etendue).", "A number of optical elements following the light source LS form a variable pupil shaping unit PSU configured to generate a defined spatial intensity distribution corresponding to a selected illumination mode in a pupil surface PILL of the illumination system.", "A partial system PS following the pupil surface PILL includes a number of optical elements configured to transform the two-dimensional intensity distribution present in the pupil surface PILL into a corresponding angular distribution of illumination radiation incident on the mask M. The partial system PS includes elements to homogenize the radiation and to sharply define the edges of an illumination field in the exit surface EX of the illumination system, which coincides with the object surface of a subsequent projection objective (see FIG.", "3).", "The pupil shaping unit PSU comprises, at an entry side thereof, a beam expansion unit EXP which expands the coherent radiation bundle received from the light source LS.", "The beam expansion unit includes a number of refractive optical elements diverting the radiation such that the cross-section of the bundle is increased without altering the geometrical optical flux of the bundle.", "After passing through the beam expansion unit EXP the projection radiation bundle impinges on a first optical raster element RE1 structured to increase divergence of the radiation bundle by introducing propagation angles according to a desired illumination mode.", "In FIG.", "8 this is schematically illustrated for an axial ray that is split into two diverging rays R1, R2 after the raster element RE1.The first raster element RE1 modifies the angular distribution of the radiation bundle and also increases the geometrical optical flux thereof.", "The first raster element RE1 may be formed by a diffractive optical element (DOE) or by a refractive optical element (ROE), for example.", "Various kinds of optical raster elements are possible, for example a micro-lens array in which micro-lenses are formed by Fresnel zone plates.", "The first raster element RE1 may be a reflective raster element including an array of mirror segments oriented at different angles (multi-mirror array).", "First raster element RE1 is arranged in a front focal plane FFP of a zoom/axicon module ZA which includes a zoom lens group Z providing a continuously variable magnification, and an axicon group AX comprising a pair of axicons AX1, AX2, one concave and one convex, whose separation can be varied continuously to allow adjusting a distance between the axicon surfaces between zero distance (contact between axicon surfaces) and preselected finite values.", "The entire zoom/axicon module ZA is configured as a focal length optical system transforming an angular distribution of radiation in the front focal plane FFP into a desired two-dimensional (spatial) intensity distribution in the rear focal plane RFP, which coincides with the pupil surface PILL of the illumination system.", "A field defining arrangement FD is positioned in the rear focal plane RFP of the zoom/axicon module ZA.", "The field defining arrangement is configured to further introduce divergence into the radiation beam, thereby further enlarging the geometrical flux of the radiation beam.", "The divergence introduced by the field defining arrangement FD is schematically represented by divergent rays downstream of the field defining arrangement.", "Embodiments of field defining arrangements suitable for this purpose are disclosed, for example, in applicant's international application published as WO 2005/078522 A2, which is incorporated herein by reference in its entirety.", "The diverging rays exiting the field defining arrangement FD enter an objective OB represented by a single condenser lens.", "The objective OB is arranged within the illumination systems such that an entrance pupil plane of the objective OB coincides with the exit pupil surface of the zoom/axicon module.", "The image plane of objective OB, which is a Fourier transform plane to the pupil PILL of the illumination system, is a field plane FP within the illumination system.", "A reticle masking unit REMA acting as an adjustable field stop is positioned in the field plane FP to define the edges of the illumination field.", "Details of embodiments may be taken, for example from WO 2005/078522 A2.An imaging objective RO is arranged between the field plane FP and the exit surface ES of the illumination system, where the mask M may be positioned and allows to image the intensity distribution present in the field plane FP onto the mask pattern.", "FIGS.", "9A-9b show schematically a detail of a first raster element RE1 configured to generate a substantially dipolar intensity distribution having two illumination poles each having the diamond shape discussed in context of FIG.", "7.The raster element RE1 is formed by an array of raster segments RS arranged side by side in a mosaic arrangement to cover without interspaces the complete illuminated surface of the raster element RE1.Such arrangement allows to generate the dipolar intensity distribution essentially without blocking any parts of the beam so that there is no intensity loss involved in the generation of the dipolar intensity distribution.", "The raster element RE1 can be formed by an array of refractive lens segments.", "Each raster segment RS may be formed by a segment of a lens or a prism where an entry-side surface and an exit-side surface of the raster segment are oriented such that a desired redirection of radiation is caused by refraction at the segment surfaces as the radiation passes through the raster segment.", "The rhombohedral shape of the raster segments RS determines the shape of the poles in the pupil surface PILL.", "In FIG.", "9A the raster segments are numbered “1” and “2” to illustrate which raster segments contribute to the illumination intensity in the two poles POLE1 and POLE2 shown in FIG.", "9B.", "The structure of the raster segments is adjusted to redirect at least a major portion of impinging radiation to the two poles.", "As illustrated by dashed lines in some raster segments of FIG.", "9A, the raster elements may also be segmented into other shapes, such as triangular raster segments, where the size and shape of the triangles are adapted to define, in combination, a rhombohedral area corresponding to the desired pole shape.", "In some embodiments, the raster element RE1 may be designed as a diffractive computer generated hologram (CGH) assigning to each of the raster segments RS a diffractive structure effective to redirect light intensity present in a partial beam impinging on the raster segment into the associated pole.", "The raster segments may have a shape differing from the shape of the desired poles, e.g.", "a rectangular shape.", "The diffractive structure may be formed on the surface of a transparent substrate, such as a plane plate made of fused silica or calcium fluoride.", "The raster segments may also be formed of grooves etched into the surface to provide segments of a Fresnel lenses.", "The depth and width of the grooves may be in the order of micrometers, for example.", "Each raster segment may be in the order of millimeters in size and the entire array forming the raster element may have typical dimensions of one or a few centimeters.", "The basic distribution of angles introduced by the raster element RE1 may be modified within the pupil shaping unit by setting the elements of the variable zoom lens group Z and/or the variable axicon group AX accordingly.", "In one configuration, the separation between the axicon elements AX1 and AX2 is set to zero (contact between axicon surfaces) such that no radial redirection of light intensity is effected by the axicon group AX.", "In other configurations, a defined separation may be set, which generally causes a central symmetric dilatation of the radiation intensity distribution, which may be useful in some cases.", "Adjusting the magnification of the zoom lens group Z allows to adapt the illumination intensity distribution in the pupil surface PILL of the illumination system to different NA values in a homomorphous imaging step.", "It is to be noted that the conditions for the pole area APOLE, pole height PH and pole width PW as defined above remain unchanged as long as P*NA=2 k1*λ=const.", "Therefore, the area of the poles as well as the pole height and pole width may be considered as a function of parameter k1 alone, where k1<1 is a process dependent parameter that incorporates everything in a lithography process that is not wavelength or numerical aperture.", "Generally, any process or system modification that allows improvements in resolution effectively reduces the k1 factor.", "Diffraction-limited values are 0.25 for incoherent and 0.5 for coherent illumination, for example.", "Where k1 stays essentially constant, sub-patterns with different predominant periodicity values P (pitches) may be illuminated using one and the same raster element RE1 by simply adjusting the appropriate NA value using the zoom lens group Z.", "With other words: one type of raster element with fixed configuration, such as a diffractive optical element, may be sufficient for different processes defined by one particular k1 value (or a small variation of k1).", "Different values for APOLE, PW and/or PH and different pole patterns may also be obtained by providing several different, interchangeable first raster elements.", "An automatic changer may be used to exchange raster elements of different configuration in the illumination system.", "In general, the pupil-shaping unit may include at least one optical modulation device configured to controllably change an angular distribution of radiation incident on the optical modulation device such that a desired intensity distribution is obtained in the pupil surface of the illumination system.", "Although the optical modulation device may have a fixed configuration to obtain only one type of intensity distribution (which may be changeable by additional variable optical elements), it is possible that the optical modulation device has an array of individual elements configured to be driven individually to change the angular distribution of radiation incident on the individual elements.", "The optical modulation device may include a controllable micro-mirror array, for example.", "Various optical modulation devices which may be used when modified for that purpose are disclosed in applicant's international patent application published as WO 2005/026843 A2.The disclosure of this application is incorporated herein by reference.", "FIGS.", "10A-10B show diagrams illustrating, for an exemplary projection exposure systems, improvements that may be obtained if an optimized dipole setting is used instead of a conventional setting.", "In the diagrams, pupil coordinates in terms of image-side numerical aperture NA are drawn in the abscissa.", "The ordinates show values for the phase error value of the overall wavefront aberration.", "The value “0” corresponding to the optical axis.", "Each diagram shows an “x-cut” parallel to the x-axis (second direction) and an “y-cut” perpendicular thereto in the first direction.", "An x-dipole (orientation of pole orientation axis along x-direction) is used for all experiments.", "A dipole setting having poles with the shape of a segment of an annulus (compare e.g.", "FIG.", "2B) was used for the reference example of a conventional dipole setting CONV shown in FIG.", "10A.", "An x-dipole setting with lenticular poles with APOLE=AOPT was used for the experiment illustrated in FIG.", "10B.", "The x-cut in both experiments illustrates that the localized heating in the region of the poles generally aligned along the x-direction leads to relatively strong wavefront aberrations close to the outer edge of the pupil where the energetic centriods of the poles are positioned.", "In contrast, essentially no wavefront aberration is caused on and close to the optical axis in the center of the pupil.", "In the y-direction a relatively large variation of wavefront aberrations occurs superimposed onto a slight gradient from the upper edge to the lower edge of the pupil in this specific type of projection objective used for the experiment.", "The general characteristics of the local distribution of wavefront aberrations caused by lens heating are quite similar in the experiment according to FIG.", "10B.", "Note, however, that the absolute amount of wavefront aberration caused by lens heating is reduced by about a factor two, i.e.", "reduced to about 50% of the value for the conventional setting in the x-direction (different scales in the ordinates in FIG.", "10A, in FIG.", "10B).", "This significant improvement is attributed to the fact that radiant energy present within the projection beam is distributed over larger areas at the position of the poles, whereby the energy distribution in smeared out over a larger area, thereby reducing associated lens heating effects on wavefront aberrations.", "The effect is less pronounced in the y-direction.", "In some embodiments, the multipolar illumination is a pure dipole illumination, where the intensity distribution in the pupil surface of the illumination system is formed by one substantially dipolar intensity distribution having exactly two illumination poles.", "Such dipole illumination may be used, for example, to print periodic patterns with features essentially aligned in one direction only.", "As a practical example, a layer of a highly integrated flash memory device may be strongly dominated by lines running in direction only.", "Due to the relatively large pole height PH of the optimized pole areas those dipole illuminations are also useful to improve contrast of sub-patterns not running at the predominant direction, but at an angle thereto since the dipolar intensity distribution includes illumination directions significantly deviating from the direction defined by the pole orientation axis.", "The multipolar illumination mode may include two substantially dipolar intensity distributions (“dipoles”) superimposed on each other, where the pole orientation axes of the dipoles are rotated relatively to each other, for example by 90°.", "FIG.", "11 depicts schematically the intensity distribution in the illumination pupil for a quadrupole illumination having two pairs of lenticular poles relatively rotated by 90° around the optical axis to form four lenticular poles, POLE1, POLE2, POLE3, POLE 4, where the pole orientation axis POAx of the first dipolar intensity distribution (forming poles POLE1 and POLE2) is oriented along the x-direction, whereas the pole orientation axis POAy of the second dipolar intensity distribution (forming poles POLE3 and POLE4) is oriented perpendicular thereto in the y-direction.", "A bi-directional pattern having periodic features in x- and y-direction may be printed with high contrast for both directions.", "The area of each of the poles corresponds approximately to the optimum area AOPT in this example.", "As illustrated schematically in FIG.", "12, the method and apparatus may also be used in exposure processes which do not exploit the full resolution potential of the projection exposure apparatus.", "For example, a multi-polar illumination mode may be set for a pattern or sub-pattern having features spaced apart periodically at a predominant periodicity length P where the condition 0.7*λ/NA<P<λ/NA holds.", "Those conditions are sometimes used in processes known as “double patterning” where a final structure with very fine pitch is formed by subsequent exposures of coarser pattern relatively shifted to each other by one half of the periodicity length.", "The corresponding illumination mode may be characterized by two poles POLE1, POLE2 positioned between the edge of the pupil (corresponding to full NA) and the optical axis and defining a distance d<λ/(P*NA).", "The poles may have a lenticular or a biconvex polygonal shape, for example.", "The disclosure has been given by way of example.", "From this information, those skilled in the art will not only understand the disclosure and its attendant advantages, but will also find apparent various changes and modifications to the structures and methods disclosed.", "The applicant seeks, therefore, to cover all such changes and modifications as fall within the spirit and scope of defined by the appended claims, and equivalents thereof.", "The contents of the claims are incorporated by reference into the description." ] ]
Patent_15875752
[ [ "SYSTEM AND METHOD FOR IDENTIFYING, INDEXING, AND NAVIGATING TO DEEP STATES OF MOBILE APPLICATIONS", "A mobile application development system includes a developer portal that receives an application from a developer and provides a routing library to the developer to augment the application.", "An offline analysis system analyzes the application to (i) determine a set of activities that a handler within the application is programmed to resume in response to respective resumption requests from a host operating system and (ii) determine parameters for each of the activities.", "The offline analysis system generates a set of links that each corresponds to a respective one of the activities.", "The routing library, installed as part of the augmented application onto a user device, receives a link, from the user device's operating system, that identifies a first activity.", "The routing library includes instructions for generating a first resumption request based on parameters corresponding to the first activity and transmitting the first resumption request to the augmented application's handler." ], [ "1.An electronic device comprising: a processor; and a memory electrically connected to the processor, wherein the memory stores instructions which, when executed, direct the processor to install a first application on the electronic device, the first application comprising: a routing library configured to register a link scheme with an operating system of the electronic device, and a handler configured to resume an activity of the first application in response to a request from the routing library.", "2.The electronic device of claim 1, wherein the link scheme comprises a scheme providing access to an internal state of the first application.", "3.The electronic device of claim 1, wherein the routing library is further configured to: install a business rule specifying a state of the first application that is to be accessible by the handler.", "4.The electronic device of claim 3, wherein the routing library is further configured to: install a user interface (UI) event sequence to reach the specified state of the first application that is to be accessible by the handler.", "5.The electronic device of claim 4, wherein the routing library is further configured to: download one or more UI event sequences each time the first application is opened.", "6.The electronic device of claim 4, wherein the routing library is further configured to: download one or more UI event sequences at predetermined intervals.", "7.The electronic device of claim 1, wherein the routing library is further configured to: receive a link from the operating system, wherein the link identifies a first activity; generate a first resumption request based on parameters corresponding to the first activity; and transmit the first resumption request to the handler.", "8.The electronic device of claim 5, wherein the link is received as a part of a search result from a second application of the electronic device.", "9.The electronic device of claim 8, wherein the link allows a user of the second application access to an internal state of the first application in response to selection of the search result.", "10.The electronic device of claim 1, wherein registering the link scheme with the operating system comprises registering a uniform resource identifier (URI) template with the operating system upon installation of the first application.", "11.A method providing access to a state of an application on an electronic device, comprising: installing, using at least one processor of the electronic device, a first application on the electronic device; registering, using a routing library of the first application executed by the at least one processor, a link scheme with an operating system of the electronic device; and resuming, using a handler of the first application, an activity of the first application in response to a request from the routing library.", "12.The method of claim 11, wherein the link scheme comprises a scheme providing access to an internal state of the first application.", "13.The method of claim 11, wherein the method further comprises: installing a business rule specifying a state of the first application that is to be accessible by the handler.", "14.The method of claim 13, wherein the method further comprises: installing a user interface (UI) event sequence to reach the specified state of the application that is to be accessible by the handler.", "15.The method of claim 14, wherein the method further comprises: downloading one or more UI event sequences each time the first application is opened.", "16.The method of claim 14, wherein the method further comprises: downloading one or more UI event sequences at predetermined intervals.", "17.The method of claim 11, wherein the method further comprises: receiving a link from the operating system, wherein the link identifies a first activity; generating a first resumption request based on parameters corresponding to the first activity; and transmitting the first resumption request to the handler.", "18.The method of claim 17, wherein the link is received as a part of a search result from a second application of the electronic device.", "19.The method of claim 11, wherein the registering of the link scheme with the operating system comprises registering a uniform resource identifier (URI) template with the operating system upon installation of the first application.", "20.A non-transitory computer readable recording medium having a program recorded thereon, the program implementing a method comprising: installing a first application on an electronic device; registering, using a routing library of the first application, a link scheme with an operating system of the electronic device; and resuming, using a handler of the first application, an activity of the first application in response to a request from the routing library." ], [ "<SOH> BACKGROUND <EOH>This section provides background information related to the present disclosure and is not necessarily prior art.", "An application (referred to interchangeably in this disclosure as an “app”), such as a mobile app, may contain multiple deep states.", "For example, in an app that rates the quality of restaurants based on social media opinion data, the detail page for each restaurant would be considered a deep state.", "Deep states are reachable from within the app through a sequence of user actions that can involve navigating through multiple menu screens (or, views) as well as interactions with user interface elements.", "Each of these menu screens and user interface elements can be mediated by a unique view controller associated with that displayed screen.", "Usually, these deep states are accessible only from within the app itself web search engines operating outside the app, for example, cannot reach the deep states within the app.", "This means that when a user conducts a conventional web search for restaurants and wants to explore one of the returned choices in a specialized restaurant rating app, the user would have to manually copy and paste the name of the selected search result into the search field of the restaurant rating app and command the restaurant ranking app to access its internal deep state corresponding to the selected restaurant.", "This represents undesirable additional interaction required of the user.", "If deep states of apps could be exposed to external apps and computer processes, the user could enjoy additional functionality and convenience.", "For example, the user could begin a search for a suitable restaurant using an Internet-based search server and then, by selecting one of the results of that search, be automatically led to the appropriate deep linked page of a specialized restaurant ranking app.", "However, implementing such functionality requires developer effort and requires deep linking expertise that the developer may not possess.", "When app development is limited by time, budget, or expertise, deep link functionality for some or even all of the states of an app may not be a high enough priority to get implemented.", "Mobile operating systems, such as the iOS operating system from Apple Inc. and the Android operating system from Google Inc., may allow a developer to provide data to the operating system for indexing.", "In the iOS operating system, this may take the form of a CSSearchableItem (where the CS stands for Core Spotlight) object.", "The developer may implement code that bookmarks certain states of an app by providing information about those states to the operating system.", "In the iOS operating system, this may take the form of an NSUserActivity object (where NS stands for NeXTSTEP).", "Then, users can perform a search through the operating system, where relevant data or states from the developer's app are identified.", "If a user selects one of those activities from an operating system interface, such as a search dialogue or a recent tasks menu, the developer's code can restore the app to the state corresponding to the bookmarked activity.", "A bookmarked activity on a first device may even be continued on a different device (assuming the app is also installed on the other device).", "Via inter-device communication, the operating system of the first device notifies the operating system of the other device what state the user was most recently interacting with.", "In addition, the developer may specify that certain types of data, such as telephone numbers and names from a contact database maintained by the app, be indexed by the operating system.", "When a user indicates to the operating system that one of those data objects (for example, a certain contact) is of interest, the developer's code can transition to a state of the app that presents that data object for viewing or editing.", "In order to take advantage of these operating system capabilities, the developer may implement code that can restore the app to a state based on indicated activity or data object.", "However, these activities and data objects may be known only to the operating system or to search systems maintained by a developer of the operating system.", "In other words, third party apps and search services may be unable to access this data and navigate directly to the states or data objects within the app.", "Further, developing code to display data objects, index data objects, bookmark activities, and continue activities requires developer effort and may not be accomplished for any of an app's states much less for all of the app's states.", "As a result, these enhanced operating system capabilities may not be available for a variety of states of an app and may also not be accessible to third party apps and search systems.", "In FIG.", "1 , the enhanced operating system functionality is graphically depicted.", "On a user device, an operating system 100 executes a first app (referred to as “App A”) 104 .", "App A 104 includes a representative set of views, View A 108 - 1 , View B 108 - 2 , View C 108 - 3 , View D 108 - 4 , and View E 108 - 5 (collectively, views 108 ).", "The views 108 may be managed by one or more view controllers, which may be developed according to the model-view-controller (MVC) software architecture pattern.", "As an example only, App A 104 is a restaurant information app, View A 108 - 1 is a home (or, default) state of App A 104 from which restaurant searches by cuisine, geography, etc.", "can be performed.", "Continuing the example, View B 108 - 2 is a two-dimensional app interface showing restaurant locations, View C 108 - 3 is a restaurant detail view, View D 108 - 4 is a list of restaurants by cuisine, and View E 108 - 5 is a list of recently-reviewed restaurants.", "In various implementations, including this example, a single view may be a template populated with entity-specific data.", "For example, View C 108 - 3 may have a visual layout for a restaurant specifying where a photo of the restaurant will be located, the location, size, and font face of the restaurant's name, how reviews will be summarized, etc.", "The visual layout view will be instantiated with data corresponding to a specific restaurant from a data store.", "App A 104 selects and provides data objects to the operating system 100 for indexing.", "For example, App A 104 may provide the names of each of the specific cuisines encompassed by App A 104 .", "The operating system 100 can then provide results to users who are searching by that cuisine name.", "App A 104 includes an activity handler 112 that receives a continue user activity signal from the operating system 100 .", "For example, the continue user activity signal may specify that a certain cuisine type was of interest to a user.", "The activity handler 112 then invokes View D 108 - 4 and populates View D 108 - 4 with results corresponding to the specified cuisine.", "App A 104 may be selective regarding which cuisines to provide to the operating system 100 for indexing because providing too many data objects may lead to a decrease in their average relevance.", "This may cause the operating system 100 to down-rank or even remove indexed objects from search results that do not appear to have high relevance.", "App A 104 may, therefore, include programming that indexes a list of the most popular cuisines or cuisines whose names are more likely to be unique to cuisines.", "For example, while “American” may be a cuisine name, there is a high false positive rate because this term applies to many other searches than simply cuisine.", "However, if a user indicates interest in a cuisine, such as by reviewing the restaurant listings for a certain cuisine one or more times, App A 104 may add that cuisine name to the list of objects to index by the operating system 100 .", "In addition, App A 104 may indicate to the operating system 100 , using an activity object, that a user is currently viewing restaurant results for a particular cuisine.", "This allows the operating system 100 to maintain essentially a history of activities performed by the user within App A 104 .", "In addition, the most recent activity engaged in by the user can be shared with another device, allowing a hand-off of user interaction with that activity from one device to another.", "Submitting an activity object to the operating system, allowing later resumption of the activity on the same or another device, is referred to in this disclosure as bookmarking the activity.", "Activity objects may be sent to the operating system 100 when the user enters View B 108 - 2 to view restaurant results in a map display.", "For example, the activity object sent to the operating system 100 may include a latitude and longitude at a center of the map.", "If the user adjusts the center of the map, App A 104 may provide an updated activity object to the operating system 100 indicating the new center point.", "App A 104 may further include in the activity object additional data such as filters.", "For example, the user may have restricted the displayed results to only those restaurants that are currently open.", "This filter may be identified in the activity object provided to the operating system 100 .", "The activity handler 112 may receive a continue user activity signal from the operating system 100 indicating that the user is interested in continuing a map view of restaurants at a certain center point with a certain set of filters.", "For example only, in the iOS operating system, this may take the form of a continueUserActivity call to the app delegate of the app.", "The activity handler 112 then invokes View B 108 - 2 with the provided parameters and presents the user with the desired map view.", "The continue user activity signal may have been received from another device on which the user was previously viewing that map display.", "As shown in FIG.", "1 , the activity handler 112 is programmed to invoke View B 108 - 2 , View D 108 - 4 , and View E 108 - 5 , but not View A 108 - 1 or View C 108 - 3 .", "In the operating system 100 , a search index 120 receives data objects from App A 104 .", "The search index 120 also receives data objects from other apps and, in some implementations, from the operating system 100 itself, such as the names of frequently-accessed device settings.", "For example only, in the iOS operating system, the search index 120 may be referred to as Spotlight and the data objects provided to the search index 120 may take the form of CSSearchableItem objects.", "The search index 120 may also receive activity metadata from an activity tracker 124 .", "The activity tracker 124 receives activity objects, including from App A 104 .", "An activity object may take the form of an NSUserActivity object.", "An activity object received by the activity tracker 124 may include metadata similar to that of a data object.", "The metadata can be indexed by the search index 120 .", "The metadata may take the form of a CSSearchableItemAttributeSet object, which may be the same form used in CSSearchableItem objects.", "Activities indicated as public may be shared with a cloud index maintained by the developer of the operating system 100 .", "A cloud index interface 128 provides the public activities to the cloud index.", "These public activities are selectively indexed by the cloud index, allowing other users to search for and find activities generated by App A 104 even when App A 104 is not installed on their devices.", "A handoff controller 132 shares the latest activity with other devices.", "In various implementations, the other devices have been authenticated to the handoff controller 132 to indicate that they have permission to receive the latest activities from the present device.", "These other devices can, therefore, allow a user to begin an activity on the device where the operating system 100 is executed and resume the activity on another device.", "A search interface 136 allows a user to perform a search, such as by entering a text query.", "The search index 120 provides relevant search results to the search interface 136 and, upon a user selection, the user-selected result is provided to the activity tracker 124 .", "The activity tracker 124 identifies which app corresponds to the user-selected result and sends a continue user activity signal to the relevant app.", "The continue user activity signal may include an indicator whether the continue user activity signal pertains to a data object or an activity object." ], [ "<SOH> SUMMARY <EOH>A mobile application development system includes a developer portal and an offline analysis system.", "The developer portal is configured to receive a copy of a first application from a first developer and provide a routing library to the first developer for incorporation into the first application prior to the first developer distributing an augmented application via a digital distribution platform.", "The routing library is incorporated into the first application to form the augmented application.", "The offline analysis system is configured to analyze the first application to (i) determine a set of activities that a handler within the first application is programmed to resume in response to respective resumption requests from a host operating system and (ii) determine parameters for each activity of the set of activities.", "The offline analysis system is configured to generate a set of links.", "Each link of the set of links corresponds to a respective activity of the set of activities.", "The routing library includes instructions configured to, subsequent to installation of the augmented application from the digital distribution platform onto a user device, receive a link from an operating system of the user device.", "The link identifies a first activity.", "The routing library includes instructions configured to generate a first resumption request based on parameters corresponding to the first activity and transmit the first resumption request to the handler of the augmented application.", "In other features, the parameters corresponding to the first activity include an activity type and an activity title.", "In other features, the first resumption request is transmitted by a continueUserActivity call to an app delegate of the augmented application.", "In other features, the first activity includes an NSUserActivity object.", "In other features, the offline analysis system is configured to (i) analyze the first application to determine a set of data objects that the handler within the first application is programmed to access in response to respective resumption requests from the host operating system, (ii) determine parameters for each data object of the set of data objects, and (iii) generate a second set of links.", "Each link of the second set of links corresponds to a respective data object of the set of data objects.", "The routing library includes instructions configured to, subsequent to installation of the augmented application in the user device, receive a second link from an operating system of the user device.", "The second link identifies a first data object.", "The instructions are further configured to generate a second resumption request based on parameters corresponding to the first data object and transmit the second resumption request to the handler of the augmented application.", "In other features, the first data object is a CSSearchableItem object.", "In other features, by incorporating the routing library, the augmented application is configured to register a first uniform resource identifier (URI) template with the operating system upon installation.", "The link conforms to the first URI template.", "In other features, the first URI template specifies a scheme includes a text string ending with a colon and two forward slashes.", "The scheme is unique to the augmented application.", "The text string is a concatenation of a text identifier associated with the developer portal and a name of the first application.", "The link begins with the scheme.", "In other features, the offline analysis system is configured to execute the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state.", "The offline analysis system is configured to store the sequence of UI events as a first data structure corresponding to the first state.", "The routing library includes instructions configured to, in response to a user of the user device encountering the first state while using the augmented application, transmitting a bookmark to the operating system.", "The instructions are configured to, in response to receiving a resumption request indicating the first state from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the offline analysis system is configured to execute the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state corresponding to a first data object.", "The offline analysis system is configured to store the sequence of UI events as a first data structure corresponding to the first data object.", "The routing library includes instructions configured to, in response to execution of the augmented application, selectively transmit the first data object to the operating system.", "The instructions are configured to, in response to receiving a resumption request indicating the first data object from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the link selectively includes serialized data.", "The routing library includes instructions configured to decode the parameters corresponding to the first activity from the serialized data within the link.", "In other features, the link selectively includes a unique identifier.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity based on the unique identifier.", "In other features, the mobile application development system includes a data server configured to store a plurality of parameters corresponding to activities determined by the offline analysis system.", "The routing library includes instructions configured to, upon the first execution of the augmented application, download the parameters corresponding to at least the first activity from the data server for storage in a data store local to the routing library.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity from the data store in response to the unique identifier.", "A system includes the above mobile application development system and a search system configured to return results to the user device in response to a search commissioned by a user of the user device.", "A first result of the returned results includes the link.", "In response to selection of the first result by the user, the link is transmitted to the routing library by the operating system.", "A method of operating a mobile application development system includes receiving a copy of a first application from a first developer.", "The method includes providing a routing library to the first developer for incorporation into the first application prior to the first developer distributing an augmented application via a digital distribution platform.", "The routing library is incorporated into the first application to form the augmented application.", "The method includes analyzing the first application to (i) determine a set of activities that a handler within the first application is programmed to resume in response to respective resumption requests from a host operating system and (ii) determine parameters for each activity of the set of activities.", "The method includes generating a set of links.", "Each link of the set of links corresponds to a respective activity of the set of activities.", "The routing library includes instructions configured to, subsequent to installation of the augmented application from the digital distribution platform onto a user device.", "The instructions are further configured to receive a link from an operating system of the user device.", "The link identifies a first activity.", "The instructions are further configured to generate a first resumption request based on parameters corresponding to the first activity.", "The instructions are further configured to transmit the first resumption request to the handler of the augmented application.", "In other features, the parameters corresponding to the first activity include an activity type and an activity title.", "In other features, the first resumption request is transmitted by a continueUserActivity call to an app delegate of the augmented application.", "In other features, the method includes analyzing the first application to determine a set of data objects that the handler within the first application is programmed to access in response to respective resumption requests from the host operating system.", "The method includes determining parameters for each data object of the set of data objects.", "The method includes generating a second set of links.", "Each link of the second set of links corresponds to a respective data object of the set of data objects.", "The routing library includes instructions configured to, subsequent to installation of the augmented application in the user device.", "The instructions are further configured to receive a second link from an operating system of the user device.", "The second link identifies a first data object.", "The instructions are further configured to generate a second resumption request based on parameters corresponding to the first data object.", "The instructions are further configured to transmit the second resumption request to the handler of the augmented application.", "In other features, the first data object is a CSSearchableItem object.", "In other features, by incorporating the routing library, the augmented application is configured to register a first uniform resource identifier (URI) template with the operating system upon installation.", "The link conforms to the first URI template.", "In other features, the method includes executing the first application and, during execution, monitoring a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state.", "The method includes storing the sequence of UI events as a first data structure corresponding to the first state.", "The routing library includes instructions configured to, in response to a user of the user device encountering the first state while using the augmented application, transmitting a bookmark to the operating system.", "The instructions are further configured to, in response to receiving a resumption request indicating the first state from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the method includes executing the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state corresponding to a first data object.", "The method includes storing the sequence of UI events as a first data structure corresponding to the first data object.", "The routing library includes instructions configured to, in response to execution of the augmented application, selectively transmit the first data object to the operating system.", "The instructions are further configured to, in response to receiving a resumption request indicating the first data object from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the link selectively includes serialized data.", "The routing library includes instructions configured to decode the parameters corresponding to the first activity from the serialized data within the link.", "In other features, the link selectively includes a unique identifier.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity based on the unique identifier.", "In other features, the method includes storing, in a data store, a plurality of parameters corresponding to the determined set of activities.", "The routing library includes instructions configured to, upon first execution of the augmented application, download the parameters corresponding to at least the first activity from the data store for storage in a data store local to the routing library.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity from the data store in response to the unique identifier.", "Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims, and the drawings.", "The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation application of prior application Ser.", "No.", "15/236,140, filed on Aug. 12, 2016, which claimed the benefit of U.S.", "Provisional Application No.", "62/274,152, filed Dec. 31, 2015, U.S.", "Provisional Application No.", "62/252,357, filed Nov. 6, 2015, and U.S.", "Provisional Application No.", "62/204,960, filed Aug. 13, 2015.The entire disclosures of the applications referenced above are incorporated by reference.", "FIELD The present disclosure relates generally to mobile application development and more specifically to deep linking to specific states of mobile applications.", "BACKGROUND This section provides background information related to the present disclosure and is not necessarily prior art.", "An application (referred to interchangeably in this disclosure as an “app”), such as a mobile app, may contain multiple deep states.", "For example, in an app that rates the quality of restaurants based on social media opinion data, the detail page for each restaurant would be considered a deep state.", "Deep states are reachable from within the app through a sequence of user actions that can involve navigating through multiple menu screens (or, views) as well as interactions with user interface elements.", "Each of these menu screens and user interface elements can be mediated by a unique view controller associated with that displayed screen.", "Usually, these deep states are accessible only from within the app itself web search engines operating outside the app, for example, cannot reach the deep states within the app.", "This means that when a user conducts a conventional web search for restaurants and wants to explore one of the returned choices in a specialized restaurant rating app, the user would have to manually copy and paste the name of the selected search result into the search field of the restaurant rating app and command the restaurant ranking app to access its internal deep state corresponding to the selected restaurant.", "This represents undesirable additional interaction required of the user.", "If deep states of apps could be exposed to external apps and computer processes, the user could enjoy additional functionality and convenience.", "For example, the user could begin a search for a suitable restaurant using an Internet-based search server and then, by selecting one of the results of that search, be automatically led to the appropriate deep linked page of a specialized restaurant ranking app.", "However, implementing such functionality requires developer effort and requires deep linking expertise that the developer may not possess.", "When app development is limited by time, budget, or expertise, deep link functionality for some or even all of the states of an app may not be a high enough priority to get implemented.", "Mobile operating systems, such as the iOS operating system from Apple Inc. and the Android operating system from Google Inc., may allow a developer to provide data to the operating system for indexing.", "In the iOS operating system, this may take the form of a CSSearchableItem (where the CS stands for Core Spotlight) object.", "The developer may implement code that bookmarks certain states of an app by providing information about those states to the operating system.", "In the iOS operating system, this may take the form of an NSUserActivity object (where NS stands for NeXTSTEP).", "Then, users can perform a search through the operating system, where relevant data or states from the developer's app are identified.", "If a user selects one of those activities from an operating system interface, such as a search dialogue or a recent tasks menu, the developer's code can restore the app to the state corresponding to the bookmarked activity.", "A bookmarked activity on a first device may even be continued on a different device (assuming the app is also installed on the other device).", "Via inter-device communication, the operating system of the first device notifies the operating system of the other device what state the user was most recently interacting with.", "In addition, the developer may specify that certain types of data, such as telephone numbers and names from a contact database maintained by the app, be indexed by the operating system.", "When a user indicates to the operating system that one of those data objects (for example, a certain contact) is of interest, the developer's code can transition to a state of the app that presents that data object for viewing or editing.", "In order to take advantage of these operating system capabilities, the developer may implement code that can restore the app to a state based on indicated activity or data object.", "However, these activities and data objects may be known only to the operating system or to search systems maintained by a developer of the operating system.", "In other words, third party apps and search services may be unable to access this data and navigate directly to the states or data objects within the app.", "Further, developing code to display data objects, index data objects, bookmark activities, and continue activities requires developer effort and may not be accomplished for any of an app's states much less for all of the app's states.", "As a result, these enhanced operating system capabilities may not be available for a variety of states of an app and may also not be accessible to third party apps and search systems.", "In FIG.", "1, the enhanced operating system functionality is graphically depicted.", "On a user device, an operating system 100 executes a first app (referred to as “App A”) 104.App A 104 includes a representative set of views, View A 108-1, View B 108-2, View C 108-3, View D 108-4, and View E 108-5 (collectively, views 108).", "The views 108 may be managed by one or more view controllers, which may be developed according to the model-view-controller (MVC) software architecture pattern.", "As an example only, App A 104 is a restaurant information app, View A 108-1 is a home (or, default) state of App A 104 from which restaurant searches by cuisine, geography, etc.", "can be performed.", "Continuing the example, View B 108-2 is a two-dimensional app interface showing restaurant locations, View C 108-3 is a restaurant detail view, View D 108-4 is a list of restaurants by cuisine, and View E 108-5 is a list of recently-reviewed restaurants.", "In various implementations, including this example, a single view may be a template populated with entity-specific data.", "For example, View C 108-3 may have a visual layout for a restaurant specifying where a photo of the restaurant will be located, the location, size, and font face of the restaurant's name, how reviews will be summarized, etc.", "The visual layout view will be instantiated with data corresponding to a specific restaurant from a data store.", "App A 104 selects and provides data objects to the operating system 100 for indexing.", "For example, App A 104 may provide the names of each of the specific cuisines encompassed by App A 104.The operating system 100 can then provide results to users who are searching by that cuisine name.", "App A 104 includes an activity handler 112 that receives a continue user activity signal from the operating system 100.For example, the continue user activity signal may specify that a certain cuisine type was of interest to a user.", "The activity handler 112 then invokes View D 108-4 and populates View D 108-4 with results corresponding to the specified cuisine.", "App A 104 may be selective regarding which cuisines to provide to the operating system 100 for indexing because providing too many data objects may lead to a decrease in their average relevance.", "This may cause the operating system 100 to down-rank or even remove indexed objects from search results that do not appear to have high relevance.", "App A 104 may, therefore, include programming that indexes a list of the most popular cuisines or cuisines whose names are more likely to be unique to cuisines.", "For example, while “American” may be a cuisine name, there is a high false positive rate because this term applies to many other searches than simply cuisine.", "However, if a user indicates interest in a cuisine, such as by reviewing the restaurant listings for a certain cuisine one or more times, App A 104 may add that cuisine name to the list of objects to index by the operating system 100.In addition, App A 104 may indicate to the operating system 100, using an activity object, that a user is currently viewing restaurant results for a particular cuisine.", "This allows the operating system 100 to maintain essentially a history of activities performed by the user within App A 104.In addition, the most recent activity engaged in by the user can be shared with another device, allowing a hand-off of user interaction with that activity from one device to another.", "Submitting an activity object to the operating system, allowing later resumption of the activity on the same or another device, is referred to in this disclosure as bookmarking the activity.", "Activity objects may be sent to the operating system 100 when the user enters View B 108-2 to view restaurant results in a map display.", "For example, the activity object sent to the operating system 100 may include a latitude and longitude at a center of the map.", "If the user adjusts the center of the map, App A 104 may provide an updated activity object to the operating system 100 indicating the new center point.", "App A 104 may further include in the activity object additional data such as filters.", "For example, the user may have restricted the displayed results to only those restaurants that are currently open.", "This filter may be identified in the activity object provided to the operating system 100.The activity handler 112 may receive a continue user activity signal from the operating system 100 indicating that the user is interested in continuing a map view of restaurants at a certain center point with a certain set of filters.", "For example only, in the iOS operating system, this may take the form of a continueUserActivity call to the app delegate of the app.", "The activity handler 112 then invokes View B 108-2 with the provided parameters and presents the user with the desired map view.", "The continue user activity signal may have been received from another device on which the user was previously viewing that map display.", "As shown in FIG.", "1, the activity handler 112 is programmed to invoke View B 108-2, View D 108-4, and View E 108-5, but not View A 108-1 or View C 108-3.In the operating system 100, a search index 120 receives data objects from App A 104.The search index 120 also receives data objects from other apps and, in some implementations, from the operating system 100 itself, such as the names of frequently-accessed device settings.", "For example only, in the iOS operating system, the search index 120 may be referred to as Spotlight and the data objects provided to the search index 120 may take the form of CSSearchableItem objects.", "The search index 120 may also receive activity metadata from an activity tracker 124.The activity tracker 124 receives activity objects, including from App A 104.An activity object may take the form of an NSUserActivity object.", "An activity object received by the activity tracker 124 may include metadata similar to that of a data object.", "The metadata can be indexed by the search index 120.The metadata may take the form of a CSSearchableItemAttributeSet object, which may be the same form used in CSSearchableItem objects.", "Activities indicated as public may be shared with a cloud index maintained by the developer of the operating system 100.A cloud index interface 128 provides the public activities to the cloud index.", "These public activities are selectively indexed by the cloud index, allowing other users to search for and find activities generated by App A 104 even when App A 104 is not installed on their devices.", "A handoff controller 132 shares the latest activity with other devices.", "In various implementations, the other devices have been authenticated to the handoff controller 132 to indicate that they have permission to receive the latest activities from the present device.", "These other devices can, therefore, allow a user to begin an activity on the device where the operating system 100 is executed and resume the activity on another device.", "A search interface 136 allows a user to perform a search, such as by entering a text query.", "The search index 120 provides relevant search results to the search interface 136 and, upon a user selection, the user-selected result is provided to the activity tracker 124.The activity tracker 124 identifies which app corresponds to the user-selected result and sends a continue user activity signal to the relevant app.", "The continue user activity signal may include an indicator whether the continue user activity signal pertains to a data object or an activity object.", "SUMMARY A mobile application development system includes a developer portal and an offline analysis system.", "The developer portal is configured to receive a copy of a first application from a first developer and provide a routing library to the first developer for incorporation into the first application prior to the first developer distributing an augmented application via a digital distribution platform.", "The routing library is incorporated into the first application to form the augmented application.", "The offline analysis system is configured to analyze the first application to (i) determine a set of activities that a handler within the first application is programmed to resume in response to respective resumption requests from a host operating system and (ii) determine parameters for each activity of the set of activities.", "The offline analysis system is configured to generate a set of links.", "Each link of the set of links corresponds to a respective activity of the set of activities.", "The routing library includes instructions configured to, subsequent to installation of the augmented application from the digital distribution platform onto a user device, receive a link from an operating system of the user device.", "The link identifies a first activity.", "The routing library includes instructions configured to generate a first resumption request based on parameters corresponding to the first activity and transmit the first resumption request to the handler of the augmented application.", "In other features, the parameters corresponding to the first activity include an activity type and an activity title.", "In other features, the first resumption request is transmitted by a continueUserActivity call to an app delegate of the augmented application.", "In other features, the first activity includes an NSUserActivity object.", "In other features, the offline analysis system is configured to (i) analyze the first application to determine a set of data objects that the handler within the first application is programmed to access in response to respective resumption requests from the host operating system, (ii) determine parameters for each data object of the set of data objects, and (iii) generate a second set of links.", "Each link of the second set of links corresponds to a respective data object of the set of data objects.", "The routing library includes instructions configured to, subsequent to installation of the augmented application in the user device, receive a second link from an operating system of the user device.", "The second link identifies a first data object.", "The instructions are further configured to generate a second resumption request based on parameters corresponding to the first data object and transmit the second resumption request to the handler of the augmented application.", "In other features, the first data object is a CSSearchableItem object.", "In other features, by incorporating the routing library, the augmented application is configured to register a first uniform resource identifier (URI) template with the operating system upon installation.", "The link conforms to the first URI template.", "In other features, the first URI template specifies a scheme includes a text string ending with a colon and two forward slashes.", "The scheme is unique to the augmented application.", "The text string is a concatenation of a text identifier associated with the developer portal and a name of the first application.", "The link begins with the scheme.", "In other features, the offline analysis system is configured to execute the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state.", "The offline analysis system is configured to store the sequence of UI events as a first data structure corresponding to the first state.", "The routing library includes instructions configured to, in response to a user of the user device encountering the first state while using the augmented application, transmitting a bookmark to the operating system.", "The instructions are configured to, in response to receiving a resumption request indicating the first state from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the offline analysis system is configured to execute the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state corresponding to a first data object.", "The offline analysis system is configured to store the sequence of UI events as a first data structure corresponding to the first data object.", "The routing library includes instructions configured to, in response to execution of the augmented application, selectively transmit the first data object to the operating system.", "The instructions are configured to, in response to receiving a resumption request indicating the first data object from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the link selectively includes serialized data.", "The routing library includes instructions configured to decode the parameters corresponding to the first activity from the serialized data within the link.", "In other features, the link selectively includes a unique identifier.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity based on the unique identifier.", "In other features, the mobile application development system includes a data server configured to store a plurality of parameters corresponding to activities determined by the offline analysis system.", "The routing library includes instructions configured to, upon the first execution of the augmented application, download the parameters corresponding to at least the first activity from the data server for storage in a data store local to the routing library.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity from the data store in response to the unique identifier.", "A system includes the above mobile application development system and a search system configured to return results to the user device in response to a search commissioned by a user of the user device.", "A first result of the returned results includes the link.", "In response to selection of the first result by the user, the link is transmitted to the routing library by the operating system.", "A method of operating a mobile application development system includes receiving a copy of a first application from a first developer.", "The method includes providing a routing library to the first developer for incorporation into the first application prior to the first developer distributing an augmented application via a digital distribution platform.", "The routing library is incorporated into the first application to form the augmented application.", "The method includes analyzing the first application to (i) determine a set of activities that a handler within the first application is programmed to resume in response to respective resumption requests from a host operating system and (ii) determine parameters for each activity of the set of activities.", "The method includes generating a set of links.", "Each link of the set of links corresponds to a respective activity of the set of activities.", "The routing library includes instructions configured to, subsequent to installation of the augmented application from the digital distribution platform onto a user device.", "The instructions are further configured to receive a link from an operating system of the user device.", "The link identifies a first activity.", "The instructions are further configured to generate a first resumption request based on parameters corresponding to the first activity.", "The instructions are further configured to transmit the first resumption request to the handler of the augmented application.", "In other features, the parameters corresponding to the first activity include an activity type and an activity title.", "In other features, the first resumption request is transmitted by a continueUserActivity call to an app delegate of the augmented application.", "In other features, the method includes analyzing the first application to determine a set of data objects that the handler within the first application is programmed to access in response to respective resumption requests from the host operating system.", "The method includes determining parameters for each data object of the set of data objects.", "The method includes generating a second set of links.", "Each link of the second set of links corresponds to a respective data object of the set of data objects.", "The routing library includes instructions configured to, subsequent to installation of the augmented application in the user device.", "The instructions are further configured to receive a second link from an operating system of the user device.", "The second link identifies a first data object.", "The instructions are further configured to generate a second resumption request based on parameters corresponding to the first data object.", "The instructions are further configured to transmit the second resumption request to the handler of the augmented application.", "In other features, the first data object is a CSSearchableItem object.", "In other features, by incorporating the routing library, the augmented application is configured to register a first uniform resource identifier (URI) template with the operating system upon installation.", "The link conforms to the first URI template.", "In other features, the method includes executing the first application and, during execution, monitoring a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state.", "The method includes storing the sequence of UI events as a first data structure corresponding to the first state.", "The routing library includes instructions configured to, in response to a user of the user device encountering the first state while using the augmented application, transmitting a bookmark to the operating system.", "The instructions are further configured to, in response to receiving a resumption request indicating the first state from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the method includes executing the first application and, during execution, monitor a sequence of user interface (UI) events initiated by an operator starting from an initial state and proceeding to a first state corresponding to a first data object.", "The method includes storing the sequence of UI events as a first data structure corresponding to the first data object.", "The routing library includes instructions configured to, in response to execution of the augmented application, selectively transmit the first data object to the operating system.", "The instructions are further configured to, in response to receiving a resumption request indicating the first data object from the operating system, replay the sequence of UI events from the first data structure.", "In other features, the link selectively includes serialized data.", "The routing library includes instructions configured to decode the parameters corresponding to the first activity from the serialized data within the link.", "In other features, the link selectively includes a unique identifier.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity based on the unique identifier.", "In other features, the method includes storing, in a data store, a plurality of parameters corresponding to the determined set of activities.", "The routing library includes instructions configured to, upon first execution of the augmented application, download the parameters corresponding to at least the first activity from the data store for storage in a data store local to the routing library.", "The routing library includes instructions configured to retrieve the parameters corresponding to the first activity from the data store in response to the unique identifier.", "Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims, and the drawings.", "The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.", "DRAWINGS The drawings described herein are for illustrative purposes only of selected embodiments and not all possible implementations and are not intended to limit the scope of the present disclosure.", "FIG.", "1 is a graphical illustration and functional block diagram of an example app interacting with operating system app indexing functionality.", "FIG.", "2 is a high-level functional block diagram of a mobile application environment according to the principles of the present disclosure.", "FIG.", "3A is a functional block diagram of an example implementation of integration of a routing library with an example app.", "FIG.", "3B is a functional block diagram of an example integration of routing library with another example app.", "FIG.", "4 is a functional block diagram of an example implementation of a routing library.", "FIG.", "5 is a flowchart of example overall operation of a mobile application ecosystem according to the principles of the present disclosure.", "FIG.", "6 is a flowchart of example operation of a routing library.", "FIG.", "7 is a flowchart of example operation of activity and link handling within a routing library.", "FIG.", "8 is a flowchart of example traversal of a breadcrumb to reach a specified state.", "FIG.", "9 is a functional block diagram of an example implementation of the search system of FIG.", "2.FIG.", "10 is a flowchart of example operation of deep link creation and provision to user devices according to the principles of the present disclosure.", "FIG.", "11 is a functional block diagram of an example implementation of an offline analysis system.", "FIG.", "12 is a flowchart of example operation of breadcrumb creation for an app as part of offline analysis.", "FIG.", "13 is a flowchart of extrapolation as part of offline analysis to identify additional states of interest.", "Corresponding reference numerals indicate corresponding parts throughout the several views of the drawings.", "DETAILED DESCRIPTION Introduction A developer of an app may write code to take advantage of operating system (OS) functionality that allows, in one example, an activity within the app to be bookmarked for the user to return to later or for the activity to be resumed on another of the user's devices.", "The developer may also write code that submits data to the OS for indexing so that the OS can surface the data, such as in response to an OS-wide search.", "In order to implement this functionality, the developer writes code that allows the app to resume to an activity indicated by the OS or to a state that interacts with a data object specified by the OS.", "In some implementations, the activity object received from the OS fully specifies the activity for the app to resume, even if this particular copy of the app has not performed that activity previously.", "Meanwhile, for data objects, some apps may be able to show a state of the app corresponding to a certain data object only if that data object has been stored by the present copy of the app.", "In other implementations, or for certain apps, certain data objects may fully specify the state to which the app should return to view that data object.", "This generally means that the data for the data object is either known by the app, accessible to the app, or provided to the app from the OS within the continue user activity request.", "For data objects that do not fully specify the state of an app, these data objects may be specific to the app where they were created, and cannot be accessed by other copies of the app.", "Even though the developer may have implemented, for some or all states and for some or all data objects, the ability to resume that activity or navigate to that data object, there may be no provision for third parties, such as search services or other apps, to directly link to those activities or data objects within the app.", "The present disclosure identifies activities implemented by the developer and provides a library that, when presented with an appropriate deep link, can invoke the internal activity handling of the app.", "This allows the developer's efforts in interacting with the OS to be leveraged to provide deep link access to third parties.", "For some apps, the developer may not have implemented OS search functionality for some states and for some data.", "For some apps, the developer may not have implemented that functionality for any states or any data.", "The present disclosure, therefore, describes how the developer can specify which states of an app should have this functionality enabled.", "The present disclosure describes how the application can be supplemented to enable this functionality for the app, such as by recording sequences of user interface events that can be replayed to reach deep states of the app.", "When a routing library according to the principles of the present disclosure is used to enable activity bookmarking and data indexing, the configuration of the routing library may be specified by the developer.", "In various implementations, an agent of the developer may be able to make configuration decisions, such as which states to bookmark and which data to index, and those configuration decisions are relayed to the routing library.", "In various implementations, the routing library may periodically update this configuration so that the developer can change the configuration even for apps already installed on devices.", "A developer portal may allow even non-programmers working with the developer to specify business rules.", "For example, a marketing or user experience professional at the developer may specify what data to submit to the OS for indexing in order to optimize the search relevancy of the app for a given OS.", "A developer portal according to the present disclosure provides a routing library to the developer for integration into an app, and that routing library implements the above functionality.", "For example, based on configuration data, the routing library may bookmark certain activities with the OS and may submit certain data objects for indexing to the OS.", "The configuration data may be generated at the time of onboarding the app, and may be generated manually, with artificial intelligence, or with operator-supplemented artificial intelligence.", "In addition, the routing library may service continuing activity requests from the OS, such as by executing a series of user interface events to return to the selective activity or to display the selected data.", "The routing library may also handle incoming links, such as from other apps or from browser instances.", "The routing library may take the form of a dynamic link library (DLL), a dylib, or a software development kit (SDK).", "The routing library may be downloaded by the developer and imported into the integrated development environment (IDE) of the developer, such as the Xcode IDE from Apple Inc.", "In some implementations, the routing library is either already compiled or includes make files and other configuration files allowing the routing library to be compiled.", "The developer may integrate the routing library simply by instructing the IDE to add the routing library to their app's project, such as by adding the routing library to an import list or to a list of included code modules.", "The developer may also need to update a configuration file to indicate that the routing library will handle certain uniform resource identifiers (URIs) or activity types.", "The configuration file may take the form of an information property list (Info.plist) file.", "In various implementations, a script may be provided along with the routing library that performs the configuration file update as well as the import of the routing library into the current IDE project.", "Meanwhile, the developer portal supplies the app to an offline analysis system that determines how to access states of interest.", "The states of interest may be specified by the app developer or determined by the developer portal.", "In brief, an offline analysis system of the developer portal determines what sequence of user interface (UI) actions a user would take to reach a state of interest.", "That UI action sequence can be replayed by the routing library within an app on a user's device to arrive at the state of interest.", "In other words, the routing library simulates the UI actions users would themselves perform to reach a deep state.", "By simulating the UI actions, the routing library avoids the user having to perform each UI action and will generally be far faster than a user manually performing the sequence of UI actions.", "The user may not even see some of the intermediate states when the routing library is navigating to the deep state of interest, or these intermediate states may only be visible briefly.", "The UI action sequences for the deep states of an app may be integrated into the app along with the routing library, or may be downloaded by the routing library once the app is installed.", "In some implementations, a UI action sequence can be encoded as part of a link designating the app and serviced by the routing library.", "Without further effort from the developer, the app now has deep link functionality that can be accessed from external sources.", "For example, a search system could provide results that lead directly to deep states of the app.", "Or a third party app could easily direct users to deep states of the app.", "This may increase the visibility of the app and allow for tighter integration and better overall user experience with the app.", "In addition, now that the developer portal is responsible for deep linking, deep links can be added or modified without requiring assistance from the developer's software programmers, who may be working on other projects and have other priorities.", "In other words, a business person, such as an advertising or marketing professional working for an app developer, may use the developer portal to identify which states of the app should be deep-linkable.", "The business person could even remove states from the list of deep-linked states, all without requiring the assistance of a software programmer.", "Block Diagram In FIG.", "2, additional details are presented.", "As noted above, a developer 204 provides an app (referred to as “App A”) to a developer portal 208.The developer portal 208 provides a copy of a routing library to the developer 204 for incorporation into App A, creating an augmented version of App A.", "The developer 204 provides the augmented App A, which is still referred to below as App A for simplicity, to a digital distribution platform 212 for distribution to end users.", "The digital distribution platform 212 provides native apps to user devices and may be specific to an OS.", "Example digital distribution platforms include the GOOGLE PLAY digital distribution platform by Google, Inc., the APP STORE digital distribution platform by Apple, Inc., and the WINDOWS PHONE digital distribution platform by Microsoft Corp.", "If the developer 204 had already provided App A to the digital distribution platform 212 before augmentation with the routing library, the developer 204 can release the augmented App A as a new version of App A.", "The user of a user device 220 installs App A (as augmented) from the digital distribution platform 212.In the user device 220, one or more processors (which may encompass general purpose processors as well as additional co-processors, such as physical sensor processors and graphics processors) execute instructions from memory.", "Some of these instructions correspond to an operating system 224, a browser 228, an installed copy of App A (referred to as App A 232), and a third party app (App B 236).", "The operating system 224 includes an installed app registry 240 and a link router 244.The link router 244 receives links, such as URLs (uniform resource locators) and URIs (uniform resource identifiers), and determines how to handle those links.", "Generally, the link router 244 handles a link by forwarding it to a registered receiver.", "The link router 244 checks the installed app registry 240 to determine whether an app has claimed a particular scheme, domain, or other filter that matches the link.", "If not, the link may be passed to the browser 228, which may have registered a set of schemes for protocols such as HTTP (hypertext transfer protocol), HTTPS (HTTP secure), FTP (file transfer protocol), Telnet, and Gopher.", "In some implementations, when the link specifies a web server address, the link router 244 may contact the web server to obtain a list of authorized apps.", "In this way, the link router 244 verifies that an app that has registered a certain domain is authorized by that domain to handle links.", "This prevents apps from commandeering links to which they're not eligible.", "If an app that has registered a domain is authorized by the web server host of that domain, the link router 244 can provide the link to the registered app.", "Routing library 260, received from the developer portal 208, causes App A 232 to register a specific scheme or domain with the installed app registry 240.The scheme may be based on an identifier of the developer portal 208 as well as an identifier of App A.", "For example, a test string associated with the developer portal 208 (such as “portal”) may be concatenated with a text string corresponding to App A (such as “appa”).", "As a specific example, the scheme registered by App A 232 may be “portal-appa://”.", "In other implementations, the text string associated with the developer portal 208 may not be human-readable.", "When the link router 244 receives a link, such as from the browser 228, where the scheme matches “portal-appa://”, the link router 244 forwards that link to App A 232, where the link is received and handled by the routing library 260.The routing library 260 parses the link and navigates to a deep state indicated by the link.", "For illustration only, in FIG.", "2, View A 268-1, View B 268-2, and View C 268-3 (collectively, views 268) are shown.", "In many apps, more than three views will be present.", "In various implementations, multiple or all views may be controlled by a single view controller.", "In other implementations, each view may be controlled by a separate view controller.", "For illustration only, the routing library 260 is shown simulating events from a predetermined UI event sequence identified by an example link.", "The link is received by the routing library 260 and corresponds to a deep state, specifically View C 268-3.The UI event sequence includes a first UI event, causing App A 232 to transition from View A 268-1 to View B 268-2, a second UI event, in which App A remains in View B 268-2, and then a third UI event, causing App A 232 to transition from View B 268-2 to View C 268-3.The routing library 260 would simulate these UI events in series.", "A search system 272 of the operating system 224 receives data and activity objects from the routing library 260.The routing library 260 provides the data objects for indexing and the activity objects for bookmarking.", "The search system 272 allows a user to search for data and/or activities from App A 232.When the user selects an activity corresponding to App A 232, the search system 272 sends an indication of a selected activity to the routing library 260.The search system 272 is shown in more detail in FIG.", "3A and FIG.", "3B.", "In parallel with providing the routing library from the developer portal 208 to the developer 204, the developer portal 208 provides App A to an offline analysis system 280.The copy of App A provided to the developer portal 208 may be a standard release build, such as an iOS App Store Package (ipa file).", "In other implementations, the copy of App A provided to the developer portal 208 may be a special build that allows App A to be run in a simulator, and may include symbols, debugging info, and/or source code that would not ordinarily be present in an app distributed by the digital distribution platform 212.This may allow the offline analysis system 280 to more efficiently or accurately analyze App A.", "For example, the copy of App A may be a debug build designed to run in the Simulator application from Apple, Inc. on the OS X operating system.", "By contrast, the version of App A distributed by the digital distribution platform 212 is a standard release build.", "The offline analysis system 280, as described in more detail below, determines UI event sequences that can be used by the routing library 260 to reach specific views of App A 232.A UI event sequence may be referred to as a breadcrumb trail composed of an ordered sequence of individual breadcrumbs (that is, UI events).", "For simplicity, in the remainder of this disclosure, the term breadcrumb will be used to refer to a breadcrumb trail.", "The offline analysis system 280 may provide the determined breadcrumbs to a data server 284.The data server 284 includes a data store, such as a relational database, that stores breadcrumbs for each app processed by the offline analysis system 280.Each deep state of an app is associated with a corresponding breadcrumb.", "In some implementations, the data server 284 may be implemented as a cloud-based block storage service, such as the S3 (Simple Storage Service) service available from Amazon Web Services.", "Access mechanisms define how deep states can be reached for such purposes as display advertisements and deep search results.", "For example, a search result may correspond to a specific deep state of an app.", "The search result may be a deep view card (DVC, see below) with a link including or indicating a breadcrumb corresponding to that deep state.", "Other potential access mechanisms for the search result may include a native deep link prepared by the app developer itself, or a standard URL pointing to a web edition of the app.", "One of the available access mechanisms may be selected by a search system and provided along with the search result to a search client.", "In other implementations, multiple access mechanisms may be provided within the search result, and the search client determines which access mechanism to use in response to user selection of the search result.", "For example, a breadcrumb-based access mechanism may be chosen over a web-based access mechanism when business rules indicate a preference for results to render in native apps instead of in web editions of those apps.", "The breadcrumb-based access mechanism, however, may only be available when the app is already installed.", "In some implementations, the search client may incorporate, or receive as part of the search result, code that scripts downloading and installing of the app, followed by actuation of a deep link.", "The deep link may be actuated by sending a breadcrumb-based URI to the newly-installed app.", "The data server 284 may also store configuration settings, such as which deep states to allow links to, which deep states to bookmark as activities, and which data to index.", "This configuration may be specified by the developer 204 using the developer portal 208.The data server 284 may also receive index items from the routing library 260.For example, the routing library 260 may report activity objects back to the data server 284.The data server 284 can then analyze user interaction with App A 232 and generate information about how to provide more relevant search results.", "In addition, data from the data server 284 may be provided back to the developer 204, essentially providing an easy way to instrument App A 232 to analyze user engagement.", "The data server 284 may correlate user activity across multiple apps on the user device 220, thereby further improving search results.", "Routing Library Integration with Application In FIG.", "3A, an example of App A 232 includes the routing library 260 and also includes an App A handler 300, which was created by the developer of App A 232.As seen in the example of FIG.", "3A, the App A handler 300 is able to continue user activities relating to View B 268-2, View D-268-4, and View E-268-5.The App A handler 300 cooperates with an activity creator 304, which updates the search system 272 with activity objects indicating activities the user is performing with App A 232.In various implementations, the search system 272 may include elements similar to those shown in the operating system 100 in FIG.", "1.The activity creator 304 may send activity objects to the search system 272 based on user activities associated with View B 268-2, View D 268-4, and View E 268-5.The App A handler 300 also interfaces with a data indexer 308, which may provide data objects to the search system 272 related to View B 268-2, View D 268-4, and View E 268-5.The routing library 260 is able to invoke View A 268-1 and View C 268-3 if the developer of App A 232 has requested that functionality through a developer portal.", "The routing library 260 may be able to access View A 268-1 and View C 268-3 by invoking respective view controllers, as described in more detail in U.S. patent application Ser.", "No.", "15/235,650, filed Aug. 12, 2016, titled “Monitoring and Actuation of View Controller Parameters to Reach Deep States Without Manual Intervention,” with first-named inventor Kalyan Desineni, and having attorney docket no.", "17100-000029-US.", "The entire disclosure of this application is incorporated by reference.", "In other implementations, the routing library 260 may access View A 268-1 and View C 268-3 by replaying sequences of user interface events that are determined in an offline process.", "For more information, see U.S. patent application Ser.", "No.", "15/235,859, filed Aug. 12, 2016, titled “Deep Linking to Mobile Application States Through Programmatic Replay of User Interface Events,” with first-named inventor Kalyan Desineni, and having attorney docket no.", "17100-000032-US.", "The entire disclosure of this application is incorporated by reference.", "While the activity creator 304 developed by the developer of App A 232 provides activity objects for some of the views 268 to the activity tracker 124, the routing library 260 is able to provide activity objects from others of the views 268.For example, the activity creator 304 may create an NSUserActivity object and invoke the becomeCurrent( ) method to provide the activity object to the activity tracker 124.It may be irrelevant to the activity tracker 124 whether the routing library or the App A handler 300 sent the activity object.", "When the activity tracker 124 sends a continue user activity signal to App A 232, the routing library 260 traps (such as by overloading, overriding, or swizzling the continue user activity method) the continue user activity signal.", "If the continue user activity signal is relevant to the App A handler 300, the continue user activity signal is passed through to the App A handler 300.Otherwise, the routing library 260 handles the continue user activity signal.", "Similarly, the routing library 260 and the data indexer 308 developed by the developer of App A 232 may each create CSSearchableItem objects and introduce them to the search index 120, such as by using the indexSearchableItems method.", "When the activity tracker 124 provides a continue user activity signal to the routing library 260 related to a data object, the routing library 260 either instantiates a view based on the selected data object or passes the continue user activity signal through to the App A handler 300.The NSUserActivity object may include a unique identifier (such as a title) and an activity type.", "In a continue user activity signal, the activity type may differentiate an NSUseractivity object from a CSSearchableItem object.", "The NSUserActivity object may also include a list of text keywords to allow the object to be indexed.", "The NSUserActivity object may also include a set of metadata, such as a CSSearchableItemAttributeSet, which may include an image and other information.", "The set of metadata for an object may be displayed when the object is included in a set of search results and gives the user information about whether the object satisfies their query.", "The CSSearchableItem object includes a unique identifier and a set of metadata, which may take the form of a CSSearchableItemAttributeSet.", "The CSSearchableItem object may also include an expiration date beyond which the object will be automatically de-indexed by the operating system.", "Further, the CSSearchableItem object may include a domain identifier to group related objects.", "In various implementations, the routing library 260 and the App A handler 300 may both be able to instantiate one or more of the views 268.For example, the App A handler 300 may be programmed to instruct the activity creator 304 to bookmark activities with respect to View B 268-2.Meanwhile, the routing library 260 may be programmed to submit data for indexing from View B 268-2.When a continue user activity signal is received by the routing library 260, if the continue user activity signal is related to a bookmarked activity, the routing library 260 passes through the continue user activity signal to the App A handler 300.Meanwhile, if the continue user activity signal for View B 268-2 is related to a data object, the routing library 260 itself navigates to View B 268-2 in order to display data related to the data object.", "Although not shown in FIG.", "3A, App A 232 may include an internal link router that receives deep links and instantiates views based on those deep links.", "For links including a scheme registered by the routing library 260, which is different than the scheme registered by the internal link router of App A 232, the routing library 260 receives the deep link and instantiates one of the views 268 based on the link.", "For example, the link may specify a breadcrumb to follow in order to arrive at the desired state.", "The routing library 260 may override methods invoked by the activity creator 304 and the data indexer 308 to pass activity objects and data objects to the search system 272.In this way, the routing library 260 can first inspect the activity objects and data objects before then passing the activity objects data objects to the search system 272.The routing library 260 will then be aware of which activity objects have been created by the activity creator 304, and can, therefore, service deep links related to those activity objects.", "Similarly, the routing library 260 will know which data objects have been indexed by the data indexer 308, and can then service deep links related to those data objects.", "In order to allow third party Apps and services to access App A 232 using those deep links, the routing library 260 may upload information from the activity objects and the data objects to a remote server.", "In order to service a deep link related to the activity objects from the activity creator 304 and the data objects from the data indexer 308, the routing library 260 can generate a continue user activity signal and send that signal to the App A handler 300.The App A handler 300 may be unaware that the continue user activity signal was received from the routing library 260 instead of from the search system 272.The App A handler 300 can then resume the activity or instantiate a review related to the index data as if the request came directly from the search system 272.In FIG.", "3B, another example app, App B 360, is shown.", "In App B 360, views 364-1, 364-2, 364-3, 364-4, and 364-5 (collectively, views 364) are shown for illustration.", "App B 360 does not include a built-in handler similar to the App A handler 300 of FIG.", "3A.", "As a result, in order to access any of the views 364, the routing library 260 includes mechanisms (such as breadcrumbs) to access each of the views 364.Further, the routing library 260 is solely responsible for generating activity objects and data objects to send to the search system 272.When a continue user activity signal is received by the routing library 260, the routing library 260 cannot pass the continue user activity signal through and instead is responsible for handling the resumption of an activity or a creation of a view related to a data object.", "Routing Library In FIG.", "4, an example implementation of the routing library 260 includes registration data 404.The registration data 404 indicates to the operating system that the routing library 260 will handle links having a scheme relating to the routing library 260 and will also handle continue user activity signals relating to the app in which the routing library 260 is incorporated.", "In various implementations, the registration data 404 is included in a configuration file of the app into which the routing library 260 is incorporated.", "A URI (uniform resource identifier) processor 408 receives a link from the operating system.", "For example, the link may have originated from a browser or from a third-party app.", "The URI processor 408 extracts a unique ID from the link, which may simply be the text within the URI to the right of the pair of forward slashes following the scheme.", "An internal router 412 consults an index data store 416 to determine whether the unique ID from the URI corresponds to a breadcrumb or corresponds to an activity call that will be made to the App A handler 300.In other words, the internal router 412 decides whether a breadcrumb exists to reach the state indicated by the unique ID or whether an activity call should be made to the App A handler 300 to reach the state indicated by the unique ID.", "When the internal router 412 determines the unique ID corresponds to a breadcrumb, the internal router 412 sends a breadcrumb ID to a state machine 420.In various implementations, the breadcrumb ID may be the same as the unique ID or may be different as defined by a mapping from the index data store 416.As described in more detail below, the state machine 420 retrieves a breadcrumb from a breadcrumb data store 424 and executes each user interface action within the breadcrumb using a UI replay actuator 428.The state machine 420 may monitor the state of App A 232 to ensure that each user interface event is processed by App A 232 before moving to the next UI event.", "If the internal router 412 determines that the unique ID from the URI processor 408 corresponds to an activity or data object handled by the App A handler 300, a signal is sent to an activity call creator 432.The activity call creator 432 creates a continue user activity signal specifying the activity or data object related to the link.", "The parameters required for creating the continue user activity signal may be obtained from the index data store 416.The continue user activity signal is passed to the App A handler 300 via a handler interface 436, which may send a continue user activity signal to the App A handler 300 as if the App A handler 300 was receiving that signal directly from the operating system.", "As mentioned above, the routing library 260 traps the method called by the operating system to provide a continue user activity signal to the app.", "An activity handler 440 of the routing library 260 then receives the continue user activity signal.", "The activity handler 440 determines whether the continue user activity signal should be handled by the App A handler 300 already implemented by the developer.", "This determination may be based on the index data store 416.In such cases, the activity handler 440 provides the continue user activity as a pass through to the App A handler 300 via the handler interface 436.In apps where the developer has not coded a handler such as the App A handler 300, the activity handler 440 may never transmit pass through activities and the activity call creator 432 and the handler interface 436 may be dormant.", "If the activity handler 440 determines that the continue user activity signal, according to the index data store 416, can be resumed using a stored breadcrumb, the breadcrumb ID is provided to the state machine 420 for execution.", "So far, the aspects of the routing library 260 related to incoming deep links, whether in the form of a link or a continue user activity signal from the operating system, have been described.", "The routing library 260 may also implement functionality that sends activity objects and data objects to the operating system.", "This allows the operating system to return search results from the app.", "A data indexer 460 determines which data objects of the app to index based on rules from a business rules data store 464.For example only, the data indexer 460 may respond to an app loaded signal to execute upon the app successfully running on the device.", "The data indexer 460 may, upon the first execution of the app, send a predetermined set of data objects to the operating system via an operating system interface 468.For example only, with respect to data objects, the operating system interface 468 may simply be an invocation of the indexSearchableItems( )method.", "On subsequent loading of the app, the data indexer 460 may update the index data objects based on their continued relevancy, based on data changes made to the app, or based on rule changes from the business rules data store 464.For example, if cuisine names are being indexed, as new cuisine names are added to the app, the data indexer 460 may add the additional cuisine names to the operating system index.", "Meanwhile, if the business rules data store 464 is updated to indicate that cuisine names should no longer be indexed, the data indexer 460 may delete the corresponding data objects from the operating system index.", "A data store updater 472 is responsible for updating one or more data stores, including the breadcrumb data store 424, the index data store 416, and the business rules data store 464.The data store updater 472 contacts the data server 284 of FIG.", "2 via a network interface 476.The network interface 476 may handle authentication and encryption so that the validity of data store updates can be confirmed.", "The data store updater 472 may operate when the app incorporating the routing library 260 is first opened on a device and at subsequent periodic intervals.", "In addition, the data store updater 472 may perform additional updates in response to data needs, such as if a breadcrumb ID is not present in the breadcrumb data store 424.An activity creator 480 creates activity objects to bookmark activities with the operating system.", "The operating system interface 468 may bookmark an activity by calling the becomeCurrent( )method on a created activity object.", "The activity creator 480 may respond to a view appearing, such as the viewDidAppear signal, or to some other event, such as the view loading or being about to appear.", "The activity creator 480 may update activity objects as interactions with a view occur.", "The activity creator 480 may, therefore, trap additional methods that provide user input to a view so that the corresponding activity object can be updated.", "For example, as the user edits a textbox, the activity creator 480 may update the activity object after each keystroke.", "In addition to creating an activity object, the activity creator 480 may indicate to the data indexer 460 that certain data objects should be indexed.", "These decisions may be based on rules from the business rules data store 464.For example, the activity creator 480 may create an activity object based on the user viewing a list of restaurants for a particular cuisine.", "Whether this activity object is created is determined by the business rules data store 464.The business rules data store 464 may also dictate that the activity creator 480 signal to the data indexer 460 that the top one or more results from a search should be indexed.", "For example, if the user is viewing a set of Thai restaurants and the most relevant result is the Amarin Thai restaurant, the user may later wish to search for Amarin Thai.", "By indexing the Amarin Thai data object, the operating system can provide a result directly to the app for Amarin Thai.", "In addition, depending on how the operating system index identifies search results, a user searching within the operating system for “Thai” may see both the activity for the app related to a list of Thai restaurants as well as the specific Amarin Thai data object.", "When the operating system sends a continue user activity signal related to one of the activity objects from the activity creator 480 or the data objects from the data indexer 460, a corresponding breadcrumb will be present in the breadcrumb data store 424 to reach these activity objects or data objects since the app itself was not programmed by the developer to accommodate them.", "The activity objects and data objects created by the activity creator 480 and the data indexer 460 respectively are provided to the index data store 416 so that the index data store 416 is aware of which activity objects and data objects can be handled by the routing library 260 instead of being passed through the App A handler 300.A remote indexer 484 may be instructed by the business rules data store 464 to mirror activity objects and/or data objects from the index data store 416 to a remote index.", "This remote index may be maintained by the provider of the routing library 260 or by a search service.", "Activity objects and data objects may be marked with a private identifier (or assumed private unless marked with a public identifier).", "This private identifier may prevent the object from being uploaded to the remote index or may prevent the object from being exposed by the remote index in public search results.", "This privacy indication may be provided by the developer as part of the onboarding process of the app to the developer portal and may be updated by the developer over time.", "A search system 288 receives access mechanisms from the data server 284 incorporating identifiers of breadcrumbs or the breadcrumbs themselves.", "The search system 288 may already have information about states of interest of App A and then can associate the access mechanisms with the respective states.", "In other implementations, the search system 288 may crawl and scrape App A to obtain metadata for states of interest, and may follow the access mechanisms to find the content of the states.", "In one use model, the user of the user device 220 performs a search for certain functionality and/or for a certain entity, such as reviews for a restaurant of interest.", "The user may perform this search with a standalone search app or, as shown in FIG.", "2, a web search performed via the browser 228.The search system 288, as described in more detail below, provides search results to the browser 228.These results may include a result corresponding to App A 232, and may include a link indicating a specific deep state in App A 232.If the user selects the link corresponding to App A 232, the link is forwarded to the link router 244 and then passed to the routing library 260 of App A 232.App A 232 is then controlled by the routing library 260 to display the indicated deep state using the corresponding breadcrumb.", "In various implementations, the routing library 260 receives the contents of the breadcrumb from parameters encoded within the link itself.", "For example, the link (in this case, a URI) may include the scheme (“portal-appa://”) followed by a serialized encoding of each UI event of the breadcrumb in series leading to the desired view.", "For example, the breadcrumb may be specified in a JSON (JavaScript Object Notation) data structure encoded using Base64.The search system 288 is, therefore, able to provide a link that will take the user directly to relevant content within App A 232.The search system 288 may provide search results to others apps.", "For example, a hotels app may query the search system 288 for restaurants near the user's selected hotel and the search system 288 may provide restaurant search results to the hotels app.", "In the case where App A 232 is a restaurant review app, the hotels app can link directly into a deep state of App A 232 corresponding to the restaurant of interest.", "The search system 288 may provide the search results in the form of DVCs.", "A DVC for an app or a state of an app shows additional information, beyond just the identification of the app or app state.", "For example, the information may include a title of the app state or a description of the app state, which may be a snippet of text from the app state.", "Other metadata may be provided from the app state, including images, location, number of reviews, average review, and status indicators.", "For example, a status indicator of “open now” or “closed” may be applied to a business depending on whether the current time is within the operating hours of the business.", "Some DVCs may emphasize information that led to the DVC being selected as a search result.", "For example, text within the DVC that matches a user's query may be shown in bold or italics.", "The DVC may also incorporate elements that allow direct actions, such as the ability to immediately call an establishment or to transition directly to a mapping app to get navigation directions to the establishment.", "Other interactions with the DVC (such as tapping or clicking any other area of the DVC) may take the user to the indicated state or app.", "As described in more detail below, this may be accomplished by opening the relevant app or, if the app is not installed, opening a website related to the desired app state.", "In other implementations, an app that is not installed may be downloaded, installed, and then executed in order to reach the desired app state.", "In other words, a DVC includes identifying information for the app or state as well as additional content from the app or state itself.", "The additional content allows the user to make a more informed choice about which result to choose, and may even allow the user to directly perform an action without having to navigate to the app state.", "If the action the user wants to take is to obtain information, in some circumstances the DVC itself may provide the necessary information to accomplish such action.", "In various implementations, the routing library may be identical for each app with the only exception being the name of the custom scheme that the routing library will register upon installation.", "The scheme may be formed by concatenating text of the developer portal with the text of the app name, and may include a separator such as a hyphen or an underscore.", "The name of the app may change over time, but the scheme may be fixed after first being set to provide backward compatibility with older versions of the app whose routing library may only recognize the original scheme.", "In various implementations, the routing library may be updated with security updates, bug fixes, and feature additions while maintaining backward compatibility.", "Developers, such as the developer 204, may download and incorporate the newest version of the routing library each time they release a new version of their app to the digital distribution platform 212.In some implementations, the build/compile process may automatically download the latest version of the routing library when resolving linker dependencies.", "The offline analysis system 280 may need to be invoked each time the developer 204 prepares a new version of App A for the digital distribution platform 212.In addition, as the developer 204 adds more content to App A, the offline analysis system 280 may be invoked to determine the breadcrumbs needed to access that added content.", "Invocation of the offline analysis system 280 may be performed at the request of the developer 204 or may be performed on a periodic basis.", "Any updates or additions to the breadcrumbs are stored by the data server 284 and can be provided to the search system 288 so that links within search results have the most up-to-date access mechanisms.", "In some implementations, the breadcrumbs may be communicated to the routing library 260 using a mechanism other than direct inclusion in the URI.", "For example, this may be necessary when a maximum-length link is shorter than the number of characters an encoded breadcrumb may require.", "The routing library 260 may, therefore, in some implementations, download a package of breadcrumbs from the data server 284.Search results from the search system 288 or other links may then reference a unique identifier, which the routing library 260 maps to a breadcrumb.", "For example only, the unique identifier may be formed from a function and an entity, such as “restaurant_reviews” and “Amarin_Thai”.", "In one specific example, the URI “portal-appa://restaurant_reviews/Amarin_Thai” may be resolved by the routing library 260 to a breadcrumb that reaches the restaurant reviews state for the Amarin Thai restaurant from a default state of App A 232.However, there is no requirement that the unique identifier be human-readable.", "In other implementations, the routing library 260 may consult the data server 284 in response to receiving a deep link.", "By providing the unique identifier, the routing library 260 can download the necessary breadcrumb from the data server 284.In such implementations, the routing library 260 may cache breadcrumbs so that a network access isn't required to resolve deep links visited recently.", "Pre-caching of some or all breadcrumbs may also be performed, such as when App A 232 first executes on the user device 220.Pre-caching may happen even earlier, such as when the developer 204 is preparing App A for distribution.", "In other words, the full set or a subset of the breadcrumbs may be included along with App A so that when a unique identifier is received via a link, the appropriate breadcrumb can be chosen by the routing library 260 without delay.", "Pre-caching may be combined with periodic verification of the breadcrumbs by the routing library 260, such as by checking a version number of the package of breadcrumbs at a periodic calendar interval, such as once a month.", "In other implementations, the data server 284 may send a push notification to the routing library 260 indicating that new breadcrumbs are available.", "To the extent that the developer 204 had implemented some deep links within App A, a developer-implemented router (not shown) in App A 232 would receive links from the link router 244.The developer's routing code would not have registered “portal-appa://” but instead a scheme independent of the developer portal 208, such as “appa.com://”.", "In various implementations, the developer-specified URIs may not be publicly available or may only be available to companies with an established relationship with the developer 204.Some operating systems, including the iOS operating system, have a sandbox infrastructure to limit the extent to which an app can access resources or areas of memory beyond what has been specifically allocated to the app.", "Sandboxing enhances security, making it more difficult for malicious software to compromise a user system.", "Because the routing library 260 executes within the context of App A 232, the sandbox should pose no difficulty for interactions between the routing library 260 and the view controllers responsible for the views 268.High-Level Flowchart In FIG.", "5, an overview of the operation of the present disclosure with respect to one developer and one app begins at 504.The developer first develops the app (referred to here as “App A”).", "App A may not have functionality that can expose deep links to all of its states.", "In addition, App A may not have indexing functionality or activity resumption functionality for some or all states.", "Further, any deep linking or search functionality that App A possesses may require programmer intervention to modify.", "As a result, the developer could benefit from the routing library described by the present invention.", "At 508, the developer provides a copy of App A to the developer portal.", "This may be a standard build, such as what would be provided to a digital distribution platform.", "In fact, if App A has already been released to a digital distribution platform, the developer portal may acquire the copy of App A from the digital distribution platform.", "While the standard build is generally restricted to running on emulators or hardware devices, the developer could instead provide a special build of App A, such as a build tailored to a simulation environment.", "The simulation environment may even run on a different architecture (such as an x86 architecture) compared to the app (which may run on user device hardware based on the ARM architecture).", "At 512, control begins offline analysis of App A. Offline analysis may include determining states for which deep links are already present in App A. Offline analysis may also include determining which activities can be resumed within App A in which data can be indexed by App A based on code written by the developer.", "For example only, offline analysis may include static and dynamic analysis of App A, which is described in more detail in U.S. application Ser.", "No.", "14/984,642, filed Dec. 30, 2015, titled “Static Analysis and Reconstruction of Deep Link Handling and Compiled Applications,” with first-named inventor Kalyan Desineni, and having attorney docket no.", "17100-000030-US.", "The entire disclosure of this application is incorporated by reference.", "At 516, the developer specifies business rules for App A.", "For example, the developer specifies to the developer portal which states of App A to provide deep link functionality for, which activities of App A to bookmark, and what data to index.", "The developer may navigate a copy of App A in order to specify which states to provide deep links for.", "For example, the developer may interact with App A in a simulator, while the offline analysis platform monitors the user interface events for later replay.", "The developer may simply be asked to navigate to states of interest, without needing to understand that the user interface events are being recorded for deep linking purposes.", "For each state the developer navigates to, the developer may specify whether one or more activities being performed in that state should be bookmarked and may identify one or more pieces of data to index from that state.", "The developer may specify what metadata to include with the data being indexed, and may flag activities or data as public or private.", "At 520, in parallel with offline analysis, control provides a copy of the routing library from the developer portal to the developer.", "At 524, the developer integrates the routing library into App A.", "For example, this may include adding references in a configuration file to the scheme handled by the linking capability of the routing library as well as adding the types of activities that the routing library will recognize.", "In some implementations, the activities recognized by the routing library will be redundant with activities already recognized by App A, such as when App A already allows for some activity resumption or data indexing.", "The developer also links the binary to the App A project within the integrated development environment.", "In various implementations, a script may be provided to the developer to perform the incorporation actions of 524.At 528, the developer compiles App A, which now includes the routing library, and provides App A to one or more digital distribution platforms.", "At 532, a user of a user device installs App A from a digital distribution platform.", "At 536, as part of installation, the routing library of App A registers a custom link scheme handled by the routing library as well as activity types handled by the routing library.", "For example, this registration may be performed by the operating system based on a configuration file, such as Info.plist.", "At 540, the routing library of the now-installed application updates access mechanisms and business rules.", "For example, the access mechanisms may be breadcrumbs to reach certain states.", "The business rules identify which states should be accessed, which activities should be bookmarked, and which data should be indexed.", "When the application is first run, the routing library may download a full set of access mechanisms and business rules so that no access latency is incurred when an access mechanism or business rule is needed.", "In other implementations, upon first being run, the routing library only downloads a subset of the access mechanisms, such as access mechanisms for the most popular states.", "The updates at 540 may be performed each time the app is opened or at predetermined intervals.", "In addition, the routing library may update access mechanisms and/or business rules if a required access mechanism (for example, a breadcrumb) is missing or if the access mechanism appears to not be working.", "At 544, the routing library indexes data indicated by the developer according to the business rules.", "For example, when the app first loads, the routing library may index a set of data indicated by the developer.", "Then, as data is modified in App A, the routing library may update the operating system index based on the updated data.", "At 548, the routing library bookmarks selected activities engaged by the user.", "For example, when the user performs a search, the search criteria and filters may be bookmarked as an activity that the user can resume on that device or handoff to another device.", "At 552, the routing library in App A handles proprietary App A links (indicated by the registered scheme) provided to the routing library from the operating system.", "At 556, the routing library handles activities sent by the operating system, including continue user activity signals.", "These activities may refer to bookmarked activities or to indexed data.", "Control then returns to 540.Although 540, 544, 548, 552, and 556 are displayed in series, they may run asynchronously and in parallel.", "Routing Library Operation In FIG.", "6, example operation of the routing library is shown.", "At 604, during installation of App A onto a device, the proprietary App A URI scheme and activity types in the routing library are registered.", "This may be performed by the operating system from a configuration file (also known as a manifest file) as part of the installation process.", "At 608, control requests breadcrumbs and configuration data from the data server.", "This may be performed upon the first execution of App A on the user device.", "At 612, control determines whether an activity or a proprietary App A URI has been received from the operating system.", "If so, control transfers to 616; otherwise, control transfers to 620.At 616, control invokes a handler for the activity or proprietary App A URI.", "For example, the handler may be implemented as shown in FIG.", "7.For example only, the handler may respond to an openURL( ) call received by the operating system or from a continue user activity signal generated by the operating system.", "After handling the activity or proprietary App A URI, control returns to 612.At 620, control determines whether an activity trigger event has occurred.", "If so, control transfers to 624; otherwise, control transfers to 628.An activity trigger event may be the loading of a state or an action performed within a state.", "The developer may specify which event qualifies as an activity trigger.", "The developer may establish business rules dictating that some states trigger an activity when the state is entered while for other states an activity is bookmarked upon some further action being performed, such as user input.", "At 624, control determines whether a breadcrumb is available to return to that activity.", "If so, control transfers to 632; otherwise, control transfers to 636.If a breadcrumb that returns to that activity is not available, the routing library may not be able to resume that activity when requested by the operating system and therefore will not bookmark that activity.", "At 632, the breadcrumb is available and therefore control sends an activity object to the operating system to bookmark the activity.", "At 636, control determines whether the activity has been indicated by the developers as being public.", "If so, control transfers to 640; otherwise, control continues at 628.At 640, the activity has been indicated as public and therefore control uploads the activity to a remote index.", "Control then continues at 628.In other implementations, control may upload the activity to the remote index regardless of whether the activity has been indicated as public, and instead uses the public indicator to determine whether to reveal that activity to any users of the remote index.", "At 628, control determines whether data should be indexed according to business rules established by the developer.", "If so, control transfers to 644; otherwise, control transfers to 648.For example, when first running App A, there may be a predetermined set of data objects that the developer desires to index.", "Then, after certain states have been accessed, the developer may have specified that data related to those states should be indexed.", "For example, in a music streaming app, if the user has viewed a list of artists in a particular genre, data objects corresponding to each of the artists may be added to the operating system index.", "At 644, if a breadcrumb is available for a data object the developer has indicated should be indexed, control transfers to 652; otherwise, control continues at 648.At 652, the breadcrumb to reach a state that can access that data object is available and therefore the data object is sent to the operating system.", "If the breadcrumb were not available, the routing library would not be able to service a request from the operating system to display the data object to a user.", "While FIG.", "6 shows operation of the routing library, the developer may have implemented logic within App A that will index data objects and bookmark activities, separate from the routing library.", "This indexing and bookmarking is not precluded by the operation of the routing library.", "Therefore, even when the routing library does not index the data object or bookmarking activity, App A itself may be performing that task.", "In order for App A to then resume the activity when instructed by the operating system, App A will have logic implemented by the developer to reach the appropriate states.", "This logic may include direct actuation of view controllers or may include a user interface breadcrumb similar to that used by the routing library.", "Control continues from 652 to 656, where if the data object is indicated as public, control transfers to 660; otherwise, control continues at 648.At 660, control uploads the data object to the remote index and continues at 648.Again, the public identifier may be ignored at the time of uploading the data object to the remote index and instead be taken into account when determining whether to reveal the data objects to other users.", "At 648, control determines whether the last data update of breadcrumbs and configuration data is more than a predetermined time in the past.", "If so, control transfers to 664 to update this data; otherwise, control returns to 612.Although shown as a predetermined amount of time, data updating may be performed dynamically based on how often the app is used, how often breadcrumbs or configuration data historically has changed, and/or may be based on a push signal from the data server indicating that data should be updated.", "Activity/URI Handling In FIG.", "7, example routing library operation for handling activities and proprietary URIs is shown.", "In various implementations, the process of FIG.", "7 may be called by 616 of FIG.", "6.Control begins at 704, where if a proprietary App A URI has been received, control transfers to 708; otherwise, control transfers to 712.At 708, control determines whether the URI corresponds to a preexisting data object—that is, a data object that App A was able to index and display independent of the routing library.", "If the URI corresponds to such a preexisting data object, control continues at 716; otherwise, control transfers to 720.At 716, the routing library will be able to use the preexisting access mechanism within App A to display a state relevant to that data object.", "To accomplish this, control may prepare an activity request that is similar or identical to the activity request the operating system would provide to App A in order to display a state related to that data object.", "Control then continues at 724, where the prepared activity request is passed to a preexisting App A handler that was written by the developer independent of the routing library.", "Control then continues at 712.At 720, control determines whether the URI corresponds to a preexisting activity—that is, an activity that App A could bookmark and resume independent of the routing library.", "If so, control transfers to 728; otherwise, control transfers to 732.At 728, control prepares an activity request identifying that preexisting activity.", "The activity request may be similar or identical to a request that the operating system would provide to App A in order to resume the bookmarked activity.", "The control then continues at 724.At 732, control determines whether the URI corresponds to a breadcrumb.", "If so, control transfers to 736; otherwise, control transfers to 740.Although referred to as a breadcrumb, the access mechanism can be another mechanism the routing library uses to navigate to a certain state.", "For example, the routing library may be programmed with a set of parameters allowing a specific view controller to be invoked with particular input parameters to arrive at a certain state.", "At 736, control replays the breadcrumb, such as using a process shown in FIG.", "8.Control then continues at 712.At 740, the proprietary App A URI cannot be passed to the preexisting App A handler and an access mechanism (such as a breadcrumb) does not exist.", "As a result, error handling is performed.", "For example, the error handling may include displaying a message to the user indicating that the link failed and directing the user to a default state of the app.", "Before displaying the message, control may refresh downloaded breadcrumbs to ensure that the latest breadcrumbs are available.", "As another example, control may attempt to find and then traverse a comparable URI, such as by querying a mapping of obsolete URIs to active URIs.", "Control then continues at 712.At 712, if an activity request (such as a continue user activity method call) is received by App A (for example, by the App delegate of App A), control transfers to 744; otherwise, control transfers to 748.At 744, control determines whether the activity should be handled by the routing library.", "If so, control transfers to 752; otherwise, control transfers to 756.At 752, the activity is handled by the routing library because the routing library bookmarked the corresponding activity or indexed the corresponding data object.", "The activity may be resumed by replaying a breadcrumb corresponding to the state identified by the activity request.", "For example, this may be performed as shown in FIG.", "8.Control then returns to FIG.", "6.At 756, if the routing library is aware that App A handles at least some activity requests, control continues at 760.Otherwise, if the routing library is confident that App A is otherwise unable to handle any activities, control transfers to 764 where error handling is performed.", "For example, the error handling may include displaying an error message that the activity request cannot be met.", "At 760, the activity request is passed through to the preexisting App A handler in the hope that the App A handler can handle the activity request.", "Control then returns from FIG.", "7.At 748, error handling is performed because neither a proprietary App A URI has been received or an activity request has been received.", "Control may not be aware of what was received other than an activity request or a proprietary App A URI and therefore may not display anything to the user, instead simply taking the user to a default state of the app.", "Control then returns from FIG.", "7.Breadcrumb Traversal In FIG.", "8, example operation of the routing library when traversing a breadcrumb is shown.", "Control begins at 804, where if the breadcrumb is embedded in the URI, control transfers to 808; otherwise, control transfers to 812.As described above, breadcrumbs that allow the routing library to navigate to certain deep states may be received in links or may be indicated by unique ID in the link.", "When indicated by a unique ID, the routing library may have to request the breadcrumb from a data server.", "In some implementations, the routing library will therefore pre-cache some or all breadcrumbs.", "This operation may be omitted if breadcrumbs will always be received within links.", "In some implementations, certain breadcrumbs when encoded are longer than a maximum permitted length of a link.", "This maximum length may be dictated by a browser, by an operating system, or by some other technological or business restriction.", "These breadcrumbs may therefore be obtained from the data server, while shorter breadcrumbs are contained within URIs.", "In this way, the generator of the URI (such as a search system) prepares the URI including the breadcrumb data itself when the maximum link length will not be exceeded, while otherwise including only a unique identifier of the breadcrumb.", "At 808, control decodes a data structure holding the breadcrumb from the URI and control continues at 816.At 812, since the breadcrumb was not included in the URI, control determines whether the breadcrumb is already stored in the breadcrumb data store.", "If so, control uses the breadcrumb from the breadcrumb data store and continues at 816; otherwise, control transfers to 820.At 820, control requests the breadcrumb from the data server, and when the breadcrumb is returned, control continues at 816.In various implementations, the routing library acquires an initial set of breadcrumbs before one of the breadcrumbs would be indicated by a URI.", "For example, the routing library may be pre-packaged with the initial set of breadcrumbs or the routing library may download the initial set of breadcrumbs upon installation or first execution on the user device.", "The routing library may periodically (for example, via a background process) receive new breadcrumbs to supplement functionality.", "In some implementations, an improved user experience may result from breadcrumbs always being obtained from the data store and never retrieved from the data server.", "This minimizes delays in response resulting from obtaining breadcrumbs from the data server and avoids availability issues from network connectivity problems.", "At 816, control selects the first UI event in the sequence specified by the breadcrumb.", "At 824, control replays the selected UI event from the breadcrumb.", "At 828, control determines whether replaying the selected UI event successfully led to the next view or updated the current view as expected.", "In various implementations, control may wait for a predetermined period of time before checking for success.", "This predetermined period of time allows the app to respond to the UI event.", "In various implementations, the breadcrumb data structure may include a specified wait time, which may apply to all UI events, or may have a separate wait time for each UI event.", "As part of the breadcrumb determination process, the offline analysis system may detect that certain UI events take longer for an app to respond to.", "In such cases, the offline analysis system may indicate that additional delay periods should be implemented before replaying another UI event.", "At 828, success may instead be identified when the routing library detects that a method has been called with certain parameters corresponding to the replayed event.", "In other words, as part of the offline analysis, the expected response to the app to a UI event may be recorded.", "When this expected response is seen, success may be inferred.", "If, at 828, the UI event appears to have been processed successfully, control transfers to 832; otherwise, control transfers to 836.At 836, control waits for another predetermined period of time.", "This additional period of time may allow for unexpectedly slow response to a UI event.", "Control continues at 840, where control once again attempts to verify that replaying the selected UI event has successfully led to the expected behavior.", "If so, control continues at 832; otherwise, control transfers to 844.At 844, if the selected UI event has been replayed twice in an attempt to obtain a successful response, control transfers to 848.At 848, an error is declared.", "For example, error handling may cause the app to revert to a home state and display a notification message to the user that the deep link was unsuccessful.", "In addition, a message may be sent to the data server indicating that the deep link was unsuccessful.", "Control then returns from FIG.", "8.At 844, if the selected UI event has only been replayed a single time, there has not yet been a second failure, and therefore control returns to 824 to attempt to replay the selected UI event a second time.", "In various implementations, success is not evaluated, and the UI events are replayed in series with the expectation that in most circumstances, the desired deep link will be reached.", "As a result, elements 828, 836, 840, 844, and 848 may be replaced with a simple wait step.", "The wait step may wait for a predetermined delay that has been empirically determined to allow the app to respond to user input.", "The wait step may be used in systems where UI events cannot be processed by the app as quickly as they can be replayed by the routing library.", "In other implementations, the wait step may involve watching for a method call resulting from the UI event.", "Once response of the app to the UI event has been detected, such as by watching certain method calls, control may allow the following UI event to be replayed.", "At 832, if there are additional UI events, control transfers to 852; otherwise, control returns from FIG.", "8.At 852, control selects the next UI in the breadcrumb and returns to 824.Search System In FIG.", "9, an example implementation of the search system 288 includes a search module 900.The search module 900 includes a query analysis module 904 that receives a query wrapper, which may take the form of a query string within a URL transmitted by the browser 228 of FIG.", "2.The query analysis module 904 analyzes the text query from the query wrapper.", "For example, the query analysis module 904 may tokenize the query text, filter the query text, and perform word stemming, synonymization, and stop word removal.", "The query analysis module 904 may also analyze additional data stored within the query wrapper.", "The query analysis module 904 provides the tokenized query to a set generation module 908.The set generation module 908 identifies a consideration set of application state records from a search data store 910 based on the query tokens.", "Application (equivalently, app) state records correspond to specific states of apps.", "In various implementations, the search data store 910 may also include app records.", "In various implementations, an app record may be stored as an app state record that simply has a predetermined value, such as null, for the specific state of the app.", "App state records in the search data store 910 may be generated by crawling and scraping apps according to the principles of the present disclosure.", "Some or all of the contents of the records of the search data store 910 may be indexed in inverted indices.", "In some implementations, the set generation module 908 uses the APACHE LUCENE software library by the Apache Software Foundation to identify records from the inverted indices.", "The set generation module 908 may search the inverted indices to identify records containing one or more query tokens.", "As the set generation module 908 identifies matching records, the set generation module 908 can include the unique ID of each identified record in the consideration set.", "For example, the set generation module 908 may compare query terms to an app state name and app attributes (such as a text description and user reviews) of an app state record.", "Further, in some implementations, the set generation module 908 may determine an initial score of the record with respect to the search query.", "The initial score may indicate how well the contents of the record matched the query.", "For example, the initial score may be a function of term frequency-inverse document frequency (TF-IDF) values of the respective query terms.", "A set processing module 912 receives unique IDs of app state records identified by the set generation module 908 and determines a result score for some or all of the IDs.", "A result score indicates the relevance of an app state with respect to the tokenized query and context parameters.", "In various implementations, a higher score indicates a greater perceived relevance.", "For example, other items in the query wrapper may act as context parameters.", "Geolocation data may limit the score of (or simply remove altogether) apps that are not pertinent to the location of the user device.", "A blacklist in the query wrapper may cause the set processing module 912 to remove app records and/or app state records from the consideration set that match the criteria in the blacklist, or to set their score to a null value, such as zero.", "The set processing module 912 may generate a result score based on one or more scoring features, such as record scoring features, query scoring features, and record-query scoring features.", "Example record scoring features may be based on measurements associated with the record, such as how often the record is retrieved during searches and how often links generated based on the record are selected by a user.", "Query scoring features may include, but are not limited to, the number of words in the search query, the popularity of the search query, and the expected frequency of the words in the search query.", "Record-query scoring features may include parameters that indicate how well the terms of the search query match the terms of the record indicated by the corresponding ID.", "The set processing module 912 may include one or more machine-learned models (such as a supervised learning model) configured to receive one or more scoring features.", "The one or more machine-learned models may generate result scores based on at least one of the record scoring features, the query scoring features, and the record-query scoring features.", "For example, the set processing module 912 may pair the search query with each app state ID and calculate a vector of features for each {query, ID} pair.", "The vector of features may include one or more record scoring features, one or more query scoring features, and one or more record-query scoring features.", "In some implementations, the set processing module 912 normalizes the scoring features in the feature vector.", "The set processing module 912 can set non-pertinent features to a null value or zero.", "The set processing module 912 may then input the feature vector for one of the app state IDs into a machine-learned regression model to calculate a result score for the ID.", "In some examples, the machine-learned regression model may include a set of decision trees (such as gradient-boosted decision trees).", "Additionally or alternatively, the machine-learned regression model may include a logistic probability formula.", "In some implementations, the machine-learned task can be framed as a semi-supervised learning task, where a minority of the training data is labeled with human-curated scores and the rest are used without human labels.", "The machine-learned model outputs a result score of the ID.", "The set processing module 912 can calculate result scores for each of the IDs that the set processing module 912 receives.", "The set processing module 912 associates the result scores with the respective IDs and outputs the most relevant scored IDs.", "A results generation module 924 may choose specific access mechanisms from the application records and app state records chosen by the set processing module 912.The results generation module 924 then prepares a results set to return to the user device.", "Although called “app state results” here, some of the access mechanisms may correspond to a default state (such as a home page) of an app—these may be a special case of an app state record or may be an app record.", "The results generation module 924 may select an access mechanism for an app state record based on whether the app is installed on the device.", "If the app is installed, an access mechanism that opens the app directly to the specified state is selected.", "Meanwhile, if the app is not installed, a selected access mechanism first downloads and installs the app, such as via a script, before opening the app to the specified state.", "Opening the app to the specified state may include a single command or data structure (such as an intent in the ANDROID operating system) that directly actuates the specified state.", "For other apps, a script or other sequence may be used to open the app to a certain state (such as a home, or default, state) and then navigate to the specified state.", "The results generation module 924 may generate or modify access mechanisms based on the operating system identity and version for the user device to which the results are being transmitted.", "For example, a script to download, install, open, and navigate to a designated state may be fully formed for a specific operating system by the results generation module 924.If the results generation module 924 determines that none of the native access mechanisms are likely to be compatible with the user device, the search module 900 may send a web access mechanism to the user device.", "If no web access mechanism is available, or would be incompatible with the user device for some reason (for example, if the web access mechanism relies on the JAVA programming language, which is not installed on the user device), the results generation module 924 may omit the result.", "Server-Side Control In FIG.", "10, operation of server-side components may be performed within a single device or may be performed across the developer portal 208, the offline analysis system 280, the data server 284, and the search system 288 of FIG.", "2.In various implementations, the developer portal 208, the data server 284, and the search system 288 may be under the control of the same entity.", "The offline analysis system 280 may employ operators that facilitate static analysis and/or dynamic analysis to ensure that accurate and complete parameters are extracted from each app.", "Control begins at 1002, where the routing library is made available to app developers.", "Over time, the routing library may be updated, and the most up-to-date version may be the only one available to app developers.", "At 1004, if a request for a breadcrumb has been received, control transfers to 1006; otherwise, control transfers to 1008.At 1006, control determines a subset of the breadcrumbs that has changed since the last request was received from the requester.", "This subset can then be provided at 1010 to bring the data up-to-date at the source of the request.", "Control then returns to 1004.At 1008, if offline processing of an app has been initiated, control transfers to 1012; otherwise, control transfers to 1016.At 1016, if a search query has been received from a search system, control transfers to 1020; otherwise, control returns to 1004.At 1020, control determines a consideration set of results corresponding to the search query.", "This consideration set may include apps that are open to the search query as well as specific states (deep states) of apps that are relevant to the search query.", "At 1024, control scores the elements in the consideration set based on how closely they match the understood intent of the search query.", "The scored results can then be ranked from most relevant to least relevant.", "At 1028, the highest-ranked results are formatted as deep view cards associated with deep links to the specific states within the results.", "At 1032, control returns the deep view cards to the requestor of the query.", "The deep view cards may not be fully rendered, and instead include images, text, and instructions on how to render the deep view cards for particular screen sizes, orientations, and other requirements of the requesting application or operating system.", "For apps where the deep links are serviced by a routing library according to the principles of the present disclosure, an access mechanism returned along with the corresponding search result may include a URI with an encoded data structure.", "The encoded data structure may include the breadcrumb necessary to invoke that specific state from within the app.", "The URI, being a string, includes a serialized version of that data structure and is prefixed with a scheme.", "The scheme, such as “portal-appa://”, will cause the URI to be forwarded to and recognized by the routing library of the app.", "Control then returns to 1004.At 1012, control runs App A, such as in a simulator.", "While App A is running, control monitors UI events resulting from the operator's usage of App A.", "At 1036, control allows an operator to interact with App A to reach a deep state of interest, recording each UI interaction to form a breadcrumb.", "In various implementations, control may monitor how long it takes App A to respond to certain UI events.", "These response times, or delays based on the response times, may be encoded in the breadcrumb along with the corresponding UI events.", "For example, some operations may require App A to obtain data from a server, incurring network communication delays.", "When replaying the UI events in the breadcrumb, there should be a longer delay after the UI event leading to network access before the next UI event is replayed.", "At 1040, if there are additional deep states of interest, control returns to 1036; otherwise, control continues at 1044.At 1044, control determines states that are parallel to the states identified by the operator.", "For example, if the operator selects a link from a list of similar-looking items, and the selected link leads to a state of interest, control may assume that the other items in the list are also of interest.", "These parallel states, and the breadcrumbs used to reach them (in the list example, the breadcrumbs would differ only in the last UI event), are added to the list of deep-linkable states.", "In various implementations, App A may be exercised using a crawling algorithm to reach some or all deep states of App A.", "For additional information regarding crawling, see U.S. patent application Ser.", "No.", "14/849,540, filed Sep. 9, 2015, titled “Unguided Application Crawling Architecture,” with first-named inventor Kalyan Desineni, and having Attorney Docket No.", "17100-000006-US-01.The entire disclosure of this application is incorporated by reference.", "At 1048, control provides a list of deep-linkable states to a search system for indexing.", "At 1052, for each deep-linkable state, control provides a data structure containing the breadcrumb to the data server to be used in a URI as an access mechanism to access the deep state.", "Control then returns to 1004.At 1012, an operator interacts with a copy of the app, such as in a simulator, to navigate to states of interest.", "In some implementations, the operator navigates to states of interest designated by the developer; in other implementations, the developer herself navigates to states of interest.", "User interface events required to reach various states are recorded such as described in FIG.", "12.Control continues at 1040, where control may extrapolate additional states of interest from the operator-identified states of interest.", "Example operation for extrapolation is shown in FIG.", "13.At 1012, while the operator is identifying states of interest, the operator may also be tagging states as activities to be bookmarked and may be identifying data within the states as data objects to index.", "Control continues at 1056, where configuration parameters established by the operator are transmitted to the data server.", "For example, configuration parameters may be business rules specifying which states should be deep linked which states should be bookmarked as activities, and what data should be indexed.", "Offline Analysis In FIG.", "11, an example implementation of the offline analysis system 280 includes a guide creation system 1100 that allows for operator interaction with an app of interest (such as App A).", "The guide creation system 1100 allows an operator to specify which states of an app should be deep-linkable and may use input from the operator to determine how to reach those deep states.", "In various implementations, the operator is an administrator of the offline analysis system 280, acting on standard operating procedures for offline processing of an app or on instructions from a developer of the app.", "Additionally or alternatively, an agent of the app developer may act as the operator.", "The agent may specify which states or types of states should be made accessible as deep states.", "After the initial offline analysis, the agent may use the developer portal 208 to request that additional states of the app be added as deep states and request that existing deep-linked states be removed.", "This may be done via the developer portal 208 with no need for the agent to modify code of the app or even request assistance from the app's software developers.", "In other words, marketing personnel or user experience designers may themselves control the scope of the deep linking within the app, without even releasing a new version of the app.", "For added states, the offline analysis system 280 will re-process the app to determine the breadcrumbs to the added states.", "For an app being processed by the offline analysis system 280, the operator controls a copy of the app of interest executing within a simulator 1104.In various other implementations, the app of interest may be installed on a physical device or executed in an emulation environment.", "In various implementations, the simulator 1104 may be instantiated at a cloud hosting operator that may provide compute facilities within which to execute emulator/simulator code or that may directly provide emulators or simulators for one or more mobile device operating systems.", "For more information, see U.S. patent application Ser.", "No.", "14/868,294, filed Sep. 28, 2015, titled “Operator-Guided Application Crawling Architecture,” with first-named inventor Kalyan Desineni, and having Attorney Docket No.", "17100-000006-US-02.The entire disclosure of this application is incorporated by reference.", "In some implementations, a physical device running the operating system may be used instead of the simulator 1104, such as for an operating system that does not have a suitable simulator or emulator.", "The physical device may be connected to the guide creation system 1100 using a wireless or wired interface, such as USB (universal serial bus).", "A root-level control application may be installed on the physical device to track user input.", "Installing the root-level application may require bypassing security limitations of the firmware or operating system regarding privileges of installed apps and may require that the device be jailbroken.", "Jailbreaking involves bypassing or removing various software restrictions imposed by the operating system and/or device firmware, and may be accomplished using a privilege escalation technique.", "The jailbroken device may be further modified with pre-installed and specific settings that enable the techniques of the present disclosure, such as recording UI interactions.", "The simulator 1104 is essentially already jailbroken.", "However, the simulator 1104 may also be modified to allow for better control, such as to more easily allow recording UI interactions.", "The operator's interaction with the app may be recorded to form a guide indicating how a state is reached.", "This guide defines the breadcrumb used to reach the end state, and subsets of the guide define the breadcrumbs for intermediate states.", "The guide may also be used to infer other states of interest and determine breadcrumbs leading to those states.", "Each UI event may be associated with a specific UI element, identified according to a predetermined rubric by a unique ID.", "For example, the unique ID may be dictated by how the UI elements are programmatically created when rendering the view.", "In other implementations, the unique ID may be dictated by how the UI elements are actually seen, with the UI elements numbered in increasing order from left to right and top to bottom.", "In other implementations, x-y coordinates may be used to identify the location of the user interaction, or the boundaries of the UI element actuated, or a center of the UI element actuated even if the operator's action was off-center.", "In various implementations, static analysis may be used to analyze the UI elements of various states of the app.", "Static analysis involves analyzing the code of the app without making observations of the app being executed.", "In some implementations, a static analyzer (not shown) may identify the UI elements of each view and assign unique identifiers to each UI element within a view.", "Then, dynamic analysis would have a predetermined nomenclature when referring to user interactions with any UI elements.", "For example, static analysis may be performed with a disassembler and debugger implemented by the IDA Pro software from Hex-Rays SA.", "Additionally or alternatively, the static analyzer may analyze the methods and views of an app to determine how best to instrument the app.", "With this information, the dynamic analyzer can hook to the correct methods and listen for the correct events to accurately track user interaction with the app and discard method calls unrelated to user interaction.", "For more information on static analysis, see U.S. patent application Ser.", "No.", "14/843,929, filed Sep. 2, 2015, titled “Static Analysis-Assisted Dynamic Application Crawling Architecture,” with first-named inventor Kalyan Desineni, and having Attorney Docket No.", "17100-000006-US.", "The entire disclosure of this application is incorporated by reference.", "While the app is running in the simulator 1104, the guide creation system 1100 may monitor the messaging queue of the simulator 1104 (or of the actual operating system when executing in an emulator or physical device), listening for messages indicative of user manipulation of the app.", "Such manipulation includes, for example, clicking, pressing, pinching, or swiping of the user interface.", "In addition to capturing a unique identifier for each UI element the operator interacts with, the guide creation system 1100 may also extract the type of each element according to the defined types specified by the operating system, and further extract the identity of the associated view controller.", "For example, the following methods may be hooked, such as by using method swizzling: (void)sendEvent:(UIEvent *)event method in the UIApplication class (void)sendAction:(SEL)action to:(id)target forEvent:(UIEvent *)event in UIControl class (void)viewDidAppear:(BOOL)animated for all the controllers that were loaded A handler may be implemented to record each type of UI element.", "As an example, code for recording a button press can be implemented as follows: -(void)sendEvent:(UIEvent *)event { for (UITouch *touch in event.allTouches) { if (touch.phase == UITouchPhaseBegan) { if ([touch.view isKindOfClass:[UIButton class]]) { NSLog(@“UIButton:id:{%@}”, [((UIButton *)touch.view) currentTitle]); } } } } Other types of UI elements may be recorded by similar handler code.", "In various implementations, after hooking the objc_msgSend function, a guide tracker 1108 may listen to the AppDelegate class and the (BOOL) application:(id)application ˜didFinishLaunchingWith Options: ˜(NSDictionary *)launchOptions method.", "Further, the guide tracker 1108 may dynamically create listeners for controllers.", "Instead of trying to exhaustively discover every state of an app through an unguided crawl of the app, the operator can help focus the analysis on the states for which deep links are desired—generally, the most interesting or important states.", "The operator may begin at a home state of the app and progress to one state of interest for each category of states for which deep linking is desired.", "The offline analysis system 280 may then extrapolate to find similar/parallel actions, each of which may correspond to another state of interest.", "These parallel states can be added to a state list that defines which states will have corresponding breadcrumbs stored.", "For example, if the app includes information about restaurants, the operator may browse to a state that lists restaurants, and then select one of the restaurants.", "The operator may stop after finding a state that shows details about a first restaurant.", "Based on the series of actions taken by the operator during browsing, the offline analysis system 280 can find other restaurant detail states that could have been reached in a similar way.", "For example, after selecting a restaurant item with a certain layout and certain properties (e.g.", "an image with property X next to a text box with property Y) from a list, the offline analysis system 280 may detect multiple additional restaurant items (that have the same layout and/or properties) within that view, which the operator could have selected.", "It may then predict that selecting the other restaurant listings will result in finding additional restaurant info states.", "For additional information about extrapolation, see U.S. patent application Ser.", "No.", "14/869,127, filed Sep. 29, 2015, titled “State Extrapolation for Automated and Semi-Automated Crawling Architecture,” with first-named inventor Kalyan Desineni, and having Attorney Docket No.", "17100-000006-US-03.The entire disclosure of this application is incorporated by reference.", "Within the guide creation system 1100, the guide tracker 1108 records operator interaction with the app in the simulator 1104 to create operator-specified guides.", "For example, a guide may include each user interface interaction performed by the operator, beginning at the home (or, default) state of the app.", "In various implementations, a target state tracker 1112 may allow the operator to flag a state currently visible in the simulator 1104 as a state of interest.", "For example, the target state tracker 1112 may provide a user interface element (such as a button) within the simulator 1104 or as part of software through which the operator controls the simulator 1104.For each state of interest, a link extraction controller 1116 generates a breadcrumb specifying a sequence of UI events that leads to the state of interest.", "The link extraction controller 1116 is aware of states that are of interest to the operator, either explicitly (such as via the target state tracker 1112) or implicitly (such as via the guide tracker 1108).", "The link extraction controller 1116 may attempt to identify similar states—for example, states that are reached using similar UI (user interface) elements.", "Target states may be explicitly identified by the operator using the target state tracker 1112.If target states are not specified by the operator, the link extraction controller 1116 may assume that the final state reached when an operator is creating a guide is the target state.", "Alternatively, the link extraction controller 1116 may make the assumption that every state the operator navigated to should be a target state.", "A deduplication procedure may be used to detect when the operator has browsed in a loop, thus avoiding recording duplicative and/or non-shortest-path guides.", "Deduplication may be less necessary if the operator is explicitly marking target states.", "The link extraction controller 1116 operates a simulator 1120, which, as described above, could instead be an emulator or a physical device.", "For scale, multiple emulators, simulators, and/or physical devices may be controlled by the link extraction controller 1116 to analyze the same or different applications.", "As an example only, a bank of physical smailphones may all be connected via USB to an interface card that is controlled by the link extraction controller 1116.Simply for ease of illustration, a single simulator (the simulator 1120) is shown in FIG.", "4.In various implementations, the simulator 1120 and the simulator 1104 may be a single simulator shared by the guide creation system 1100 and the link extraction controller 1116.The application of interest is executed within the simulator 1120.In various implementations, the app executed by the simulator 1120 may be supplemented with a routing library, such as is described in FIG.", "3A or FIG.", "3B.", "With the routing library, the link extraction controller 1116 can cause the app in the simulator 1120 to replay UI events to follow guides and identify additional states of interest.", "In other implementations, because the app in the simulator 1120 does not need to be a publicly-distributed version of the app, an accessibility or automation framework may be used to perform UI control.", "The routing library may be reserved for the version of the app that is publicly distributed.", "The link extraction controller 1116 identifies states of interest corresponding to each of the guides specified by the guide tracker 1108.In order to reach a state within the app, the link extraction controller 1116 sends the shortest path specified by the guide tracker 1108 to the simulator 1120 to be replayed.", "The link extraction controller 1116 identifies states of interest corresponding to each of the guides specified by the guide tracker 1108.In various implementations, the offline analysis system 280 may include a scraper (not shown) that extracts content from each state of interest.", "The content may include text, images, and metadata, such as location, formatting, interface hints, etc.", "This content may be used by the search system 288 of FIG.", "2 to determine which deep states of the app are relevant to a search query.", "In other implementations, the search system 288 may crawl and scrape the app separately, but may use the breadcrumbs from the offline analysis system 280 to navigate to the various states.", "If there are UI fields into which text needs to be entered, the operator may identify to the guide creation system 1100 what types of textual input need to be entered.", "The types could be, for example, city names, cuisine names, etc.", "The link extraction controller 1116 then consults a knowledge base to get a list of possible values of such types (for cities, the list might include “Seattle,” “San Jose,” etc.)", "and then replay each one of these values into the textual input field.", "The link extraction controller 1116 may detect that a potential state of interest has already been reached by following a different breadcrumb.", "The link extraction controller 1116 may then select one of the breadcrumbs to associate with that state.", "For example, the breadcrumb having the fewest number of UI events may be selected.", "Alternatively, the breadcrumb having the fewest view changes may be selected, and total number of UI events may be used as a tiebreaker.", "To identify that a state has already been reached, the link extraction controller 1116 may store a fingerprint for each state along with the breadcrumb.", "The fingerprint may be a reduced representation of the components of a state.", "For example, a representation of visible objects may be created, such as by enumerating the objects in an XML data structure and then computing a mathematical hash (such as with MD5) of the data structure.", "The hash is then the fingerprint, and if the hash of a new state matches a hash of an existing state, the states are considered to be the same.", "As the link extraction controller 1116 assembles the set of states of interest, the link extraction controller 1116 may store an identifier of the state into a configuration data store 1124.For each state of interest, the link extraction controller 1116 determines a breadcrumb to reach that state and stores the breadcrumb in the configuration data store 1124.In addition, content or a fingerprint from states is stored in the configuration data store 1124.Data from the configuration data store 1124 may be provided to the search system 288 of FIG.", "2.Breadcrumb Creation In FIG.", "12, example operation of breadcrumb creation by an operator begins at 1204, where control opens the subject app in an emulator or simulator to the home state of the app.", "At 1208, control begins tracking user interaction with the app, including tracking the user interface elements with which the operator interacts.", "At 1212, if the operator marks the current state as a deep link target, control transfers to 1216; otherwise, control transfers to 1220.At 1216, the state is added to a list of target states and control continues at 1224.At 1224, control stores the operator interaction leading to the present state as a breadcrumb.", "Control continues at 1220.At 1220, if the operator marks the state as an activity for bookmarking purposes, control transfers to 1228; otherwise, control transfers to 1232.At 1228, control adds the state to the rules for activity bookmarking so that when the state is encountered by a user, an activity corresponding to that state is bookmarked by sending an activity object to the operating system.", "Control continues at 1236, where the operator interaction leading to the present state is stored as a breadcrumb.", "If the breadcrumb was already stored at 1224 for the present state, an additional copy of the breadcrumb may be omitted from storage.", "Control continues at 1232.At 1232, control continues tracking operator interaction with the app.", "At 1240, control determines whether the operator has instructed the app to reset to a home state of the app.", "If so, control transfers to 1244; otherwise, control returns to 1212.Resetting the home state may be accomplished by a user interface element belonging to the simulator within which the app is operating.", "In other limitations, the app itself may have a mechanism for returning to the home state and this is recognized by 1240 as well.", "By resetting to the home state, the operator indicates that a new set of user interface events should be tracked to lead to another state of interest.", "At 1244, if the present state was not already marked as a deep link target, control stores the operator interaction leading to the present state as a breadcrumb and adds the present state to the list of target states.", "Control continues at 1248, where if the operator is done, control ends.", "Otherwise, control returns to 1208.Breadcrumb Extrapolation Referring to FIG.", "13, the operator may have established a series of breadcrumbs but not labeled any particular states as target states.", "For example, in some implementations, the operator may not be presented with an option to designate target states.", "However, operator-created breadcrumbs generally begin at a home state and end at a terminal (or, final) state.", "This final state may be assumed to be the state of interest for deep-linking.", "In other words, only terminal states are assumed to be target states.", "In other implementations, each state encountered along a breadcrumb is assumed to be a target state.", "For example, when an operator is creating breadcrumbs for a restaurant review application, the operator may create one breadcrumb by navigating from the home state to a state containing restaurant information and reviews.", "This would be assumed to be a desired target state, and the link extraction controller would attempt to find additional states that have similar data for scraping.", "Control begins at 1304, where a first breadcrumb from a list of operator-created breadcrumbs is selected.", "At 1308, control opens the app to a home state in an emulator.", "At 1312, control follows the selected breadcrumb, stopping in the state immediately before the final state.", "At 1316, control performs extrapolation to identify states similar to the final state.", "For example, control identifies UI widgets parallel to the UI widget that, when followed according to the selected breadcrumb, will reach the final state.", "At 1320, control adds the final state, as well as states reached from UI widgets identified as parallel, to a state list.", "Each of the added states is annotated with a breadcrumb based on the selected breadcrumb.", "The breadcrumb for the states other than the final state will diverge from the breadcrumb for the final state at the final UI event of each breadcrumb.", "At 1324, control determines whether additional breadcrumbs are present in the list of breadcrumbs.", "If so, control transfers to 1328; otherwise, control ends.", "At 1328, control selects the next breadcrumb from the list of breadcrumbs and returns to 1308.CONCLUSION The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses.", "The broad teachings of the disclosure can be implemented in a variety of forms.", "Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims.", "It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure.", "Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described.", "In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.", "Spatial and functional relationships between elements (for example, between modules) are described using various terms, including “connected,” “engaged,” “interfaced,” and “coupled.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship encompasses a direct relationship where no other intervening elements are present between the first and second elements, and also an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements.", "As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.” In this application, including the definitions below, the term ‘module’ or the term ‘controller’ may be replaced with the term ‘circuit.’ The term ‘module’ may refer to, be part of, or include processor hardware (shared, dedicated, or group) that executes code and memory hardware (shared, dedicated, or group) that stores code executed by the processor hardware.", "The module may include one or more interface circuits.", "In some examples, the interface circuits may include wired or wireless interfaces that are connected to a local area network (LAN), the Internet, a wide area network (WAN), or combinations thereof.", "The functionality of any given module of the present disclosure may be distributed among multiple modules that are connected via interface circuits.", "For example, multiple modules may allow load balancing.", "In a further example, a server (also known as remote, or cloud) module may accomplish some functionality on behalf of a client module.", "The term code, as used above, may include software, firmware, and/or microcode, and may refer to programs, routines, functions, classes, data structures, and/or objects.", "Shared processor hardware encompasses a single microprocessor that executes some or all code from multiple modules.", "Group processor hardware encompasses a microprocessor that, in combination with additional microprocessors, executes some or all code from one or more modules.", "References to multiple microprocessors encompass multiple microprocessors on discrete dies, multiple microprocessors on a single die, multiple cores of a single microprocessor, multiple threads of a single microprocessor, or a combination of the above.", "Shared memory hardware encompasses a single memory device that stores some or all code from multiple modules.", "Group memory hardware encompasses a memory device that, in combination with other memory devices, stores some or all code from one or more modules.", "The term memory hardware is a subset of the term computer-readable medium.", "The term computer-readable medium, as used herein, does not encompass transitory electrical or electromagnetic signals propagating through a medium (such as on a carrier wave); the term computer-readable medium is therefore considered tangible and non-transitory.", "Non-limiting examples of a non-transitory computer-readable medium are nonvolatile memory devices (such as a flash memory device, an erasable programmable read-only memory device, or a mask read-only memory device), volatile memory devices (such as a static random access memory device or a dynamic random access memory device), magnetic storage media (such as an analog or digital magnetic tape or a hard disk drive), and optical storage media (such as a CD, a DVD, or a Blu-ray Disc).", "The apparatuses and methods described in this application may be partially or fully implemented by a special purpose computer created by configuring a general purpose computer to execute one or more particular functions embodied in computer programs.", "The functional blocks and flowchart elements described above serve as software specifications, which can be translated into the computer programs by the routine work of a skilled technician or programmer.", "The computer programs include processor-executable instructions that are stored on at least one non-transitory computer-readable medium.", "The computer programs may also include or rely on stored data.", "The computer programs may encompass a basic input/output system (BIOS) that interacts with hardware of the special purpose computer, device drivers that interact with particular devices of the special purpose computer, one or more operating systems, user applications, background services, background applications, etc.", "The computer programs may include: (i) descriptive text to be parsed, such as HTML (hypertext markup language) or XML (extensible markup language), (ii) assembly code, (iii) object code generated from source code by a compiler, (iv) source code for execution by an interpreter, (v) source code for compilation and execution by a just-in-time compiler, etc.", "As examples only, source code may be written using syntax from languages including C, C++, C#, Objective-C, Swift, Haskell, Go, SQL, R, Lisp, Java®, Fortran, Perl, Pascal, Curl, OCaml, Javascript®, HTML5 (Hypertext Markup Language 5th revision), Ada, ASP (Active Server Pages), PHP (PHP: Hypertext Preprocessor), Scala, Eiffel, Smalltalk, Erlang, Ruby, Flash®, Visual Basic®, Lua, MATLAB, SIMULINK, and Python®.", "None of the elements recited in the claims are intended to be a means-plus-function element within the meaning of 35 U.S.C.", "§ 112(f) unless an element is expressly recited using the phrase “means for” or, in the case of a method claim, using the phrases “operation for” or “step for.”" ] ]
Patent_15875753
[ [ "EMBEDDING DEBUGGING INFORMATION VIA WATERMARKS", "Debugging information for content rendered for display on a client device can be included in one or more watermarks inserted into the content.", "Performance, state, configuration, debugging, and other information can be encoded into a watermark and placed in rendered content in such a way that the information is imperceptible to most users.", "When a user reports a potential issue or problem, the user (or another source) can provide a version of the image frame corresponding to the issue, which enables the watermark to be located and the data extracted.", "A checksum or other value can be used to ensure that a complete and valid watermark was obtained.", "The data extracted from the watermark can then be used to attempt to identify and/or diagnose the potential issue without having to have access to the client device or communication with the user." ], [ "1.", "(canceled) 2.A computer-implemented method, comprising: analyzing a first image frame representing rendered content on a client device; identifying first watermark data represented in the first image frame, the first watermark data corresponding to at least a first portion of a watermark containing debugging data; analyzing a second image frame representing the rendered content; identifying second watermark data represented in the second image frame, the second watermark data corresponding to at least a portion of the watermark containing debugging data; and utilizing the debugging data from the watermark to attempt to determine a cause of an issue with the rendered content.", "3.The computer-implemented method of claim 2, further comprising: causing the debugging data to be encoded at pixel locations proximate edges of objects represented in the rendered content.", "4.The computer-implemented method of claim 2, further comprising: determining at least one parameter from the watermark corresponding to a checksum, a hash, or a length identifier; and verifying the watermark data using the at least one parameter.", "5.The computer-implemented method of claim 2, further comprising: storing additional debugging data to a log data store; correlating the additional data to identifier information from the watermark; and accessing the log data store to obtain the additional debugging data.", "6.The computer-implemented method of claim 2, further comprising: embedding the debugging data into a second watermark; and positioning the second watermark in a different location than the watermark.", "7.The computer-implemented method of claim 2, further comprising: determining a quality associated with an image file comprising the first and second image frames; determining the quality is below a threshold amount, the threshold indicating where data is lost; and encoding at least a portion of the debugging data at a different encoding level to prevent data loss.", "8.A computer-implemented method, comprising: obtaining content for rendering on a client device; determining debugging data relevant to the content; determining verification data relevant to the content or client device; generating watermark data including at least the debugging data and the verification data; and encoding the watermark data into the content.", "9.The computer-implemented method of claim 8, further comprising: providing the content for the presentation via the client device.", "10.The computer-implemented method of claim 8, further comprising: determining at least one parameter from the watermark data corresponding to a checksum, a hash, or a length identifier; and verifying the watermark data using the at least one parameter.", "11.The computer-implemented method of claim 8, wherein the debugging data includes at least one of state information, frame information, timestamp information, content selection information, content layout information, user information, application information, rendering time data, processing data, sample data, failure occurrence record data, or breakpoint information.", "12.The computer-implemented method of claim 8, wherein encoding the watermark data comprises using at least one of a quantization type watermarking algorithm, a spread-spectrum watermarking algorithm, or an amplitude modulation watermarking algorithm.", "13.The computer-implemented method of claim 8, further comprising: encoding a first portion of the watermark data into the content using a first watermarking algorithm and a second portion of the watermark data into the content using a second watermarking algorithm.", "14.The computer-implemented method of claim 8, further comprising: receiving a notification indicating a potential issue with a presentation of the content via the client device; extracting the watermark data from the content; and utilizing the debugging data, contained within the watermark data, to attempt to determine a cause of the potential issue.", "15.The computer-implemented method of claim 8, wherein the content is rendered content comprising an image frame, the computer-implemented method further comprising: receiving the image frame captured from the client device, the image frame being captured as displayed on the client device; identifying the watermark data from the image frame; and verifying the content of the watermark data.", "16.The computer-implemented method of claim 8, further comprising: receiving a first image frame from the user device, the first image frame representative of content rendered on the client device; locating watermark data within the first image frame; determining the watermark data is incomplete; evaluating a second image frame from the user device, the second image frame representative of content rendered on the client device; and extracting the watermark data from a different location within the second image frame.", "17.A system, comprising: at least one processor; and memory including instructions that, when executed by the at least one processor, cause the system to: obtain content for rendering on a client device; determine debugging data relevant to the content; determine verification data relevant to the content or client device; generate watermark data including at least the debugging data and the verification data; and encode the watermark data into the content.", "18.The system of claim 17, wherein the instructions when executed further cause the system to: encode a first portion of the watermark data into the content using a first watermarking algorithm and a second portion of the watermark data into the content using a second watermarking algorithm.", "19.The system of claim 17, wherein the instructions when executed further cause the system to: determine at least one parameter from the watermark data corresponding to a checksum, a hash, or a length identifier; and verify the watermark data using the at least one parameter.", "20.The system of claim 17, wherein the instructions when executed further cause the system to: receiving a notification indicating a potential issue with a presentation of the content via the client device; extracting the watermark data from the content; and utilizing the debugging data, contained within the watermark data, to attempt to determine a cause of the potential issue.", "21.The system of claim 17, wherein the instructions when executed further cause the system to: store additional debugging data in a log data store; correlate the additional data to the verification data from the watermark; and access the log data store to obtain the additional debugging data." ], [ "<SOH> BACKGROUND <EOH>Users are increasingly utilizing electronic devices to perform various tasks.", "These tasks can be performed in various ways, such as by using Web content displayed through a Web browser or content accessed using an application (or “app”) executing on a client device.", "Users using a variety of different applications accessing a variety of different content will occasionally experience issues with the intended functionality.", "A user can report these issues, but a developer will want to determine contextual information in an attempt to determine a potential cause of the reported issue.", "In some instances, this also involves attempting to recreate the issue.", "In order to perform these tasks, a developer needs to obtain the contextual information from the user or another source.", "Users may be unwilling to provide contextual information, or may not know how to provide the appropriate information.", "Further, various engines and protocols do not provide for the capturing of robust debugging information, particularly from client or third party devices." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>Various embodiments in accordance with the present disclosure will be described with reference to the drawings, in which: FIGS.", "1A, 1B, 1C, 1D, and 1E illustrate example approaches to embedding information in an image through watermarking that can be utilized in accordance with various embodiments.", "FIG.", "2 illustrates an example environment for embedding debugging data in image content that can be utilized in accordance with various embodiments.", "FIG.", "3 illustrates an example process for embedding debugging data in image content that can be utilized in accordance with various embodiments.", "FIG.", "4 illustrates an example process for extracting debugging data from image content that can be utilized in accordance with various embodiments.", "FIG.", "5 illustrates an example display of content wherein a portion of a watermark may be occluded by content rendered from an embedded engine that can be processed in accordance with various embodiments.", "FIG.", "6 illustrates an example device that can be used to implement aspects of the various embodiments.", "FIG.", "7 illustrates example components of a client device such as that illustrated in FIG.", "6 .", "FIG.", "8 illustrates an environment in which various embodiments can be implemented.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This is a continuation application of U.S. patent application Ser.", "No.", "14/970,131, filed on Dec. 15, 2015, entitled “EMBEDDING DEBUGGING INFORMATION VIA WATERMARKS,” which is hereby incorporated by reference in its entirety.", "BACKGROUND Users are increasingly utilizing electronic devices to perform various tasks.", "These tasks can be performed in various ways, such as by using Web content displayed through a Web browser or content accessed using an application (or “app”) executing on a client device.", "Users using a variety of different applications accessing a variety of different content will occasionally experience issues with the intended functionality.", "A user can report these issues, but a developer will want to determine contextual information in an attempt to determine a potential cause of the reported issue.", "In some instances, this also involves attempting to recreate the issue.", "In order to perform these tasks, a developer needs to obtain the contextual information from the user or another source.", "Users may be unwilling to provide contextual information, or may not know how to provide the appropriate information.", "Further, various engines and protocols do not provide for the capturing of robust debugging information, particularly from client or third party devices.", "BRIEF DESCRIPTION OF THE DRAWINGS Various embodiments in accordance with the present disclosure will be described with reference to the drawings, in which: FIGS.", "1A, 1B, 1C, 1D, and 1E illustrate example approaches to embedding information in an image through watermarking that can be utilized in accordance with various embodiments.", "FIG.", "2 illustrates an example environment for embedding debugging data in image content that can be utilized in accordance with various embodiments.", "FIG.", "3 illustrates an example process for embedding debugging data in image content that can be utilized in accordance with various embodiments.", "FIG.", "4 illustrates an example process for extracting debugging data from image content that can be utilized in accordance with various embodiments.", "FIG.", "5 illustrates an example display of content wherein a portion of a watermark may be occluded by content rendered from an embedded engine that can be processed in accordance with various embodiments.", "FIG.", "6 illustrates an example device that can be used to implement aspects of the various embodiments.", "FIG.", "7 illustrates example components of a client device such as that illustrated in FIG.", "6.FIG.", "8 illustrates an environment in which various embodiments can be implemented.", "DETAILED DESCRIPTION Systems and methods in accordance with various embodiments of the present disclosure overcome one or more of the above-referenced and other deficiencies in conventional approaches to obtaining operational information in an electronic device.", "In particular, various embodiments provide for the obtaining of debugging information through the inclusion of watermarks in rendered content.", "Performance, state, configuration, debugging, and other information can be encoded into a watermark and placed in rendered content in such a way that the information is imperceptible to most users.", "When a user reports a potential issue or problem, the user (or another source) can provide an image frame corresponding to the issue, which enables the watermark to be located and the data extracted.", "A checksum or other value can be used to ensure that a complete and valid watermark was obtained.", "The data extracted from the watermark can then be used to attempt to identify and/or diagnose the potential issue.", "Various other functions and advantages are described and suggested below as may be provided in accordance with the various embodiments.", "FIG.", "1 illustrates an example situation 100 in which a page of content 104 is displayed on a computing device 102.Although a portable computing device is illustrated, it should be understood that any appropriate device can be used to present content in accordance with the various embodiments, as may include notebook computers, personal data assistants, e-book readers, cellular phones, video gaming consoles or controllers, smart televisions, set top boxes, a wearable computer (e.g., a smart watch or glasses), and portable media players, among others.", "In this example, the content displayed includes image and text content, as may be rendered on the computing device 102 or by a remote rendering engine, among other such options.", "The content can be Web content displayed through a browser application, content rendered by an application from a content provider or third party application provider, among other types of content.", "In this example, the displayed content relates to furniture placed in a virtual room that enables a user to view collections of furniture in realistic environments.", "There might be any of a number of issues with such a display or presentation of content, however.", "In this example, the size of a lamp 112 displayed in the image is too large relative to the other content displayed.", "Accordingly, a customer experiencing the issue might generate a notification to be sent to a provider of the content and/or application in order to report the alleged problem.", "An entity receiving the notification may then want to be able to determine sufficient information to identify the type of problem or issue that was alleged to have been experienced on the device.", "The entity might also attempt to determine contextual information in order for the entity to be able to recreate the situation and/or problem.", "This can include information such as the content being displayed, the display settings, information about the device used to display the content, a time at which the content was displayed, a frame number if the problem occurred with a specific frame or set of frames, or other such information that may be known to one of ordinary skill in the art for debugging applications or content that would be apparent in light of the teachings and suggestions contained herein.", "Problems can arise, however, when the entity attempting to diagnose the problem is unable to obtain the appropriate information.", "For example, a rendering engine might have only limited capability with respect to the generating and/or outputting of metadata, state, or debugging data, among other such types of information.", "If a rendering engine is not able to fully utilize a component such as an embedded view component or sandboxed renderer process, for example, retrieving debugging data might require implementing an additional interface or performing security exceptions, which might not be available or may not be implemented as needed, such as where a software author using cloud-based rendering chooses not to implement any auxiliary interfaces.", "The choice to not implement such interfaces may be a valid choice due to the burden of implementing the interfaces as well as introducing security or other such issues.", "In some embodiments a debugging process might not be allowed to send debugging data during normal rendering due bandwidth or security constraints, among other such issues.", "It thus can be difficult for a developer to obtain debugging information such as program state information (i.e., the state of variables and calls made), timestamp information, subroutines executed, types or constants defined, frame information, processing data, sample data, failure occurrence record data, breakpoints met, and the like.", "The types and amount of information can further depend upon the complexity of the content or application, the type of issue experienced, and other such factors.", "Accordingly, approaches in accordance with various embodiments attempt to provide for the availability of debugging information by embedding the debugging information in the content (e.g., image, video, or audio content) presented using a computing device.", "In particular, the debugging information can be inserted into an image frame as a watermark that is barely perceptible to the average user.", "In this way, the debugging information can be available regardless of the type of application or device used to display the content, and can avoid various bandwidth, security, and other such concerns mentioned elsewhere herein.", "A user experiencing a problem can provide a copy of the image with the notification, such as by capturing a screenshot or selecting an option that automatically captures and sends a copy of the image to a remote debugging service or other such location.", "In other embodiments, a debugging tool can analyze the image on the client device in order to extract the debugging information and then provide the debugging information to the debugging service.", "Various other approaches can be used as well as discussed and suggested elsewhere herein.", "Various types of watermarks can be utilized in accordance with various embodiments.", "A digital watermark can be embedded in noise-tolerant content, such as an image, audio, or video content.", "Digital watermarks can be perceptible under certain conditions, such as under examination by a watermark extraction algorithm, but imperceptible under other conditions, such as upon viewing by a human user.", "A watermark can be added to an image in some embodiments by adjusting the values of certain pixels of the image by amounts determined by a particular encoding engine.", "The amount of adjusting should be such that the visible changes to the pixels are minimal, in order to reduce the impact and detectability by a user.", "Further, the encoding can be performed using pixel locations that are likely to be less perceptible to a user.", "In some embodiments, an encoding bit rate can be varied based on the underlying content and a rate of content change.", "For example, when scrolling down quickly, a higher bit rate can be used to encode more information into each frame, as human eyes are unable to focus and differentiate the “artificial noise” from faster moving/changing content.", "The visual complexity for choosing the amount of data to encode can be approximated by monitoring how much CPU time content rasterization requires and/or monitoring the kind of drawing instructions that were executed to generate a piece of content, such as a tile.", "Bitrate change can be gradual or “modulated” near tile boundaries, to avoid regular-shaped changes in noise patterns, which could be more easily seen by humans.", "As an example, FIG.", "1B illustrates a subset of pixels corresponding to a region 108 of the image in FIG.", "1A that is substantially represented by a single color value or pixel value.", "If the encoding were to be placed using pixels in such a region, as illustrated in the encoded region 120 of FIG.", "1C, the changes would be relatively noticeable to a user viewing the image content.", "A lower bit rate can be used to encode information in these areas, but it might be desirable in at least some embodiments to use portions of the image, where available, that allow for the use of higher bit rates.", "If the encoding were instead placed at locations such as the edges of letters or objects, which typically demonstrate some amount of blurring or antialiasing, the encoding may be less perceptible.", "For example, FIG.", "1D illustrates an example region 110 from the image of FIG.", "1A that includes a portion of a letter represented in the image.", "As illustrated, there are pixels of varying color around the edges of the letter.", "If the encoding is positioned in such locations, such as near the edges of the letter where some amount of color gradation is expected by the user, the user may not be able to perceive the difference.", "For example, FIG.", "1E illustrates one such encoded region 140 where the encoding affects pixels near the edges of the letter.", "While a side by side comparison would enable a user to discern the differences, a user just viewing FIG.", "1E by itself would generally not perceive that any encoding had taken place.", "While the encoding of the example of FIG.", "1C might be perceptible to a user due in part to its placement, the placement in FIG.", "1E can help to hide the encoding from a user.", "This is not necessarily to attempt to hide the presence of the debugging information from the user, but can instead enable the information to be provided without negatively impacting the user experience.", "For example, using the approach discussed with respect to FIG.", "1E a user might not perceive any degradation or change in image quality through the inclusion of such information.", "As mentioned, there can be various watermarking algorithms used to determine the encoding and placement of the debugging data in rendered image content.", "These can include, for example, quantization type watermarking algorithms, spread-spectrum watermarking algorithms, or amplitude modulation watermarking algorithms, among others.", "A watermarking process might utilize one or more different algorithms at different times, such as may depend at least in part upon a type of content, a type or amount of information to be embedded, a security level of the information, and the like.", "It at least some embodiments the watermark encoded into an image can include a checksum, or multiple checksums, as it can be difficult in at least some embodiments to determine the presence of a valid watermark, as well as to ensure that the entire watermark has been located.", "This is particularly true when the placement depends upon the location of objects represented in the content, and an image with a different portion, contrast, intensity, aspect ratio, resolution, or other such factor may result in an algorithm selecting different locations to place the encoding.", "For multiple checksums, one might be included for each record/chunk as opposed to the entire watermark, where one or more of the records with checksums can be used to verify all the data was decoded.", "Thus, if content is rendered with encoded information at determined locations using an algorithm, a screen shot from a user that includes additional or less information might result in that algorithm picking different places to look in the image.", "Thus, a checksum, hash, watermark length identifier, or other such data included in the watermark can be useful in ensuring that a valid and complete watermark has been identified.", "The data extracted can then be used to attempt to debug the problem, using any of a number of different debugging approaches as might be used for similar data obtained from conventional sources.", "FIG.", "2 illustrates an example environment 200 in which aspects of the various embodiments can be implemented.", "In this example, content is to be rendered on a client device 202.The content can originate from a content provider network 208, for example, as may be received over a least one network 206, such as the Internet, an intranet, a local area network, a cellular network, or another appropriate wired and/or wireless network.", "The content can be rendered using a rendering engine 204 on the client device and/or a rendering engine 214 in the resource provider environment 208, among other such options.", "In this example, at least some of the content can be pulled from a content repository 216 and rendered by a rendering engine 214 before being provided to the client device 202 by at least one content server 212.A request for the content can be received to a network interface layer 210, which can include one or more interfaces such as application programming interfaces (APIs) for receiving requests, as well as various other network components such as routers, load balancers, and the like.", "In at least some embodiments the content server 212 or another appropriate component can cause data to be written to at least one log 218, as may include information regarding the content served.", "The content can be delivered across the at least one network 206 to the client device 202.As mentioned, at least some rendering can be performed by a rendering engine 204 on the client device.", "For example, a rendering engine for a Web browser might take markup language content such as HTML and formatting information to render Web content to be displayed on the client device 202 that can be formatted to fit the display and the current zoom level, etc.", "Various other components such as layout or placement engines can be used as well within the scope of the various embodiments.", "These engines can render data once the data is received, or may begin rendering as the data is received, among other such options.", "Further, a rendering engine might render only that portion of the content that will be visible, or may render some additional amount that can reduce the likelihood of chunking or delayed rendering in response to a navigation or zoom action, among other such possibilities.", "As mentioned the client device can display the content, and if a user (or application or other source) detects a potential issue or problem with the content, software, or device, the user can cause the image information to be provided to the content provider network 208.As mentioned, this can be accomplished by a user capturing a screenshot of the content, taking a picture using a digital camera, or selecting an option in an executing application or console that causes image data to be captured, among other such options.", "In some embodiments the image can be processed on the client device 202, while in other embodiments the image can be provided to the content provider network 208, wherein the image can be directed to a debugging engine 220 or other such system or service.", "The debugging engine can have access (directly or indirectly) to a watermarking algorithm that can be used to analyze the image to attempt to locate and extract the watermark from the image.", "The content of the watermark can be analyzed to verify the accuracy, validity, and completeness of the watermark, such as by analyzing a checksum, hash, or length identifier of the watermark data and ensuring that the content of the watermark is as expected.", "If not, the image data can be re-analyzed using a different algorithm or different values for the same algorithm to attempt to determine the appropriate watermark.", "In some instances there might be multiple watermarks, with one being embedded in the original content or otherwise provided, which might prevent a single watermark from being accurately detected.", "In the event that a watermark cannot be properly identified, conventional approaches to locating debugging information can be used, among other such options.", "It should be mentioned that, as discussed elsewhere herein, a debugging engine 224 can also be included on the client device 202 in order to embed watermark data to content rendered and/or displayed on the client.", "In some embodiments a debugging engine 226 might be offered as a third party service and/or service outside the resource provider environment, whereby content from a provider can have debugging information added if the debugging service has access to the appropriate data to be included in the watermark.", "If the watermark can be verified, the data included in the watermark can be analyzed and used to attempt to determine the source of the reported issue.", "As mentioned, this can include attempting to recreate the situation using the information provided.", "The watermark data, as discussed elsewhere herein, can include various types of data useful for debugging, as may include timestamp data, state data, frame data, configuration data, identifiers for external logs (additional logged information), serialized data structures that cause or are related to a failure, and the like.", "This information can be compared, using a debugging engine 220 or other such component or service, to information stored in a log data store 218 or other such location, which might contain additional information for the image frame.", "The amount of data that can be included in a watermark is limited, at least in keeping the perceptibility of the watermark low, such that additional information for the rendering might also be stored to a log data store.", "By using identifier information (frame, timestamp, client, etc.)", "from the watermark, the information from the watermark can be correlated with information in the log for purposes of obtaining additional information for debugging.", "Similarly, the debugging engine can use the debugging information from the watermark to check for similar data in a debugging data store 222, or other such location, do determine whether similar issues previously arose for similar circumstances, which can help point to a solution if one was previously determined.", "Once the appropriate information is obtained, any appropriate debugging process can be used to attempt to identify and rectify any potential issues, as would be apparent to one of ordinary skill in the art in light of the teachings and suggestions contained herein.", "A rendering engine in some embodiments can employ an error-correction (ECC, parity bits), compression (such as Lempel-Ziv-Markov chain, arithmetic coding) and/or encryption scheme before watermarking, which can be separate from any such schemes in the watermarking algorithm itself.", "This can enable the use of multiple different available watermarking libraries and/or algorithms, and can help to secure any private user data or prevent malicious third parties from feeding incorrect information.", "An example rendering engine can provide a callback interface to the embedding application for adding additional debugging data, and another callback interface to the embedding application for notifying when it has added its changes to the output frame (i.e., finalized it), allowing a rendering engine to use application's output surface area.", "The latter callback can contain a frame buffer texture handle (or equivalent) as a parameter.", "When multiple layers of content are composited (i.e., flattened) into a final output image, a read-back phase may be used to retrieve the final frame texture and modify it directly.", "In some embodiments, a translucent layer containing the watermark data can be composited (i.e., merged) to the output frame.", "A watermarking algorithm might add actual noise to the image (not containing any data or containing dummy records) in some embodiments in order to make the output more pleasing to the human eye, such as when there's not enough data to cover the entire image area or tile.", "The same debugging data can also be repeated multiple times, as discussed elsewhere herein, to increase the chances of the data surviving in the event that some portion of the image is lost or otherwise not provided.", "In some embodiments there may be a queue of pending records, from which records can be encoded opportunistically to fill in any remaining unused watermark capacity after higher priority data has been encoded.", "In some embodiments a rendering engine may keep collecting information (up to a limit, discarding lowest importance data) and keep a list of data records to be encoded, but only do the encoding when a screenshot function is activated, encoding as many as possible of the pending records into one frame.", "When an application using a rendering engine encounters a problem, such as violating an internal check for correctness, the rendering engine may temporarily use an algorithm with a higher bit rate and/or higher complexity than for normal output frames, in order to encode more information or increase the probability of the encoded data surviving any future quality degradation, possibly causing skipping small number of output frames.", "FIG.", "3 illustrates an example process 300 for embedding debugging data in a watermark that can be utilized in accordance with various embodiments.", "It should be understood that there can be additional, fewer, or alternative steps performed in similar or alternative orders, or in parallel, within the scope of the various embodiments unless otherwise stated.", "In this example, content to be rendered for a frame can be obtained 302 from an appropriate source, such as from a content provider network or third party provider.", "In some embodiments, the content can include webpage content (e.g., HTML) to be rendered that may include content from other providers, among other such content.", "In addition, debugging data relevant to a frame (or set of frames) of content to be rendered can be determined.", "This can include, for example, state data, frame number data, a time stamp, a client or customer identifier, and other such information.", "Watermark data that includes the debugging data and some verification data can be generated 306 that is to be inserted into the frame after, or at the time, the frame is rendered.", "As mentioned, the verification data can include a checksum, hash, or other mechanism that can be used to determine a completeness and validity of the watermark data.", "The watermark data can also be encoded such that the data can be distributed across an image in such a way that the data can be extracted but is generally imperceptible to a user viewing the image.", "The frame of content can then be rendered 308 and the watermark included.", "The watermark can be included at the time of rendering, or can be added as a separate step after the initial rendering, among other such options.", "As mentioned, portions of the watermark can be positioned near the edges of objects represented in the image that would typically demonstrate some amount of anti-aliasing, blending, blurring, or smoothing, such that a slight variation in pixel value will not be unexpected or even noticeable to a user.", "The watermark in at least some embodiments is encoded into the bitmap written into the frame buffer for the content to be displayed.", "The image can then be caused to be displayed 310, or otherwise presented, with the embedded watermark.", "If the watermark is instead in audio data, for example, then the audio with the watermark can be provided to be played through one or more speakers, among other such options.", "The capturing or saving of at least a portion of the rendered image is then enabled 312 in the event of an issue or potential problem, whereby the image can be provided to a debugging engine, service, or provider in order to enable that entity to extract the debugging information from the image, which can help to diagnose and/or re-create the identified issue.", "FIG.", "4 illustrates an example process 400 for extracting debugging data from a watermark that can be utilized in accordance with various embodiments.", "In this example, an image (or image frame) is received 402 that correspond to a reported issue with the content, the rendering of the content, an application or device rendering the content, etc.", "As mentioned, this image can be captured by a user using a screenshot function or digital camera, or can be captured by a console or application executing on the client device, among other such options.", "The image can be processed 404 with a watermark extraction algorithm that can analyze some, or all, of the image to attempt to recognize the watermark.", "Since this process will often be performed offline, the algorithm(s) can analyze the entire image using multiple passes with different parameters as needed to identify the watermark.", "If a watermark cannot be located 406, a different approach can be attempted 408, such as to adjust one or more search parameters or utilize a different extraction algorithm.", "Other data sources also can be used if a watermark cannot be located, such as those that would be used to obtain debugging data using conventional approaches.", "Once located, the content of the watermark can be verified 410 using a checksum, hash, length value, or other parameter included in the watermark.", "The data from the watermark can also be checked to ensure that the data corresponds to a valid watermark, includes appropriate debugging data, etc.", "If the watermark is determined 412 to not be valid, another approach can be used as discussed above to attempt to locate a complete watermark or use other data sources, among other such options.", "If the watermark is determined to be complete and valid, the debugging data or other appropriate information can be extracted 414 from the watermark and utilized 416 to attempt to determine contextual information for the reported issue.", "As mentioned, this can include information such as a state of the device, a state of the content, a frame sequence number, a timestamp of the reported issue, performance data for the time of the issue, intentionally placed debugging data, a rendering time for the frame, a list of assumptions, a set of state flags, and other such information.", "In some embodiments, a debugging tool can process a series of images to attempt to determine commonalities among images, analyze trends, or generate statistics, among other such features.", "For example, statistics can be generated as to the frequency of types of watermarks, the frequency of invalid or incomplete watermarks detected, the percentage of images containing a certain flag or debugging data value, etc.", "An advantage to processing several images using a debugging tool or service is that incomplete information may be able to be obtained by utilizing information obtained from other images.", "For example, the display 500 of content in FIG.", "5 illustrates the display of FIG.", "1, except that here an embedded application or other source has caused other content to be displayed as an overlay 502 that obscures or occludes a portion of the displayed image.", "In such a situation, at least a portion of the watermark embedded in the image may not be able to be determined from an image or screenshot.", "If information from other images is available, which may also be sourced from content rendered for other clients, the information might be able to be completed or at least partially recovered in order to enable at least some of the debugging information to be determined for the corresponding situation.", "Another advantage to embedding the debugging information in the image data is that a third party developer or content author can diagnose problems even if that party may not otherwise be able to obtain access to the appropriate data.", "For example, a user posting a screenshot of the issue on a social networking site can be sufficient for an entity to analyze the screenshot and determine debugging information for the issue, without having to obtain the information from the user in some other way, such as through the submission of log files or the implementation of a listener interface to collect data, among other such options.", "Such an approach also enables the party to debug the problem even if the identity of the poster is unknown or access is otherwise problematic.", "Another advantage is that performance can be diagnosed and issues debugged using the data without the third party developer or author even being aware of the inclusion of the data in some embodiments, and where such modification is permitted and authorized.", "In some embodiments, a web crawler can be used to “scrape” known discussion forums or support web sites to automatically identify any images containing watermarks, and retrieve production debugging data without end-users having to provide the images to the original software author.", "Such an approach is also useful for developers of applications, or apps, that might be purchased through an application store provided by another provider.", "The app developer thus might have no direct relationship with the customer.", "The ability to embed debugging information in a watermark, however, enables the app developer to determine the debugging information as long as the appropriate watermarked portion is somehow available to the developer.", "In some embodiments multiple instances of a watermark might be placed in an image frame, enabling the discovery of debugging data even if only a portion of the frame is visible.", "In some embodiments, such as where there are multiple frames in a sequence, the watermark data might also be displayed over several frames such that a video clip would be necessary to obtain all the appropriate watermark data.", "The watermark data can also be split over audio, video, and image encoding in some embodiments.", "The watermark can include other information as well, such as state or content of a portion of a page that is not visible but currently rendered.", "If an example screen includes around two million pixels and each pixel in this example can accept 32 bits of information, then there are around 8 megabytes of data available in a single image frame.", "In such a frame, there can be tens of kilobytes of information added without becoming visible to the human eye using certain watermarking algorithms.", "In some instances certain types of images might affect the quality of the encoding.", "For example, an image that is saved to a JPEG format or compressed might lose some detail from the encoding that might make the watermark data unusable.", "In some embodiments there might be different levels of encoding, some of which being more likely to survive such a change.", "For example, a limited amount of high priority information might be encoded using a level or type of encoding that is more likely to survive a change, while lower priority information might be encoded using an approach that may be higher frequency and allow for a greater amount of information, but might be more likely to disappear in the event that the image is modified in some way.", "FIG.", "6 illustrates an example electronic user device 600 that can be used in accordance with various embodiments.", "Although a portable computing device (e.g., an electronic book reader or tablet computer) is shown, it should be understood that any electronic device capable of receiving, determining, and/or processing input can be used in accordance with various embodiments discussed herein, where the devices can include, for example, desktop computers, notebook computers, personal data assistants, smart phones, video gaming consoles, television set top boxes, and portable media players.", "In this example, the computing device 600 has a display screen 602 on the front side, which under normal operation will display information to a user facing the display screen (e.g., on the same side of the computing device as the display screen).", "The computing device in this example includes at least one camera 604 or other imaging element for capturing still or video image information over at least a field of view of the at least one camera.", "In some embodiments, the computing device might only contain one imaging element, and in other embodiments the computing device might contain several imaging elements.", "Each image capture element may be, for example, a camera, a charge-coupled device (CCD), a motion detection sensor, or an infrared sensor, among many other possibilities.", "If there are multiple image capture elements on the computing device, the image capture elements may be of different types.", "In some embodiments, at least one imaging element can include at least one wide-angle optical element, such as a fish eye lens, that enables the camera to capture images over a wide range of angles, such as 180 degrees or more.", "Further, each image capture element can comprise a digital still camera, configured to capture subsequent frames in rapid succession, or a video camera able to capture streaming video.", "The example computing device 600 also includes at least one microphone 606 or other audio capture device capable of capturing audio data, such as words or commands spoken by a user of the device.", "In this example, a microphone 606 is placed on the same side of the device as the display screen 602, such that the microphone will typically be better able to capture words spoken by a user of the device.", "In at least some embodiments, a microphone can be a directional microphone that captures sound information from substantially directly in front of the microphone, and picks up only a limited amount of sound from other directions.", "It should be understood that a microphone might be located on any appropriate surface of any region, face, or edge of the device in different embodiments, and that multiple microphones can be used for audio recording and filtering purposes, etc.", "The example computing device 600 also includes at least one orientation sensor 608, such as a position and/or movement-determining element.", "Such a sensor can include, for example, an accelerometer or gyroscope operable to detect an orientation and/or change in orientation of the computing device, as well as small movements of the device.", "An orientation sensor also can include an electronic or digital compass, which can indicate a direction (e.g., north or south) in which the device is determined to be pointing (e.g., with respect to a primary axis or other such aspect).", "An orientation sensor also can include or comprise a global positioning system (GPS) or similar positioning element operable to determine relative coordinates for a position of the computing device, as well as information about relatively large movements of the device.", "Various embodiments can include one or more such elements in any appropriate combination.", "As should be understood, the algorithms or mechanisms used for determining relative position, orientation, and/or movement can depend at least in part upon the selection of elements available to the device.", "FIG.", "7 illustrates a logical arrangement of a set of general components of an example computing device 700 such as the device 600 described with respect to FIG.", "6.In this example, the device includes a processor 702 for executing instructions that can be stored in a memory device or element 704.As would be apparent to one of ordinary skill in the art, the device can include many types of memory, data storage, or non-transitory computer-readable storage media, such as a first data storage for program instructions for execution by the processor 702, a separate storage for images or data, a removable memory for sharing information with other devices, etc.", "The device typically will include some type of display element 706, such as a touch screen or liquid crystal display (LCD), although devices such as portable media players might convey information via other means, such as through audio speakers.", "As discussed, the device in many embodiments will include at least one image capture element 708 such as a camera or infrared sensor that is able to image projected images or other objects in the vicinity of the device.", "Methods for capturing images or video using a camera element with a computing device are well known in the art and will not be discussed herein in detail.", "It should be understood that image capture can be performed using a single image, multiple images, periodic imaging, continuous image capturing, image streaming, etc.", "Further, a device can include the ability to start and/or stop image capture, such as when receiving a command from a user, application, or other device.", "The example device similarly includes at least one audio capture component, such as a mono or stereo microphone or microphone array, operable to capture audio information from at least one primary direction.", "A microphone can be a uni-or omni-directional microphone as known for such devices.", "In some embodiments, the computing device 700 of FIG.", "7 can include one or more communication elements (not shown), such as a Wi-Fi, Bluetooth, RF, wired, or wireless communication system.", "The device in many embodiments can communicate with a network, such as the Internet, and may be able to communicate with other such devices.", "In some embodiments the device can include at least one additional input component 712 able to receive conventional input from a user.", "This conventional input can include, for example, a push button, touch pad, touch screen, wheel, joystick, keyboard, mouse, keypad, or any other such device or element whereby a user can input a command to the device.", "In some embodiments, however, such a device might not include any buttons at all, and might be controlled only through a combination of visual and audio commands, such that a user can control the device without having to be in contact with the device.", "The device 700 also can include at least one orientation or motion sensor or component 710.As discussed, such a sensor can include an accelerometer or gyroscope operable to detect an orientation and/or change in orientation, or an electronic or digital compass, which can indicate a direction in which the device is determined to be facing.", "The mechanism(s) also (or alternatively) can include or comprise a global positioning system (GPS) or similar positioning element operable to determine relative coordinates for a position of the computing device, as well as information about relatively large movements of the device.", "The device can include other elements as well, such as may enable location determinations through triangulation or another such approach.", "These mechanisms can communicate with the processor 702, whereby the device can perform any of a number of actions described or suggested herein.", "As an example, a computing device such as that described with respect to FIG.", "6 can capture and/or track various information for a user over time.", "This information can include any appropriate information, such as location, actions (e.g., sending a message or creating a document), user behavior (e.g., how often a user performs a task, the amount of time a user spends on a task, the ways in which a user navigates through an interface, etc.", "), user preferences (e.g., how a user likes to receive information), open applications, submitted requests, received calls, and the like.", "As discussed above, the information can be stored in such a way that the information is linked or otherwise associated whereby a user can access the information using any appropriate dimension or group of dimensions.", "As discussed, different approaches can be implemented in various environments in accordance with the described embodiments.", "For example, FIG.", "8 illustrates an example of an environment 800 for implementing aspects in accordance with various embodiments.", "As will be appreciated, although a Web-based environment is used for purposes of explanation, different environments may be used, as appropriate, to implement various embodiments.", "The system includes an electronic client device 802, which can include any appropriate device operable to send and receive requests, messages or information over an appropriate network 804 and convey information back to a user of the device.", "Examples of such client devices include personal computers, cell phones, handheld messaging devices, laptop computers, set-top boxes, personal data assistants, electronic book readers and the like.", "The network can include any appropriate network, including an intranet, the Internet, a cellular network, a local area network or any other such network or combination thereof.", "Components used for such a system can depend at least in part upon the type of network and/or environment selected.", "Protocols and components for communicating via such a network are well known and will not be discussed herein in detail.", "Communication over the network can be enabled via wired or wireless connections and combinations thereof.", "In this example, the network includes the Internet, as the environment includes a Web server 806 for receiving requests and serving content in response thereto, although for other networks an alternative device serving a similar purpose could be used, as would be apparent to one of ordinary skill in the art.", "The illustrative environment includes at least one application server 808 and a data store 810.It should be understood that there can be several application servers, layers or other elements, processes or components, which may be chained or otherwise configured, which can interact to perform tasks such as obtaining data from an appropriate data store.", "As used herein the term “data store” refers to any device or combination of devices capable of storing, accessing and retrieving data, which may include any combination and number of data servers, databases, data storage devices and data storage media, in any standard, distributed or clustered environment.", "The application server can include any appropriate hardware and software for integrating with the data store as needed to execute aspects of one or more applications for the client device and handling a majority of the data access and business logic for an application.", "The application server provides access control services in cooperation with the data store and is able to generate content such as text, graphics, audio and/or video to be transferred to the user, which may be served to the user by the Web server in the form of HTML, XML or another appropriate structured language in this example.", "The handling of all requests and responses, as well as the delivery of content between the client device 802 and the application server 808, can be handled by the Web server 806.It should be understood that the Web and application servers are not required and are merely example components, as structured code discussed herein can be executed on any appropriate device or host machine as discussed elsewhere herein.", "The data store 810 can include several separate data tables, databases or other data storage mechanisms and media for storing data relating to a particular aspect.", "For example, the data store illustrated includes mechanisms for storing production data 812 and user information 816, which can be used to serve content for the production side.", "The data store also is shown to include a mechanism for storing log or session data 814.It should be understood that there can be many other aspects that may need to be stored in the data store, such as page image information and access rights information, which can be stored in any of the above listed mechanisms as appropriate or in additional mechanisms in the data store 810.The data store 810 is operable, through logic associated therewith, to receive instructions from the application server 808 and obtain, update or otherwise process data in response thereto.", "In one example, a user might submit a search request for a certain type of element.", "In this case, the data store might access the user information to verify the identity of the user and can access the catalog detail information to obtain information about elements of that type.", "The information can then be returned to the user, such as in a results listing on a Web page that the user is able to view via a browser on the user device 802.Information for a particular element of interest can be viewed in a dedicated page or window of the browser.", "Each server typically will include an operating system that provides executable program instructions for the general administration and operation of that server and typically will include computer-readable medium storing instructions that, when executed by a processor of the server, allow the server to perform its intended functions.", "Suitable implementations for the operating system and general functionality of the servers are known or commercially available and are readily implemented by persons having ordinary skill in the art, particularly in light of the disclosure herein.", "The environment in one embodiment is a distributed computing environment utilizing several computer systems and components that are interconnected via communication links, using one or more computer networks or direct connections.", "However, it will be appreciated by those of ordinary skill in the art that such a system could operate equally well in a system having fewer or a greater number of components than are illustrated in FIG.", "8.Thus, the depiction of the system 800 in FIG.", "8 should be taken as being illustrative in nature and not limiting to the scope of the disclosure.", "As discussed above, the various embodiments can be implemented in a wide variety of operating environments, which in some cases can include one or more user computers, computing devices, or processing devices which can be used to operate any of a number of applications.", "User or client devices can include any of a number of general purpose personal computers, such as desktop or laptop computers running a standard operating system, as well as cellular, wireless, and handheld devices running mobile software and capable of supporting a number of networking and messaging protocols.", "Such a system also can include a number of workstations running any of a variety of commercially-available operating systems and other known applications for purposes such as development and database management.", "These devices also can include other electronic devices, such as dummy terminals, thin-clients, gaming systems, and other devices capable of communicating via a network.", "Various aspects also can be implemented as part of at least one service or Web service, such as may be part of a service-oriented architecture.", "Services such as Web services can communicate using any appropriate type of messaging, such as by using messages in extensible markup language (XML) format and exchanged using an appropriate protocol such as SOAP (derived from the “Simple Object Access Protocol”).", "Processes provided or executed by such services can be written in any appropriate language, such as the Web Services Description Language (WSDL).", "Using a language such as WSDL allows for functionality such as the automated generation of client-side code in various SOAP frameworks.", "Most embodiments utilize at least one network that would be familiar to those skilled in the art for supporting communications using any of a variety of commercially-available protocols, such as TCP/IP, FTP, UPnP, NFS, and CIFS.", "The network can be, for example, a local area network, a wide-area network, a virtual private network, the Internet, an intranet, an extranet, a public switched telephone network, an infrared network, a wireless network, and any combination thereof.", "In embodiments utilizing a Web server, the Web server can run any of a variety of server or mid-tier applications, including HTTP servers, FTP servers, CGI servers, data servers, Java servers, and business application servers.", "The server(s) also may be capable of executing programs or scripts in response requests from user devices, such as by executing one or more Web applications that may be implemented as one or more scripts or programs written in any programming language, such as Java®, C, C# or C++, or any scripting language, such as Perl, Python, or TCL, as well as combinations thereof.", "The server(s) may also include database servers, including without limitation those commercially available from Oracle®, Microsoft®, Sybase®, and IBM®.", "The environment can include a variety of data stores and other memory and storage media as discussed above.", "These can reside in a variety of locations, such as on a storage medium local to (and/or resident in) one or more of the computers or remote from any or all of the computers across the network.", "In a particular set of embodiments, the information may reside in a storage-area network (“SAN”) familiar to those skilled in the art Similarly, any necessary files for performing the functions attributed to the computers, servers, or other network devices may be stored locally and/or remotely, as appropriate.", "Where a system includes computerized devices, each such device can include hardware elements that may be electrically coupled via a bus, the elements including, for example, at least one central processing unit (CPU), at least one input device (e.g., a mouse, keyboard, controller, touch screen, or keypad), and at least one output device (e.g., a display device, printer, or speaker).", "Such a system may also include one or more storage devices, such as disk drives, optical storage devices, and solid-state storage devices such as random access memory (“RAM”) or read-only memory (“ROM”), as well as removable media devices, memory cards, flash cards, etc.", "Such devices also can include a computer-readable storage media reader, a communications device (e.g., a modem, a network card (wireless or wired), an infrared communication device, etc.", "), and working memory as described above.", "The computer-readable storage media reader can be connected with, or configured to receive, a computer-readable storage medium, representing remote, local, fixed, and/or removable storage devices as well as storage media for temporarily and/or more permanently containing, storing, transmitting, and retrieving computer-readable information.", "The system and various devices also typically will include a number of software applications, modules, services, or other elements located within at least one working memory device, including an operating system and application programs, such as a client application or Web browser.", "It should be appreciated that alternate embodiments may have numerous variations from that described above.", "For example, customized hardware might also be used and/or particular elements might be implemented in hardware, software (including portable software, such as applets), or both.", "Further, connection to other computing devices such as network input/output devices may be employed.", "Storage media and computer-readable media for containing code, or portions of code, can include any appropriate media known or used in the art, including non-transitory computer-readable storage media, such as but not limited to volatile and non-volatile, removable and non-removable media implemented in any method or technology for storage of information such as computer readable instructions, data structures, program modules, or other data, including RAM, ROM, EEPROM, flash memory or other memory technology, CD-ROM, digital versatile disk (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other medium which can be used to store the desired information and which can be accessed by the a system device.", "Based on the disclosure and teachings provided herein, a person of ordinary skill in the art will appreciate other ways and/or methods to implement the various embodiments.", "The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.", "It will, however, be evident that various modifications and changes may be made thereunto without departing from the broader spirit and scope of the invention as set forth in the claims." ] ]
Patent_15875754
[ [ "FIBER TERMINATION ENCLOSURE WITH MODULAR PLATE ASSEMBLIES", "Certain types of fiber termination enclosures include an enclosure and at least one of a plurality of plate module mounting assemblies.", "Example plate module mounting assemblies include a termination panel plate assembly; a splice tray plate assembly; a cable spool plate assembly; and a drop-in plate assembly.", "Example cable spool plate assemblies include a cable spool arrangement rotationally coupled to a mounting plate, which fixedly mounts within the enclosure housing.", "A stand-off mount element may be disposed on the front of the cable spool arrangement to rotate in unison with the cable spool arrangement.", "The stand-off mount element may include one or more termination adapters." ], [ "1.An enclosure system comprising: a housing having opposite sidewalls extending between a top and a bottom and between a rear wall and a front to define an interior, the front of the housing defining a front opening providing access to the interior, the bottom of the housing defining an aperture; a plurality of subassemblies configured to be selectively and interchangeably mounted to the rear wall within the housing interior through the front opening, the plurality of subassemblies including: a first subassembly including a rotatable spool that rotates relative to the housing when mounted therein to allow a cable to be paid off from the spool, the first subassembly further including a mounting plate that carries the rotatable spool, the mounting plate mounting to the rear wall of the housing, the first subassembly also including a fiber optic connector terminating one end of the cable, the fiber optic connector rotating with the spool when the cable is paid off; and a second subassembly including cable splice mounts and a row of fiber optic adapters; and a cable port module disposed at the aperture in the bottom of the housing, the cable port module including a plate defining a cable port extending therethrough, the plate covering the aperture when mounted to the bottom of the housing.", "2.The enclosure system of claim 1, wherein the cable port module includes a plurality of cable ports extending through the plate.", "3.The enclosure system of claim 1, wherein the bottom of the housing defines a plurality of apertures; and wherein the cable port module is one of a plurality of cable port modules, each cable port module including a respective plate sized to cover one of the apertures, each of the plates defining at least one cable port extending through the respective plate.", "4.The enclosure system of claim 1, wherein the cable port module is one type of a plurality of cable port module types that can be selected to interchangeably mount at the bottom of the housing to cover the aperture, each of the cable port module types having a different configuration of cable ports from others of the cable port module types.", "5.The enclosure system of claim 1, wherein the fiber optic connector is a first fiber optic connector; wherein a second fiber optic connector terminates an opposite end of the cable from the first fiber optic connector; and wherein the second fiber optic connector is external of the housing.", "6.The enclosure system of claim 1, wherein the first subassembly includes a second row of fiber optic adapters.", "7.The enclosure system of claim 6, wherein each of the fiber optic adapters on the first subassembly defines first and second aligned ports, wherein insertion axes of the first and second ports extend perpendicular to a rotation axis of the spool.", "8.The enclosure system of claim 6, wherein the adapters on the first subassembly are carried by the spool so that the adapters rotate with the spool relative to the housing when the cable is paid off.", "9.The enclosure system of claim 1, wherein the fiber optic connector is fixed in a position relative to the spool so that the connector does not move relative to the spool when cable is paid off the spool.", "10.The enclosure system of claim 1, wherein the spool extends across a majority of a width of the mounting plate.", "11.The enclosure system of claim 1, wherein the spool of the first subassembly includes a manual lock.", "12.The enclosure system of claim 1, wherein the cable is a multi-fiber cable; and wherein a fanout is carried by the spool so that the fanout rotates with the spool relative to the housing when the cable is paid off, the fanout separating out optical fibers of the multi-fiber cable.", "13.The enclosure system of claim 1, wherein the spool includes a flange and a drum, the flange being spaced from the mounting plate by the drum.", "14.The enclosure system of claim 13, wherein the flange defines an aperture through which a fastener can be inserted to rotationally fix the spool relative to the housing.", "15.The enclosure system of claim 1, wherein the first subassembly includes a fiber management arrangement including a radius limiter.", "16.The enclosure system of claim 15, wherein the fiber management arrangement includes a plurality of radius limiters.", "17.The enclosure system of claim 15, wherein the fiber management arrangement includes a management plate at which the radius limiter is disposed, the management plate being mounted to the spool.", "18.An enclosure system comprising: a housing defining an interior and a front opening providing access to the interior, the housing including a back wall partially defining the interior, the back wall having a front surface accessible through the front opening; a fiber deployment module loaded into the housing through the front opening and disposed within the housing interior, the fiber deployment module including a mounting plate that mounts to the front surface of the back wall of the housing, the fiber deployment module including a spool that rotates relative to the mounting plate, the spool extending across a majority of a width of the mounting plate, the mounting plate having at least a portion that extends beyond a perimeter of the spool, the spool including a drum extending outwardly from the mounting plate and a flange disposed at an opposite side of the drum from the mounting plate, the flange having a front axial face; and a multi-fiber cable coiled around the drum of the spool between the mounting plate and the flange, the multi-fiber cable being paid off the drum when the spool is rotated relative to the housing, the multi-fiber cable extending between a first end and a second end, the first end being carried with and rotating in unison with the spool when the cable is paid out by pulling the second end from the housing, the first end of the multi-fiber cable including a fan-out at which fibers of the multi-fiber cable are separated out into a plurality of pigtails, each of the plurality of pigtails having an end connectorized by a fiber optic connector that rotates in unison with the spool when the multi-fiber cable is paid out.", "19.The enclosure system of claim 18, wherein the fiber deployment module further includes a plurality of fiber optic adapters having ports in which fiber optic connectors at the ends of the pigtails are loaded, and wherein the fiber optic adapters are disposed in a row.", "20.The enclosure system of claim 19, wherein the plurality of fiber optic adapters are rotatable with the spool when the cable is paid out.", "21.The enclosure system of claim 18, wherein the fiber deployment module includes a plate that carries a plurality of fiber optic adapters, the fiber deployment module also including a plurality of radius limiters, wherein each of the plurality of fiber optic adapters defines first and second aligned ports, wherein insertion axes of the first and second aligned ports extend perpendicular to a rotation axis of the spool.", "22.The enclosure system of claim 18, wherein excess length of the pigtails is stored in a coil that is not wound around the drum, the coil being accessible from the front axial face of the flange.", "23.The enclosure system of claim 22, wherein the coil extends around an axis of rotation of the spool." ], [ "<SOH> BACKGROUND <EOH>As demand for the telecommunication services increases, fiber optic networks are being extended in more and more areas (e.g., multiple dwelling units, apartments, condominiums, businesses, distributed antenna systems, cell towers, rural areas, single family residences).", "This growth has been particularly notable in the area of wireless communications, e.g., cellular, personal communication services (PCS) and other mobile radio systems.", "To efficiently distribute fiber optic services to these various different subscribers, system design flexibility is significant.", "System design flexibility can include the ability to efficiently provide different varying fiber optic cable lengths and the ability to efficiently provide fiber optic enclosures having interior components customized to meet a given customer's needs." ], [ "<SOH> SUMMARY <EOH>An aspect of the present disclosure relates to a fiber optic enclosure assembly.", "The fiber optic enclosure includes an enclosure housing that is adapted to optically connect incoming fibers to outgoing fibers.", "One or more modular plate assemblies may be mounted within an interior of the enclosure to customize the fiber optic enclosure.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include termination adapter arrangements.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include splice trays arrangements.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include cable spool arrangements.", "In accordance with some aspects of the disclosure, modular cable port arrangements may be disposed at the enclosure housing.", "In some implementations, various types of modular cable port arrangements can be selectively mounted at the enclosure housing.", "In accordance with certain aspects of the disclosure, a cable spool arrangement is connected to an interior of the enclosure to rotate relative to the enclosure.", "One or more fiber cables may be paid out from the enclosure by pulling one end of the fiber cable through a cable port to unwind the fiber cable from the cable spool arrangement.", "In certain implementations, one or more adapters may be disposed on the cable spool arrangement to rotate in unison with the cable spool arrangement.", "In certain implementations, the termination adapters are disposed on a stand-off mount element that is spaced from the cable spool arrangement, but configured to rotate in unison with the cable spool arrangement.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. application Ser.", "No.", "15/615,999, filed Jun.", "7, 2017, which is a continuation of U.S. application Ser.", "No.", "15/243,143, filed Aug. 22, 2016, now U.S. Pat.", "No.", "9,678,293, which is a continuation of U.S. application Ser.", "No.", "14/979,803, filed Dec. 28, 2015, now U.S. Pat.", "No.", "9,423,584, which is a continuation of U.S. application Ser.", "No.14/127,851, filed Feb. 12, 2014, now U.S. Pat.", "No.", "9,223,106, which is a National Stage of PCT/US2012/043827, filed Jun.", "22, 2012, which claims the benefit of U.S.", "Application Nos.", "61/500,769, filed Jun.", "24, 2011, and titled “Fiber Termination Enclosure with Internal Cable Spool Assembly;” 61/500,764, filed Jun.", "24, 2011, and titled “Fiber Termination Enclosure with Modular Plate Assemblies;” 61/507,263, filed Jul.", "13, 2011, and titled “Fiber Termination Enclosure with Internal Cable Spool Assembly,” and 61/507,270, filed Jul.", "13, 2011, and titled “Fiber Termination Enclosure with Modular Plate Assemblies;” the disclosures of which are hereby incorporated herein by reference.", "TECHNICAL FIELD The present disclosure relates to fiber optic enclosure, and more particularly, to a fiber optic enclosure with cable payout.", "BACKGROUND As demand for the telecommunication services increases, fiber optic networks are being extended in more and more areas (e.g., multiple dwelling units, apartments, condominiums, businesses, distributed antenna systems, cell towers, rural areas, single family residences).", "This growth has been particularly notable in the area of wireless communications, e.g., cellular, personal communication services (PCS) and other mobile radio systems.", "To efficiently distribute fiber optic services to these various different subscribers, system design flexibility is significant.", "System design flexibility can include the ability to efficiently provide different varying fiber optic cable lengths and the ability to efficiently provide fiber optic enclosures having interior components customized to meet a given customer's needs.", "SUMMARY An aspect of the present disclosure relates to a fiber optic enclosure assembly.", "The fiber optic enclosure includes an enclosure housing that is adapted to optically connect incoming fibers to outgoing fibers.", "One or more modular plate assemblies may be mounted within an interior of the enclosure to customize the fiber optic enclosure.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include termination adapter arrangements.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include splice trays arrangements.", "In accordance with some aspects of the disclosure, certain types of modular plate assemblies include cable spool arrangements.", "In accordance with some aspects of the disclosure, modular cable port arrangements may be disposed at the enclosure housing.", "In some implementations, various types of modular cable port arrangements can be selectively mounted at the enclosure housing.", "In accordance with certain aspects of the disclosure, a cable spool arrangement is connected to an interior of the enclosure to rotate relative to the enclosure.", "One or more fiber cables may be paid out from the enclosure by pulling one end of the fiber cable through a cable port to unwind the fiber cable from the cable spool arrangement.", "In certain implementations, one or more adapters may be disposed on the cable spool arrangement to rotate in unison with the cable spool arrangement.", "In certain implementations, the termination adapters are disposed on a stand-off mount element that is spaced from the cable spool arrangement, but configured to rotate in unison with the cable spool arrangement.", "A variety of additional aspects will be set forth in the description that follows.", "These aspects can relate to individual features and to combinations of features.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the broad concepts upon which the embodiments disclosed herein are based.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a schematic diagram of a fiber termination enclosure having an example mounting assembly disposed therein in accordance with the principles of the present disclosure; FIG.", "2 is a front, top perspective view of an example fiber termination enclosure including an enclosure configured in accordance with the principles of the present disclosure and shown with a door in a closed position; FIG.", "3 is a front, bottom perspective view of the example fiber termination enclosure of FIG.", "2 in which two cable port modules are visible; FIG.", "4 is a front, top perspective view of the example fiber termination enclosure of FIG.", "2 shown with the door in the open position and a cable spool mounting assembly exploded from the interior of the enclosure; FIG.", "5 is a schematic diagram of the fiber termination enclosure of FIG.", "4 configured in accordance with the principles of the present disclosure; FIG.", "6 is a front, top perspective view of the example fiber termination enclosure of FIG.", "4 in which with the cable spool mounting assembly is exploded to show various example components of the cable spool mounting assembly including a mounting plate, a cable spool arrangement, and a stand-off mount element; FIG.", "7 is a front, top perspective view of the example fiber termination enclosure of FIG.", "6 shown with the cable spool mounting assembly installed within the interior of the enclosure housing; FIG.", "8 is a front, top perspective view of the example fiber termination enclosure of FIG.", "2 shown with an example splice tray reel mounting assembly installed within the interior of the enclosure housing; FIG.", "9 is a front, top perspective view of the example fiber termination enclosure of FIG.", "2 shown with an example termination panel mounting assembly installed within the interior of the enclosure housing; FIG.", "10 is a front, top perspective view of the example fiber termination enclosure of FIG.", "9 shown with an example cover disposed over the cable spool mounting assembly; FIG.", "11 is a front, top perspective view of the example fiber termination enclosure of FIG.", "2 shown with the door in the open position, an example sliding adapter mounting assembly disposed within the interior of the enclosure, and an example drop-in plate mounting assembly exploded from the interior of the enclosure; FIG.", "12 is a front, top perspective view of the example fiber termination enclosure of FIG.", "11 shown with the example drop-in plate mounting assembly disposed within the interior of the enclosure and partially cabled; FIG.", "13 is a front, top perspective view of the example fiber termination enclosure of FIG.", "12 shown with cabling extending between the example drop-in plate mounting assembly and the example sliding adapter mounting assembly; FIG.", "14 is a front, top perspective view of the example fiber termination enclosure of FIG.", "2 shown with an example splice tray mounting assembly and an example sliding adapter mounting assembly disposed within the interior of the enclosure housing; FIG.", "15 is a front, top perspective view of the example fiber termination enclosure of FIG.", "14 shown with an example cover disposed over the splice tray mounting assembly; and FIG.", "16 is a schematic representation of a telecommunications network having exemplary features of aspects in accordance with the principles of the present disclosure.", "DETAILED DESCRIPTION Reference will now be made in detail to the exemplary aspects of the present disclosure that are illustrated in the accompanying drawings.", "Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like structure.", "FIG.", "1 is a schematic diagram of an example fiber optic enclosure 100.The fiber optic enclosure 100 includes a housing, generally designated 110, at which telecommunications cables (e.g., optical and/or electrical cables) can be optically coupled and/or stored.", "One or more modular plate assemblies 120 may be mounted within the interior of the enclosure housing 110.Each modular plate assembly 120 includes a mounting plate 121 that is configured to mount to the enclosure housing 110 in a stationary configuration.", "Each modular plate assembly 120 includes a coupling arrangement 170 at which one or more optical fibers 182 of at least a first fiber cable 180 are optically coupled to optical fibers 192 of at least a second fiber cable 190.The fiber cables 180, 190 enter the enclosure housing 110 through cable ports 101.In use, the enclosure housing 110 is deployed by securing the enclosure housing 110 to a mounting location (e.g., a wall, a pole, etc.).", "In some implementations, the enclosure housing 110 has brackets disposed on the top and bottom walls 111, 112.In other implementations, the enclosure housing 110 may have brackets disposed on other walls to secure the enclosure housing 110 to the mounting location.", "In still other implementations, the enclosure housing 110 is adapted to be otherwise secured to a mounting location.", "FIGS.", "2-4 illustrate one example enclosure housing 110 having a top wall 111, a bottom wall 112 (FIG.", "3), a first side wall 113, a second side wall 114 (FIG.", "4), and a rear wall 115 (FIG.", "4) defining an interior.", "The enclosure housing 110 also defines an open front 116 that provides access to the interior of the enclosure housing 110 (see FIG.", "4).", "At least one cover 118 is coupled to the enclosure housing 110 to selectively close the open front 116 of the enclosure housing 110.The cover 118 is pivotally coupled to the enclosure housing 110 using one or more hinges 103 disposed on one of the side walls 113, 114 (see FIG.", "4).", "The hinge 103 allows the cover 118 to selectively pivot between a closed position (shown in FIG.", "2) and an open position (shown in FIG.", "4).", "The cover 118 can be held closed using locking flanges 117, 119 (FIG.", "4).", "In one implementation, the enclosure housing 110 is molded from a plastic material.", "In one implementation, the enclosure housing 110 is molded from a plastic material.", "In another implementation, the enclosure housing 110 is molded from a metal material.", "In some implementations, the enclosure housing 110 defines one or more cable ports 101 (FIG.", "1) at which cables 180, 190 may enter and exit the interior of the enclosure housing 110.In certain implementations, the cable ports 101 are disposed at the bottom wall 112 of the enclosure housing 110.In other implementations, however, the cable ports 101 may be disposed elsewhere on the enclosure housing 110, such as at the top wall 111, the rear wall 115, or one of the side walls 113, 114.In some implementations, the enclosure housing 110 is configured to receive one or more cable port modules 150 at the cable ports 101 (e.g., see FIGS.", "3 and 4).", "For example, the cable ports 101 may define openings 155 (FIG.", "4) in one or more walls 111-115 of the enclosure housing 110 at which the cable port modules 150 may be received.", "Each cable port module 150 receives one or more cables 180, 190.In some implementations, a first cable port module 150 may receive one or more service cables and a second cable port module 150 may receive one or more subscriber cables.", "In other implementations, the same cable port module 150 may receive both service cables and subscriber cables.", "In still other implementations, the enclosure housing 110 may receive an even greater number of cable port modules 150, each of which may receive service cables and/or subscriber cables.", "In certain implementations, a variety of cable port modules 150 may be configured to fit at the same opening 155, thereby enabling a user to select which of the cable port modules 150 to mount at the opening 155.In certain implementations, the cable port modules 150 may be removably mounted to the enclosure housing at the openings 155, thereby enabling a user to switch which cable port modules 150 are mounted at any particular enclosure housing 110.Two example implementations of cable port modules 150, 150′ are shown in FIGS.", "3 and 4.Each of the cable port modules 150, 150′ includes a port panel 151 configured to be mounted at an opening 155 defined in an enclosure housing 110.For example, the port panel 151 may define one or more openings 152 through which fasteners (e.g., screws, bolts, etc.)", "may extend to secure the port panel 151 to one of the walls 111-115 of the enclosure housing 110.One or more grommets extend through the port panel 151.Each grommet enables one or more cables 180, 190 or fibers to enter the enclosure housing 110 while inhibiting the ingress of environmental contaminants, such as water, dirt, and rodents.", "A first example cable port module 150 includes a first type of grommet 153 and a second type of grommet 154.The second type of grommet 154 is larger than the first type of grommet 153.In the example shown, a cable 180 to be dispensed extends through the smaller grommet 153.A second example cable port module 150′ includes only the second type of grommet 154 extending through the port panel 151.Other implementations may include still other types of cable port modules, each having its own configuration of grommets.", "Referring to FIGS.", "4-15, the mounting plates 121 of the modular plate assemblies 120 are adapted and configured to be mounted to the rear wall 115 of the enclosure housing 110.In some implementations, the rear wall 115 defines one or more openings through which fasteners may extend to secure a mounting plate 121 to the rear wall 115.In other implementations, the rear wall 115 may include one or more pegs over which the mounting plate 121 may be pressed.", "In other implementations, one or more panel fastening structures can be attached, secured, or mounted to the rear wall 115.In still other implementations, the rear wall 115 may include one or more pems 104 that are pressed into the rear wall 115 (see FIG.", "4).", "The pems 104 are sized and configured to be inserted through openings 122 defined in the mounting plate 121.The pems 104 define threaded passages that are configured to receive fasteners that secure the mounting plate 121 to the rear wall 115, or can be exterior threaded shanks.", "In accordance with some aspects, the mounting plates 121 of the modular plate assemblies 120 extend over a majority of the area of the rear wall 115.In some implementations, a mounting plate 121 has a rectangular shape (e.g., see FIG.", "4).", "In other implementations, a mounting plate 121 may define one or more cutouts 129 or otherwise have a non-rectangular shape (e.g., see FIGS.", "9-12).", "In accordance with other aspects, the mounting plates 121 of the modular plate assemblies 120 may extend over only a portion of the area of the rear wall 115.For example, some implementations, of the mounting plates 121 may be configured to extend over about half of the area of the rear wall 115 (e.g., see FIGS.", "11-14).", "FIGS.", "4-7 illustrate a first implementation of a modular plate assembly 120 that includes a cable spool arrangement 130 (FIG.", "6) that is rotationally mounted to the modular plate assembly 120.As shown in FIG.", "5, the cable spool arrangement 130 includes a first storage area 102, a second storage area 104, and a termination region 108.In some implementations, the termination region 108 is spaced from the second storage area 104, which is spaced from the first storage area 102.In certain implementations, the termination region 108 is spaced forwardly of the second storage region 104, which is spaced forwardly of the first storage region 102 (e.g., see FIG.", "4).", "In certain implementations, the second storage area 104 forms part of a protected fiber management region 106 at which optical fibers can be separated out from optical cables.", "At least a first fiber cable (e.g., distribution cable) 180 and at least a second cable (e.g., subscriber cable) 190 enter the housing 110 through cable ports 101.Fibers 192 of the second fiber cable 190 are routed to the termination region 108.The first fiber cable 180 is routed to the first storage area 102 of the cable spool arrangement 130.From the first storage area 102, the first fiber cable 180 is routed to the second storage area 104.The first fiber cable 180 is broken out into individual optical fibers 182 at the protected fiber management region 106.The fibers 182 are routed to the termination region 108 at which the optical fibers 184 are connected to optical fibers 192 of the second fiber cable 190.The fiber optic enclosure 100 provides an enclosure from which lengths of a cable (e.g., a distribution cable) 180 can be dispensed following the mounting of the fiber optic enclosure 100 to a mounting location.", "The distribution cable 180 is dispensed from the fiber optic enclosure 100 by pulling on an end (e.g., a connectorized end) 185 of the cable 180 (see FIGS.", "4 and 7).", "As the distribution cable 180 is dispensed, the cable spool arrangement 130 rotates about an axis relative to the stationary mounting plate 121 of the fiber optic enclosure 100.In the event that there is a residual length of distribution cable 180 that is not dispensed during the cable payout, the fiber optic enclosure 100 can store this residual length.", "As shown in FIG.", "6, the cable spool mounting assembly 120 includes a mounting plate 121 and a cable spool arrangement 130.The mounting plate 121 includes a first side 124 and an opposite second side 123.The mounting plate 121 is adapted for stationary mounting to the rear wall 115 of the housing enclosure.", "In certain implementations, the second side 123 of the mounting plate 121 is configured to be mounted to an interior surface of the rear wall 115 so that the mounting plate 121 is disposed within the interior of the housing enclosure 110.For example, in certain implementations, the mounting plate 121 defines one or more fastener openings 122 that are disposed to align with fastener openings provided on the rear wall 115 of the housing enclosure 110.Certain types of mounting plates 121 extend along substantially the entire rear wall 115 of the enclosure housing 110.The cable spool arrangement 130 includes a drum 131 extending between first and second support flanges 132, 133 to form a first cable spool 134.The first cable spool 134 defines the first storage region 102 (FIG.", "5).", "In some implementations, the cable spool arrangement 130 also includes a termination region 108 (FIG.", "5).", "In certain implementations, a protected fiber management region 106 (FIG.", "5) is defined between the first storage region 102 and the termination region 108.For example, in certain implementations, in FIG.", "6, the cable spool arrangement 130 includes a stand-off mounting assembly 140, at which the termination region 108 (FIG.", "5) is disposed as will be described in more detail herein.", "The protected fiber management region 106 (FIG.", "5) is defined between the stand-off mounting assembly 140 and one of the first cable spool 134 (e.g., see FIG.", "4).", "In certain implementations, the drum portion 131 of the first cable spool 134 is generally cylindrical in shape.", "The drum portion 131 includes a first end portion that couples to the first support flange 132 and an oppositely disposed second end portion that couples to the second support flange 133.The support flanges 132, 133, which are generally parallel to each other, are configured to rotate with the drum 131.An outer surface of the drum 131 and inner surfaces of the support flanges 132, 133 define the first storage region 102 (FIG.", "5) within which optical fibers or cables (e.g., distribution cable 180) may be coiled.", "The drum portion 131 has a sufficient diameter to provide bend radius protection to optical fibers wound around the fiber spool 134.The drum portion 131 defines a central bore that extends through the drum portion 131.In the subject embodiment, the central bore is adapted to receive a spindle 129 (FIG.", "6).", "In certain implementations, the spindle 129 extends through the bore in the drum 131 and secures to the second side 123 of the mounting plate 121.For example, in certain implementations, the mounting plate 121 defines openings 125 at which the spindle 129 is fastened to the mounting plate 121.The first cable spool 134 is configured to rotate about the spindle 129 relative to the mounting plate 121.The support flanges 132, 133 are sized and shaped to retain the optical fibers wound around the drum 131 in the first storage region 102.In some implementations, the support flanges 132, 133 are generally circular.", "In certain implementations, the support flanges 132, 133 have a sufficient diameter to cover a majority of a surface area of the mounting plate 121.In other implementations, however, one or both of the support flanges 132, 133 may have a smaller diameter.", "In some implementations, the cable spool arrangement 130 is configured to be releasably locked in a rotationally fixed position relative to the mounting plate 121.For example, in certain implementations, the mounting plate 121 includes a forwardly extending flange 126 that is configured to extend past the support flanges 132, 133 of the drum 131 to interact with the front of the cable spool arrangement 130 (see FIG.", "6).", "The forwardly extending flange 126 defines an opening 127.The second flange 133 of the cable spool 134 defines an opening 136 that is disposed to align with the opening 127 of the forwardly extending flange 126 when the cable spool arrangement 130 is disposed in one rotational position.", "In certain implementations, the opening 136 is defined in a tab 135 that extends outwardly from the generally annular circumference of the second support flange 133.A fastener 128 may be inserted through the openings 136, 127 to lock the cable spool arrangement 130 in the rotationally fixed position.", "In some implementations, the second support flange 133 of the cable spool 134 defines an aperture 139 through which optical fibers or cables (e.g., distribution cable 180) may pass between the first storage area 102 and the front of the cable spool 134.In certain implementations, the cables pass through aperture 139 from the first storage region 102 to the protected fiber management region 106.For example, in certain implementations, the aperture 139 is located directly adjacent to the protected fiber management region 106 and allows cables from inside the first storage region 102 of the spool to be routed from the drum surface 131 to the protected storage region 106.The protected fiber management region 106 (FIG.", "5) provides a mounting location for a fan out arrangement.", "The fan out arrangement includes one or more fan outs 138 disposed between the back side of the stand-off 140 and the front side of the cable spool 134 (see FIG.", "4).", "For example, the fan outs 138 may be disposed on the front side of the second support flange 133 of the cable spool 134.Accordingly, the fan outs 138 rotate with the cable spool 134.The cable 180 wrapped around the supplemental spool region 104 can be routed to one of the fan outs 138 where individual optical fiber are broken out to form individual fiber optic pigtails 182.The pigtails 182 have ends connectorized by fiber optic connectors that are inserted into the fiber optic adapters 147 at the termination field 108 (see FIG.", "4).", "Fiber optic connectors corresponding to fibers 192 of subscriber cables 190 also may be inserted into the adapters 147 to provide optical connections between the subscriber cables 190 and the cables 180 routed from the first cable spool 134.The protected fiber management region 106 also can include bend radius protectors 137 attached to the front spool flange 133 (FIG.", "6).", "The bend radius protector 137 can form a supplemental spooling region 104 where cables routed from drum 131 through aperture 139 can be wrapped/spooled to provide cable storage and cable management.", "The pigtails 182 also may be wrapped/spooled around the bend radius limiters 137.In some implementations, the supplemental spooling region 104 provides strain relief to the cables (e.g., distribution cables) 180.Axial loads applied to the outside end 185 of the cables 180 will be transferred through the cable 180 to the wrapped portions of the cable 180.However, the axial loads will not be transferred to the connectorized ends of the pigtails 182.Accordingly, pulling on the first cable end 185 will not detach the connectorized pigtails 182 from the adapters 147 at the termination region 108.Still referring to FIGS.", "4-7, a stand-off mount element 140 may be coupled to the front of the first cable spool 134.For example, the stand-off mount element 140 may be secured to the second support flange 133 of the first cable spool 134 so that the stand-off mount element 140 unitarily rotates with the first cable spool 134.The stand-off mount element 140 provides a front plate 141 where optical components (e.g., fiber optic adapters, splitters, splice trays, spools, bend radius protector, etc.)", "can be mounted.", "For example, fiber optic adapters 147 may form a termination region 108 on the front plate 141.Cable management structures (e.g., bend radius limiters, spools, etc.)", "148 also may be provided on the stand-off plate 141.In the example shown, two opposing bend radius limiters 148 form a fiber spool on the stand-off plate 141.In certain implementations, one or more legs 142 extend rearwardly from the stand-off plate 141 of the stand-off mount element 140.Each leg 142 defines an opening 143 configured to receive a peg 145 or fastener to secure the feet 143 to the front support flange 133 of the cable spool 134.In the example shown, the stand-off mount element 140 includes four legs 142.In other implementations, however, the stand-off mount element 140 may include greater or fewer legs 142.In still other implementations, the legs 142 may be unitary with the cable spool 134 and secure to the stand-off plate 141.The stand-off plate 141 is forwardly offset from the front side of the spool flange 133, thereby forming the protected fiber management region 106 between the front side of the first cable spool 134 and back side of the stand-off mount element 140 (e.g., see FIG.", "4).", "The separated fibers 184 in the protected fiber management region 106 are routed around the bend radius limiters 137 or other management structures on the front of the cable spool 134 to the stand-off mount element 140.In the example shown, the separated fibers 184 have connectorized ends that plug into first ports of termination adapters 147 disposed at the stand-off plate 141.Components disposed on the stand-off mount element 140 are spaced forwardly of the cable spool 134.Accordingly, the fiber optic adapters 147 are disposed on a different layer or plane than the fan outs 138, which are disposed on a different layer or plane than the first cable spool 134.In certain implementations, the fan outs 138 are disposed on the same layer or plane as the bend radius limiters 137.The spacing between the cable spool layer and the stand-off layer enhances slack storage of optical fibers routed through the protected fiber management region 106.In some implementations, the spacing between the cable spool layer and the stand-off layer inhibits over-bending of the fibers when routed between the fan out arrangements 138 and the fiber optic adapters 147.In certain implementations, the termination adapters 147 are included in one or more termination modules 146.In certain implementations, the adapter modules 146 are sliding adapter modules.", "Similar sliding adapter modules have been described in commonly owned U.S. Pat.", "Nos.", "5,497,444; 5,717,810; 6,591,051; and 7,416,349, the disclosures of which are hereby incorporated by reference.", "In the example shown, the stand-off mount element 140 includes six sliding adapter modules 146, each holding four fiber optic adapters 147.In other implementations, the stand-off mount element 140 may include greater or fewer sliding adapter modules 146 holding greater or fewer termination adapters 147.In certain implementations, sufficient slack length of the separated fibers 182 is left between the fan out arrangement 138 and the adapters 147 to accommodate the sliding movement of the sliding adapter modules 146.In some implementations, the cable spool arrangement 130 may be precabled at the factory or manufacturing center with one or more optical fibers or cables 180.For example, one or more multi-fiber cables 180 may be wound around the storage area 102 of the cable spool 134.In certain implementations, the multi-fiber cables 180 may be precabled to pass through the aperture 139 to the fan out arrangement 138 disposed in the protected fiber management region 106 (e.g., see FIGS.", "4 and 7).", "The fan out arrangement 138 separates the cables 180 into pigtails 182.In certain implementations, the fan out arrangement 138 also upjackets the fibers 182.In other implementations, however, the cable spool arrangement 130 may be cabled with the one or more multi-fiber cables 180 after the enclosure housing 110 is deployed.", "The precabled cable spool mounting assembly 120 is mounted within the enclosure housing 110.For example, the mounting plate 121 is secured to a rear wall 115 of the enclosure housing 110.When the mounting assembly 120 is installed in the enclosure housing 110, the second ends of the multi-fiber cables 180 may be routed through one of the cable ports so that the second ends are disposed outside of the enclosure housing 110.In some implementations, the second ends of the one or more precabled multi-fiber cables 180 may be terminated at one or more multi-fiber connectors 185.In other implementations, the second end of a precabled multi-fiber cable 180 is separated into two or more connectorized optical fibers (jacketed or unjacketed).", "In still other implementations, the second ends of the multi-fiber cables 180 are configured to be spliced to one or more optical fiber cables.", "A user may pull on the second ends to dispense the stored length of cable 180 from the cable spool arrangement 130.For example, a user may pull a second end of a cable 180 to a fiber distribution hub, drop terminal, or other network connection.", "Because the adapters 147 rotate in unison with the cable spool arrangement 130, the second end of each multi-fiber cable 180 may be paid out without interfering with the cabling of the first ends of the multi-fiber cable 180.When the second ends 185 of the one or more multi-fiber cables 180 are each connected to the network, the fastener 128 may be inserted through aligned openings 135, 128 to secure the cable spool arrangement 130 in a fixed rotational position relative to the mounting plate 121.When the cable spool arrangement 130 is secured in a rotationally fixed position, additional optical fiber cables may be routed into the enclosure housing 110 to secure to second ports of the termination adapters 147.For example, the additional optical fiber cables may be routed into the enclosure through one or more cable ports defined in the enclosure housing 110.The termination adapters 147 are configured to align and optically couple connectors terminating the additional optical cables with the connectorized ends of the multi-fiber cable 180 plugged into the first ports of the adapters 147.FIG.", "8 illustrates a second example modular plate assembly 220 implemented as a second example cable spool mounting assembly 120 for mounting within the enclosure housing 110.The second cable spool mounting assembly 220 includes a rectangular mounting plate 221 that extends over a majority of the rear wall 115.A cable spool arrangement 241 is disposed on the mounting plate 221 and is configured to rotate relative to the mounting plate 221 (e.g., about a spindle).", "Since the mounting plate 221 is configured to remain stationary on the rear wall 115, the cable spool arrangement 241 is configured to rotate relative to the enclosure housing 110.The cable spool arrangement 241 defines a storage area including a drum about which optical fibers or cables (e.g.", "of a multi-fiber distribution cable 180) may be coiled.", "The drum has a sufficient diameter to provide bend radius protection to optical fibers wound around the fiber spool arrangement 241.Rotating the cable spool arrangement 241 dispenses or retracts the optical fibers or cables wound around the drum.", "In some implementations, the cable spool arrangement 241 may be locked in a rotational orientation relative to the mounting plate 221.In certain implementations, one or more splice trays 242 are disposed on the cable spool arrangement 241.Each splice tray 242 is configured to optically couple together two or more optical fibers.", "For example, each splice tray 242 may optically couple together at least one optical fiber of the distribution cable 180 and at least one optical fiber of a subscriber cable 190 (FIG.", "1).", "Certain types of splice tray 242 may be pivoted between open and closed positions to provide access to the splices contained therein.", "In certain implementations, the splice trays 242 are stacked upon each other so that a bottom of the stack extends over the cable spool arrangement 241 and a top of the stack faces the open front 116 of the enclosure housing 110.One or more fiber management structures may be disposed on the cable spool arrangement 241.For example, in certain implementations, one or more bend radius limiters 243 are disposed on a front of the cable spool arrangement 241.In the example shown, four bend radius limiters are disposed at a top, bottom, and sides of the cable spool arrangement 241.The cable spool arrangement 241 also defines one or more channels 244 through which optical fibers or cables can pass between the storage area of the cable spool arrangement 241 and the splice trays 242.In the example shown, the cable spool arrangement 241 defines four openings 244 spaced between the bend radius limiters 243.In some implementations, the second cable spool mounting assembly 220 may be precabled at the factory or manufacturing center with one or more distribution cables 180.The one or more distribution cables 180 may be wound around the drum in the storage area of the second cable spool arrangement 241.In certain implementations, the first end of each distribution cable fiber may be routed through one of the openings 244 in the cable spool arrangement 241, around one or more of the bend radius limiters 243, and into one of the splice trays 242 disposed at a front of the cable spool arrangement 241 (e.g., see FIG.", "8).", "The precabled second cable spool mounting assembly 220 is mounted within the enclosure housing 110 to deploy the one or more distribution cables 180.For example, the mounting plate 221 is secured to the rear wall 115 of the enclosure housing 110 as described above.", "The second ends 185 of the distribution cables 180 may be routed out of the housing 110 through one of the cable port modules 101 so that the second ends 185 are disposed outside of the enclosure housing 110 (e.g., see FIG.", "8).", "Additional optical fiber cables (e.g., subscriber cables 190 of FIG.", "1) may be routed into the enclosure housing 110 (e.g., through the same or other port modules 101).", "Unconnectorized ends of the subscriber cable fibers may be optically coupled to the first ends of the service cable fibers at the splice trays 242.For example, each subscriber cable fiber may be routed from the respective cable port module 101 to the respective splice tray 242 (e.g., either directly or after being wound around some of the bend radius limiters 243 of the second cable spool mounting assembly 220.FIGS.", "9 and 10 illustrate a third example modular plate assembly 120 implemented as a termination panel mounting assembly 320.The termination panel mounting assembly 320 includes a mounting plate 321 that is sized to extend over a majority of the rear wall 115 of the enclosure housing 110.In particular, the mounting plate 321 has a height that extends over a majority of a height of the rear wall 115 and the mounting plate 321 has a width that extends over a majority of a width of the rear wall 115.The mounting plate 321 defines one or more apertures 322 or pems that facilitate connection to the rear wall 115.Certain types of mounting plates 321 define one or more cutouts 329.In the example shown in FIG.", "9, the mounting plate 321 defines a cutout 329 at an upper, right corner of the mounting plate 321, thereby resulting in an L-shaped mounting panel 321.In other implementations, the mounting plate 321 may have other configurations.", "A termination plate 351 is coupled to the mounting plate 321.In some implementations, the termination plate 351 is a bent portion of the mounting plate 321.In other implementations, the termination plate 351 is a separate piece that attaches to the mounting plate 321 (e.g., via snap-fit connection, latches, fasteners, etc.).", "In the example shown, the termination plate 351 extends vertically with a first side facing the first side wall 113 and a second side facing he second side wall 114 of the enclosure housing 110.In other implementations, the termination plate 351 has a first side that faces the rear wall 115 and a second side that faces the open front 116 of the enclosure housing 110.One or more termination adapters 352 are disposed on the termination plate 351.Each termination adapter 352 has a first port and a second port.", "In the example shown, the first port faces the first side wall 113 and the second port faces the second side wall 114 of the enclosure housing 110.In other implementations, the adapter ports may face the rear wall 115 and open front 116 of the enclosure housing 110.In still other implementations, the adapters 352 and the termination plate 351 may be oriented at any desired angle relative to the mounting plate 321.In certain implementations, adapter dust caps 353 may be provided at the adapter ports.", "In certain implementations, one or more cable management structures may be provided on the termination plate 351 or mounting plate 321.In the example shown, four bend radius limiters 354 are disposed on a front of the mounting plate 321.The bend radius limiters 354 are configured to form two fiber spools.", "In certain implementations, the bend radius limiters 354 form a first fiber spool located between the termination plate 351 and the first side wall 113 of the housing 110 and a second fiber spool located between the termination plate 351 and the second side wall 114 of the housing 110.In certain implementations, the bend radius limiters 354 are located substantially below the termination plate 351.In other implementations, the same or other types of cable management structures may be disposed in different configurations.", "During deployment of the termination panel mounting assembly 350, one or more optical fiber cables (e.g., distribution cables 180) may be routed into the enclosure housing 110 (e.g., through one or more port modules 101).", "Connectorized ends of the distribution cables may be secured to the first ports of the termination adapters 352.Additional optical fiber cables (e.g., subscriber cables 190) also may be routed into the enclosure housing 110 (e.g., through the same or other port modules 101).", "Connectorized ends of the subscriber cables may be secured to the second ports of the termination adapters 352, which align and optically couple together the connectorized ends of the subscriber cables with the connectorized ends of the service cables.", "In certain implementations, a cover 330 may be positioned within the enclosure housing 110 to enclose or otherwise inhibit access to at least a portion of the optical components location within the enclosure housing 110 (see FIG.", "10).", "In some implementations, the cover 330 extends from one of the side walls 113, 114 to the termination plate 351 to block access to at least some of the fiber connectors plugged into one side of the termination adapters 352.In the example shown, the cover 330 extends from the second side wall 114 to the termination plate 351 to block access to any cables (e.g., service cables) entering the enclosure housing 110 through the left cable ports 101, while allowing access to the cables (e.g., subscriber cables) entering the enclosure housing 110 through the right cable ports 101.In other implementations, the cover 330 may extend across the entire termination panel mounting assembly 320.In the example shown, the cover 330 includes a front plate 366 and a side plate 367 forming an L-shaped flange.", "The front plate 366 extends from the second side wall 114 of the enclosure to the termination plate 351, thereby covering the bend radius limiters 354 located to the left of the termination plate 351.The front plate 366 also blocks access to the second ports of the adapters 352 from the open front 116 of the enclosure housing 110.The side plate 367 extends downwardly from the termination plate 351 to inhibit access to the second side of the termination plate 351 from the right side of the enclosure housing interior.", "In other implementations, the cover 330 may include two side plates and be located at a central portion of the enclosure interior.", "In still other implementations, the cover 330 may include a planar panel that extends across the open front 116 of the enclosure housing 110.In some implementations, the cover 330 defines one or more finger holes 368 by which the cover 330 may be installed and/or removed from the enclosure housing 110.For example, in one implementation, the front plate 366 of the cover 330 defines two finger holes 368.In other implementations, the cover 330 may include a handle or other structure to facilitate manipulation of the cover 330.In certain implementations, the cover 330 may be secured in place by a lock arrangement 369.FIGS.", "11-13 illustrate fourth and fifth example modular plate assemblies 120 implemented as an example drop-in plate mounting assembly 400 and an example sliding adapter mounting assembly 450, respectively.", "The fourth and fifth modular plate assemblies 400, 450 each extend over only a portion of the rear wall 115.For example, each of the fourth and fifth modular plate assemblies 400, 450 includes a mounting plate 401, 451 that has a height that extends substantially over a height of the rear wall 115 and a width that extends over less than half of the rear wall 115.In some implementations, the mounting plate 401, 451 is rectangular.", "In other implementations, the mounting plate 401, 451 is generally rectangular with notched corners.", "The mounting plate 401, 451 defines one or more apertures 402, 452 through which fasteners extend to secure the mount plate 401, 451 to the enclosure housing 110.The drop-in plate assembly 400 includes a drop-in plate 411 defining one or more holes 412 at which adapters 413 may be secured.", "In some implementations, the drop-in plate 411 is formed from a bent portion of the mounting plate 401.In other implementations, the drop-in plate 411 is attached to the mounting plate 401.In some implementations, the drop-in plate 411 extends generally horizontally (i.e., parallel with the top wall 111 and bottom wall 112 of the enclosure housing 110).", "In other implementations, the drop-in plate 411 may be angled relative to the top and bottom walls 111, 112.In certain implementations, the adapters 413 are snap-fit or press-fit into the holes 412 of the drop-in plate 411.In some implementations, the adapters 413 are configured to receive and align multi-fiber (MPO) connectors.", "A description of example MPO connectors can be found in U.S. Pat.", "No.", "5,214,730, the disclosure of which is hereby incorporated herein by reference.", "In certain implementations, the adapters 413 are configured to receive and align hardened multi-fiber adapters (HMFOCs).", "A description of example HIVIFOCs can be found in U.S. Pat.", "No.", "6,648,520, the disclosure of which is hereby incorporated herein by reference.", "In other implementations, the adapters 413 are configured to receive and align single optical connectors (e.g., LC-connectors, SC-connectors, ST-connectors, FC-connectors, etc.).", "In certain implementations, the drop-in plate assembly 400 includes fiber management structures to facilitate routing optical fibers or cable between the adapters 413 and other components within the enclosure housing 110.For example, the drop-in plate assembly 400 may include bend radius limiters extending forwardly from the mounting plate 401.In the example shown, smaller bend radius limiters 414 are disposed above the drop-in plate 411 and larger bend radius limiters 415 are disposed below the drop-in plate 411.The larger bend radius limiters 415 form a slack storage spool.", "The example sliding adapter mounting assembly 450 includes at least one sliding adapter module 461.Each sliding adapter module 461 includes a plurality of adapters that are slideably mounted to rails.", "In the example shown, each sliding adapter module 461 includes a row of six adapters.", "In the example shown, the example sliding adapter mounting assembly 450 includes a first group of two sliding adapter modules 461 spaced from another group of two sliding adapter modules 461.In other implementations, however, the example sliding adapter mounting assembly 450 may greater or fewer groups each having greater or fewer sliding adapter modules 461.In some implementations, the sliding adapter modules 461 are configured to slide generally horizontally in a forward-rearward direction relative to the enclosure housing 110.In certain implementations, the sliding adapter modules 461 slide at an angle (e.g., at least partially in an upward-downward direction).", "In the example shown, the adapter modules 461 are oriented so that ports of the adapter modules 461 face towards the upper and lower walls 111, 112 of the enclosure housing 110.In other implementations, the adapter modules 461 may be oriented to face the side walls 113, 114 of the enclosure housing 110.As noted above, additional details pertaining to example sliding adapter modules are provided in commonly owned U.S. Pat.", "Nos.", "5,497,444; 5,717,810; 6,591,051; and 7,416,349, the disclosures of which are incorporated above.", "The example sliding adapter mounting assembly 450 also includes a fanout arrangement 462 including one or more fanouts.", "Each fanout separates optical fibers from a multi-fiber cable.", "In the example shown, the fanout arrangement 462 is disposed between the two groups of adapter modules 461.In other implementations, the fanout arrangement 462 may be disposed elsewhere on the mounting panel 401.In certain implementations, two or more fanouts are stacked together so that a bottom of the stack abuts the mounting panel 401 and a top of the stack faces the open front 116 of the enclosure housing 110.The example sliding adapter mounting assembly 450 also includes fiber management structures to facilitate routing optical fibers or cables from the sliding adapter modules 461 to other components within the enclosure housing 110.In certain implementations, the sliding adapter mounting assembly 450 may include one or more bend radius limiters 463 (FIG.", "11).", "In the example shown, each group of adapter modules 461 has two corresponding bend radius limiters 463 at a bottom of the mounting panel 401 and at least one bend radius limiter 463 at a top of the mounting panel 401.In certain implementations, the mounting panel 401 also may include guide flanges 464 (FIG.", "11) that facilitates retaining optical fibers or cables within the area of the mounting panel 401.In some implementations, the mounting panel 401 defines a guide flange 464 on each side of the mounting panel 401.In the example shown in FIG.", "11, each guide flange 464 is bent forwardly from the mounting panel 401.In other implementations, each guide flange 464 may be a separately attached piece.", "In the example shown, each guide flange 464 extends vertically to inhibit the fibers from spilling into the rest of the enclosure interior.", "In the example shown in FIG.", "13, the mounting panel 401 includes retaining flanges 465 defining a guide channel through which one or more fibers or cables may be routed.", "The retaining flanges 465 include a first portion extending forwardly of the mounting plate and a second portion that extends across the fibers disposed in the channel.", "For example, each retaining flange 465 may have an L-shaped cross-section.", "The mounting panel 401 of FIG.", "13 also includes another type of guide flange 466 is T-shaped.", "The guide flange 466 is disposed between the two groups of sliding adapter modules 461.In some implementations, the sliding adapter mounting assembly 450 may be precabled at the factory or manufacturing center with one or more intermediate fibers 467.Some example intermediate fibers 467 each include a single optical fiber.", "First ends of the intermediate fibers 467 are connectorized and plugged into first ports of the sliding adapter modules 461.Second ends of the intermediate fibers 467 are joined at a fanout arrangement 462 to form one or more multi-fiber cables 417.In certain implementations, the second ends of the multi-fiber cables 417 are connectorized (e.g., see optical connectors 418 of FIG.", "13).", "In other implementations, the second ends of the multi-fiber cables 417 are unconnectorized.", "The separate intermediate fibers 467 are routed around from the sliding adapter modules 461 and around the fiber management structures (e.g., bend radius limiters 463 and/or any of flanges 464-466).", "In certain implementations, sufficient slack length of the separated fibers 467 is left between the fanout arrangement 462 and the adapter modules 461 to accommodate the sliding movement of the sliding adapter modules 461.In other implementations, however, the sliding adapter mounting assembly 450 may be cabled after the enclosure housing 110 is deployed.", "As shown in FIG.", "13, the connectors 418 terminating the multi-fiber cables 417 may be plugged into the first ports of the adapters 418 of the drop-in plate assembly 400 when both the drop-in plate assembly 400 and the sliding adapter mounting assembly 450 are disposed within the enclosure housing 110.A first set of additional optical fiber cables (e.g., distribution cables 180) may be routed into the enclosure housing 110 (e.g., through one or more ports 101).", "Connectorized ends of the first set of optical fiber cables 180 may be plugged into the second ports of the adapters 413 at the drop-in plate assembly 400.A second set of additional optical fiber cables (e.g., subscriber cables 190) may be routed into the enclosure housing 110 (e.g., through the same or other port modules 101).", "Connectorized ends of the second set of optical fiber cables 190 may be secured to second ports of the sliding adapter modules 461.Accordingly, optical signals carried by the first group of optical fibers 182 may be passed to the multi-fiber cables 417 via the drop-in adapters 413 and then to the second group of optical fibers 192 via the sliding adapter modules 461.FIG.", "14 illustrates a sixth example modular plate assemblies 120 implemented as an example splice tray mounting assembly 500.In the example shown, the splice tray mounting assembly 500 extends over only a portion of the rear wall 115.For example, the splice tray mounting assembly 500 includes a mounting plate 501 that has a height that extends substantially over a height of the rear wall 115 and a width that extends over less than half of the rear wall 115.In some implementations, the mounting plate 501 is rectangular.", "In other implementations, the mounting plate 501 is generally rectangular with notched corners.", "In still other implementations, the mounting plate 501 has notched sides.", "The mounting plate 501 defines one or more apertures 502 through which fasteners extend to secure the mount plate 501 to the enclosure housing 110.In certain implementations, one or more splice trays 511 are disposed on the mounting plate 501.Each splice tray 511 is configured to optically couple together two or more optical fibers.", "For example, each splice tray 511 may optically couple together at least one optical fiber of a service cable and at least one optical fiber of a subscriber cable or an intermediate fiber.", "Certain types of splice trays 511 may be pivoted between open and closed positions to provide access to the splices contained therein.", "In certain implementations, the splice trays 511 are stacked upon each other so that a bottom of the stack extends over the mounting plate 501 and a top of the stack faces the open front 116 of the enclosure housing 110.One or more support members 503 may aid in securing the splice tray 511 to the mounting plate 501.In FIG.", "14, a support member 503 is illustrated as at least one flange bent forwardly from the mounting late 501 at one side of the splice tray 511.One or more fiber management structures may be disposed on the mounting plate 501 about the splice tray arrangement 511.For example, in certain implementations, one or more bend radius limiters 512 are disposed on a front of the mounting plate 501.In the example shown, four bend radius limiters 512 are disposed at four corners of the splice tray arrangement 511.In other implementations, greater or fewer bend radius limiters 512 may be disposed in other configurations.", "When the splice tray mounting assembly 500 is installed within the interior of the enclosure housing 110, two or more optical fibers may be spliced at the splice trays 511.In some implementations, one or more optical fiber cables (e.g., service cables) may be routed into the enclosure housing 110 through one or more modular cable ports 101.One or more additional optical fiber cables (e.g., subscriber cables) also may be routed into the enclosure housing 110 through the same or other modular cable ports 101.In some implementations, unconnectorized ends of both groups of optical fiber cables are coupled together at the splice trays 511.In other implementations, the splice tray mounting assembly 500 is disposed within the enclosure housing 110 with the sliding adapter mounting assembly 450.In such implementations, the splice trays 511 are configured to optically couple together unconnectorized ends of a first group of optical fibers (e.g., from one or more service cables) to unconnectorized ends of intermediate fibers 467 plugged into the sliding adapter modules 461 of the sliding adapter mounting assembly 450.As shown in FIG.", "15, an example cover 600 may be positioned within the enclosure housing 110 to enclose or otherwise inhibit access to at least a portion of the optical components location within the enclosure housing 110.In some implementations, the cover 600 extends from one of the side walls 113, 114 to an intermediate portion of the enclosure interior to block access to at least some of the fiber optical connectors disposed within the enclosure interior.", "In the example shown, the cover 600 extends from the second side wall 114 to cover the drop-in mounting assembly 400.Accordingly, the cover 600 blocks access to the drop-in adapters 413 and to any fiber optic connectors plugged into the drop-in adapters 413.In other implementations, the cover 600 may extend across both the drop-in mounting assembly 400 and the sliding adapter module assembly 450.In still other implementations, the cover 600 may extend across the splice tray mounting assembly 500.In the example shown, the cover 600 includes a front plate 601 and a side plate 602 forming a generally L-shaped flange.", "The front plate 601 extends from one side of the mounting plate 401 of the drop-in mounting assembly 400 (or plate 501 of splice tray assembly 500) to the opposite side of the mounting plate 401.The front plate 601 also extends a majority of the distance between the top wall 111 and the bottom wall 112.The side plate 602 extends from the front plate 601 to the rear wall 115 of the enclosure housing 110.In certain implementations, the side plate 602 defines an opening, cutout, or other routing channel 603 through which optical fibers may be routed between the interior spaced enclosed by the cover 600 and the interior space accessible through the open front 116 of the enclosure housing 110.In other implementations, the cover 600 may include two side plates and be located at a central portion of the enclosure interior.", "In still other implementations, the cover 600 may include a planar panel that extends across the open front 116 of the enclosure housing 110.In some implementations, the cover 600 defines one or more finger holes 604 by which the cover 600 may be installed and/or removed from the enclosure housing 110.For example, in one implementation, the front panel 601 of the cover 600 defines two finger holes 604.In other implementations, the cover 600 may include a handle or other structure to facilitate manipulation of the cover 600.In certain implementations, the cover 600 may be secured in place by a lock arrangement 605.In some implementations, implementations of the fiber termination enclosure 100 disclosed above may be used in cell site applications.", "For example, certain implementations 992 of the fiber termination enclosure 100 may be mounted to a top of a cellular tower or in a hut at a base of a cellular tower.", "FIG.", "16 is a schematic representation of one example telecommunications network 910 utilizing such a cell site application.", "In the depicted embodiment, the telecommunications network 910 is a cellular network 910.The cellular network 910 includes a cell site 912, a demarcation point 914, a backhaul 916 and a core network 918.The cell site 912 creates an area of telecommunications coverage (i.e., a cell) in the cellular network 910.In one embodiment, the cell site 912 includes a tower or mast 920 and a hut 922 that is in communication with the tower 920.In another embodiment, the cell site 912 includes a hut 922 that is in communication with an antenna or a plurality of antenna.", "The tower 920 includes a base portion 924 and an oppositely disposed top portion 926.In the depicted embodiment, the base portion 924 is rigidly fixed at a mounting location.", "In one embodiment, the top portion 926 of the tower 920 may include an antenna.", "The remote transceiver 928 may be integrated into the antenna.", "The top portion 926 includes a remote transceiver 928 (e.g., a remote radio head).", "The remote transceiver 928 is adapted to transmit and receive signals to and from devices (e.g., mobile phones, smart-phones, devices with wireless internet connectivity, etc.)", "of subscribers to the cellular network 910.In certain implementations, the top portion 926 of the tower 920 includes multiple remote transceivers.", "In certain implementations, some of the remote transceivers are backup remote transceivers.", "The top portion 926 of the tower 920 further includes a multi-service terminal 930.Terminal that are suitable for use as the multi-service terminal 930 of the present disclosure have been described in U.S. Pat.", "Nos.", "7,292,763 and 7,512,304, the disclosures of which are hereby incorporated by reference in their entirety.", "The fiber optic cable 952 from the multi-service terminal 930 is routed to an enclosure 992 at the hut 922.The fiber optic cable 952 includes a first end 962 and an oppositely disposed second end 964.The first end 962 includes a plurality of connectors that are engaged to the inner ports of the fiber optic adapters of the multi-service terminal 930.The second end 964 includes a multi-fiber connector that is adapted for engagement to one of the first and second multi-fiber connectors of the enclosure 992.A jumper cable 966 provides communication between the enclosure 992 and the base transceiver station 990.The jumper cable 966 includes a first end 968 and an oppositely disposed second end 970.The first end 968 is connected to the enclosure 992 while the second end 970 is connected to the base transceiver station 990.In one embodiment, the first end 968 includes a plurality of connectors that are engaged with the second side 924 of the fiber optic adapters 920 of the enclosure 992.In one embodiment, the second end 970 of the jumper cable 966 includes a multi-fiber connector that is engaged to the base transceiver station 990.In another embodiment, the second end 970 includes a plurality of connectors that is engaged to the base transceiver station 990.The base transceiver station 990 is in communication with a telecommunications equipment rack 980 through a multi-fiber patch cable 982.The telecommunications equipment rack 980 is disposed in the hut 922.In one embodiment, the telecommunications equipment rack 980 includes any one or more of a power distribution unit, a fiber distribution unit, a transport switch, a mobile router, a media converter, an Ethernet panel, a DSX panel, protection and a battery.", "The telecommunications equipment rack 980 is in communication with the demarcation point 914.The demarcation point 914 is in communication with the backhaul 916, which is in communication with the core network 918.Further details on such a telecommunications network 910 may be found in U.S. patent application Ser.", "No.", "13/087,022, filed Apr.", "14, 2011, and titled “Fiber to the Antenna,” the disclosure of which is hereby incorporated herein by reference.", "In other implementations, the fiber termination enclosure disclosed above may be used with other applications.", "For example, some fiber termination enclosures may be installed at facilities, such as multiple dwelling units, apartments, condominiums, businesses, etc., to provide a subscriber access point to the fiber optic network.", "Other fiber termination enclosures may be installed on towers located on top of high rise buildings or other tall structures.", "Various implementations of fiber termination enclosures may be installed at walls, H-frame racks, and poles.", "Having described the preferred aspects and implementations of the present disclosure, modifications and equivalents of the disclosed concepts may readily occur to one skilled in the art.", "For example, one or more pass-through connections may be provided with any of the above-described types of modular plate assemblies 120.However, it is intended that such modifications and equivalents be included within the scope of the claims which are appended hereto." ] ]
Patent_15875756
[ [ "OUT OF STOCK ITEM TRACKING AT RETAIL SALES FACILITIES", "In some embodiments, methods and systems of locating overstock items at a retail sales facility include receiving an indication that a product at the retail sales facility is out of stock and determining whether the product is located on a sales floor or in the stock room at the retail sales facility; then, in response to a determination that the product is not located on the sales floor or in the stock room, tracking a location of the product based on at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the product; and outputting to a worker at the retail sales facility, based on the tracking of the location of the at least one product, an indication of a perceived location of the least one product." ], [ "1.A method for assisting in the locating of out of stock products at a retail sales facility, the method comprising: providing an inventory management database storing electronic data indicating at least one product that is offered for sale to consumers at a display location on a sales floor of the retail sales facility; receiving, an indication that at least one product is out of stock on the sales floor of the retail sales facility; determining, via an inventory management electronic device including a processor, and in response to querying the inventory management database via the inventory management electronic device to obtain inventory management data associated with the at least one product, that the inventory management data obtained via the inventory management electronic device from the inventory management database indicates that the at least one product is not located at the display location on the sales floor of the retail sales facility and is not located in a stock room of the retail sales facility; tracking, via the inventory management electronic device and the inventory management database, a location of the at least one product at the retail sales facility other than the display location and other than the stock room, based on analyzing, via the inventory management electronic device, at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product, the at least one management factor and the worker task event being stored in the inventory management database; and outputting, based on the tracking step and via the inventory management electronic device, an indication of a predicted location of the least one product at the retail sales facility other than the display location and other than the stock room, to a worker at the retail sales facility; wherein the at least one inventory management factor includes at least one of: mis-rings, shipping error, sales floor quantity versus shelf cap, change in on-hand inventory at the retail sales facility, bin accuracy, perpetual inventory accuracy, modular integrity, shrink, replenishment method, and pick completion; and wherein the at least one worker task event includes at least one of: time stamp of last sale of the at least one product, time stamp of last customer return of the at least one product, time stamp of last customer claim for the at least one product, time stamp of last binning or unbinning of the at least one product, time stamp of last feature set or unset of the at least one product, time stamp of last delivery of the at least one product, time stamp of last scan of the at least one product, time stamp of last price change of the at least one product, and time stamp of pick of the at least one product.", "2.The method of claim 1, wherein the receiving step includes inputting identifying information for the at least one product into the inventory management database and receiving a signal from the inventory database indicating that the at least one product is out of stock at the retail sales facility.", "3.The method of claim 2, wherein the display location is a shelf on the sales floor of the retail sales facility where the at least one product is regularly offered for sale.", "4.The method of claim 1, wherein the tracking step is performed via a control circuit including the processor in communication with the at least one inventory management database.", "5.The method of claim 1, further comprising monitoring, via the inventory management electronic device, whether the at least one worker task event entered into the inventory management database as being completed was physically completed, generating in the inventory management database at least one data point indicating whether the at least one worker task event entered into the inventory management database as being completed was physically completed, and generating, via the inventory management electronic device, a report including the at least one data point over a predetermined period of the monitoring.", "6.The method of claim 1, wherein the outputting step further comprises indicating, via the inventory management electronic device, to the user, based on the tracking step, to look for the at least one product in a location at the retail sales facility including at least one of: truck unload area, to be stocked area, unworked freight area, unbinned area, returns area, claims area, pick cart in stock room, area near shelf on the sales floor of the retail sales facility, last known bin location, and feature product display.", "7.The method of claim 1, wherein the outputting step further comprises indicating, via the inventory management electronic device, to the worker and based on the tracking step, that the at least one product is not present on hand at the retail sales facility.", "8.A system for tracking out of stock products at a retail sales facility, the system comprising: at least one inventory management database storing electronic data indicating at least one product that is offered for sale to consumers at a display location on a sales floor of at the retail sales facility; and a control circuit including a processor in communication with the at least one inventory management database, the control circuit configured to: receive electronic data from the at least one inventory management database that indicates that the at least one product is not located at the display location on the sales floor of the retail sales facility y and is not located in a stock room of the retail sales facility; track a location of the at least one product at the retail sales facility other than the display location and other than the stock room of the retail sales facility, based on an analysis, by the control circuit, of at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product, the at least one management factor and the worker task event being stored in the inventory management database; and output to a worker at the retail sales facility, based on the tracked location of the at least one product, an indication of a predicted location of the least one product at the retail sales facility other than the display location and other than the stock room of the retail sales facility; wherein the at least one inventory management factor includes at least one of: mis-rings, shipping error, sales floor quantity versus shelf cap, change in on-hand inventory at the retail sales facility, bin accuracy, perpetual inventory accuracy, modular integrity, shrink, replenishment method, and pick completion; and wherein the at least one worker task event includes at least one of: time stamp of last sale of the at least one product, time stamp of last customer return of the at least one product, time stamp of last customer claim for the at least one product, time stamp of last binning or unbinning of the at least one product, time stamp of last feature set or unset of the at least one product, time stamp of last delivery of the at least one product, time stamp of last scan of the at least one product, time stamp of last price change of the at least one product, and time stamp of pick of the at least one product.", "9.The system of claim 8, wherein the control circuit is configured to send a signal including identifying information for the at least one product to the at least one inventory management database and receive a signal from the inventory database indicating that the at least one product is out of stock at the retail sales facility.", "10.The system of claim 9, wherein the display location is a shelf on the sales floor of the retail sales facility where the at least one product is regularly offered for sale.", "11.The system of claim 8, wherein the control circuit is configured to: monitor whether the at least one worker task event entered into the at least one inventory management database as being completed was physically completed; generate in the at least one inventory management database at least one data point indicating whether the at least one worker task event entered into the at least one inventory management database as being completed was physically completed; and generate a report including the at least one data point over a predetermined period of monitoring by the server.", "12.The system of claim 8, wherein the control circuit is configured to indicate to the user, based on the tracked location of the at least one product, to look for the at least one product at a location at the retail sales facility including at least one of: truck unload area, to be stocked area, unworked freight area, unbinned area, returns area, claims area, pick cart in stock room, area near shelf on the sales floor of the retail sales facility, last known bin location, and feature item display.", "13.The system of claim 8, wherein the control circuit is configured to indicate to the worker, based on the tracked location of the at least one product, that the at least one product is not present at the retail sales facility.", "14.A system for tracking out of stock items at a retail sales facility, the system comprising: at least one storage means storing electronic data indicating at least one product that is offered for sale to consumers at a display location on a sales floor of at the retail sales facility; and a control means including a processor in communication with the at least one inventory management database, the control means configured to: receive electronic data from the at least one storage means that indicates that the at least one product is not located at the display location on the sales floor of the retail sales facility and is not located in a stock room of the retail sales facility; track a location of the at least one product at the retail sales facility other than the display location and other than the stock room of the retail sales facility based on at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product, the at least one management factor and the worker task event being stored in the storage means; and output to a worker at the retail sales facility, based on the tracked location of the at least one product, an indication of a predicted location of the least one product at the retail sales facility other than the display location and other than the stock room of the retail sales facility; wherein the at least one inventory management factor includes at least one of: mis-rings, shipping error, sales floor quantity versus shelf cap, change in on-hand inventory at the retail sales facility, bin accuracy, perpetual inventory accuracy, modular integrity, shrink, replenishment method, and pick completion; and wherein the at least one worker task event includes at least one of: time stamp of last sale of the at least one product, time stamp of last customer return of the at least one product, time stamp of last customer claim for the at least one product, time stamp of last binning or unbinning of the at least one product, time stamp of last feature set or unset of the at least one product, time stamp of last delivery of the at least one product, time stamp of last scan of the at least one product, time stamp of last price change of the at least one product, and time stamp of pick of the at least one product." ], [ "<SOH> BACKGROUND <EOH>Retail sales facilities often permit consumers to determine whether a product offered for sale at the retail sales facility is in stock by scanning the product.", "Also, consumers often request sales associates and/or managers at the retail sales facility to check whether a product of interest is in stock at the retail sales facility.", "Having to perform a large number of scans for low inventory and/or out of stock items often takes up a large portion of time from department managers and/or sales associates at the retail sales facility.", "When a product is determined to be out of stock at the retail sales facility via a scan by the consumer or sales or stocking associate, the consumer is typically informed either that the product is not available, or that the sales or stocking associate will go to the stock room to check whether the product indicated to be out of stock may be nevertheless present in the stock room.", "However, since the conventional inventory management systems do not provide the sales or stocking associate with possible locations where additional units of the product indicated to be out of stock may be present at the retail sales facility, the sales or stocking associates are often forced to physically check multiple locations at the retail sales facility to look for possible additional units of the out of stock product, which is time consuming and inefficient." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>Disclosed herein are embodiments of systems, apparatuses and methods pertaining to methods and systems for sorting overstock inventory.", "This description includes drawings, wherein: FIG.", "1 is a diagram of a retail sales facility overstock tracking system in accordance with some embodiments.", "FIG.", "2 is a functional diagram of an inventory management electronic device in accordance with several embodiments.", "FIG.", "3 is a flow chart diagram of a process of tracking out of stock items at a retail sales location in accordance with some embodiments.", "FIG.", "4 is a flow chart diagram of a method of tracking out of stock products at a retail sales facility in accordance with several embodiments.", "detailed-description description=\"Detailed Description\" end=\"lead\"?", "Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale.", "For example, the dimensions and/or relative positioning of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of various embodiments of the present invention.", "Also, common but well-understood elements that are useful or necessary in a commercially feasible embodiment are often not depicted in order to facilitate a less obstructed view of these various embodiments of the present invention.", "Certain actions and/or steps may be described or depicted in a particular order of occurrence while those skilled in the art will understand that such specificity with respect to sequence is not actually required.", "The terms and expressions used herein have the ordinary technical meaning as is accorded to such terms and expressions by persons skilled in the technical field as set forth above except where different specific meanings have otherwise been set forth herein." ], [ "CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation of U.S. application Ser.", "No.", "15/202,897, filed Jul.", "6, 2016, which claims the benefit of U.S.", "Provisional Application No.", "62/200,431, filed Aug. 3, 2015, which are incorporated herein by reference in their entireties.", "TECHNICAL FIELD This invention relates generally to managing inventory at retail sales facilities and, in particular, to systems and methods for tracking out of stock items at retail sales facilities.", "BACKGROUND Retail sales facilities often permit consumers to determine whether a product offered for sale at the retail sales facility is in stock by scanning the product.", "Also, consumers often request sales associates and/or managers at the retail sales facility to check whether a product of interest is in stock at the retail sales facility.", "Having to perform a large number of scans for low inventory and/or out of stock items often takes up a large portion of time from department managers and/or sales associates at the retail sales facility.", "When a product is determined to be out of stock at the retail sales facility via a scan by the consumer or sales or stocking associate, the consumer is typically informed either that the product is not available, or that the sales or stocking associate will go to the stock room to check whether the product indicated to be out of stock may be nevertheless present in the stock room.", "However, since the conventional inventory management systems do not provide the sales or stocking associate with possible locations where additional units of the product indicated to be out of stock may be present at the retail sales facility, the sales or stocking associates are often forced to physically check multiple locations at the retail sales facility to look for possible additional units of the out of stock product, which is time consuming and inefficient.", "BRIEF DESCRIPTION OF THE DRAWINGS Disclosed herein are embodiments of systems, apparatuses and methods pertaining to methods and systems for sorting overstock inventory.", "This description includes drawings, wherein: FIG.", "1 is a diagram of a retail sales facility overstock tracking system in accordance with some embodiments.", "FIG.", "2 is a functional diagram of an inventory management electronic device in accordance with several embodiments.", "FIG.", "3 is a flow chart diagram of a process of tracking out of stock items at a retail sales location in accordance with some embodiments.", "FIG.", "4 is a flow chart diagram of a method of tracking out of stock products at a retail sales facility in accordance with several embodiments.", "Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale.", "For example, the dimensions and/or relative positioning of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of various embodiments of the present invention.", "Also, common but well-understood elements that are useful or necessary in a commercially feasible embodiment are often not depicted in order to facilitate a less obstructed view of these various embodiments of the present invention.", "Certain actions and/or steps may be described or depicted in a particular order of occurrence while those skilled in the art will understand that such specificity with respect to sequence is not actually required.", "The terms and expressions used herein have the ordinary technical meaning as is accorded to such terms and expressions by persons skilled in the technical field as set forth above except where different specific meanings have otherwise been set forth herein.", "DETAILED DESCRIPTION Generally speaking, this application describes systems and methods for tracking out of stock items at retail sales facilities.", "In some embodiments, the systems and methods described herein include one or more electronic devices configured to receive an indication that a product at a retail sales facility is out of stock and determine a location of the product at the retail sales facility based on at least one inventory management factor associated with the retail sales facility and/or at least one worker task event associated with the at least one product.", "In one embodiment, a method for assisting in the locating of out of stock items at a retail sales facility includes: receiving, an indication that at least one product at the retail sales facility is out of stock on a sales floor at the retail sales facility; determining, via an inventory management electronic device including a processor, that inventory management data indicates that the at least one product is not located on the sales floor and is not located in a stock room of the retail sales facility; tracking, via the inventory management electronic device, a location of the at least one product based on at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product; and outputting, based on the tracking step and via the inventory management electronic device, an indication of a predicted location of the least one product to a user at the retail sales facility.", "A system for tracking out of stock items at a retail sales facility according to one embodiment includes at least one inventory management database including inventory management data regarding at least one product at the retail sales facility; and a control circuit including a processor in communication with the at least one inventory management database.", "The control circuit is configured to: receive inventory management data that indicates that the at least one product is not located on the sales floor and is not located in a stock room of the retail sales facility; track a location of the at least one product based on at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product; and output to a user at the retail sales facility, based on the tracking of the location of the at least one product, an indication of a predicted location of the least one product.", "According to one embodiment, a system for tracking out of stock items at a retail sales facility includes at least one storage means including inventory management data regarding at least one product at the retail sales facility and a control means including a processor in communication with the at least one inventory management database.", "The control means is configured to: receive inventory management data that indicates that the at least one product is not located on the sales floor and is not located in a stock room of the retail sales facility; track a location of the at least one product based on at least one inventory management factor associated with the retail sales facility and at least one worker task event associated with the at least one product; and output to a user at the retail sales facility, based on the tracking of the location of the at least one product, an indication of a predicted location of the least one product.", "Referring to FIG.", "1, one embodiment of a system 100 for tracking and/or locating out of stock products at a retail sales facility 110 is shown.", "The retail sales facility 110 may be any place of business such as a store or warehouse where consumer products are stocked and/or sold.", "The system 100 includes an inventory management electronic device 120 configured to manage product inventory at the retail sales facility 110.The inventory management electronic device 120 illustrated in FIG.", "1 may be a stationary, portable, or hand-held electronic device, for example, a desktop computer, a laptop computer, a tablet, a mobile phone, or any other electronic, processor-based device that may be configured for data entry and communication with another electronic, processor-based device located at the retail sales facility 110, or at a location remote to the retail sales facility 110 (e.g., a regional or central server configured for two-way communication with multiple retail sales facilities 110).", "The exemplary inventory management electronic device 120 shown in FIG.", "1 includes an inventory management database 140 configured to store information regarding the items 90 present at the retail sales facility 110.The inventory management database 140 may be stored, for example, on non-volatile storage media (e.g., a hard drive, flash drive, or removable optical disk) internal or external relative to the inventory management electronic device 120 or internal to computing devices (e.g., remote server) separate and distinct from the inventory management electronic device 120.The information regarding the items 90 stored on the inventory management database 140 may include various historical/statistical inventory management factors pertaining to the retail sales facility 110 and worker task events pertaining to the items 90, which are shown in FIG.", "4, and will be discussed in more detail below.", "FIG.", "1 schematically shows (via dotted lines 155, 165, and 175) that the inventory management database 140 contains information regarding the items 90 present in the product delivery area 160, stock room 170, sales floor 180, and product sale/return/claim areas 190 of the retail sales facility 110, but it will be appreciated that the inventory management database 140 may contain information regarding any items 90 present in any other area of the retail sales facility 110 and may include information relating to tasks performed by workers in any other area of the retail sales facility 110 with respect to the items 90.The items 90 may be identified in the inventory management database 140 by way of stock keeping unit (SKU) numbers or any other unique identifiers.", "It will be appreciated that the inventory management database 140 does not have to be incorporated into the inventory management electronic device 120 local to the retail sales facility 110, but may be stored on a remote (e.g., central) server in communication with the inventory management electronic device 120.An exemplary inventory management electronic device 120 depicted in FIG.", "2 is a computer-based device and includes a control circuit 210 including a processor (for example, a microprocessor or a microcontroller) electrically coupled via a connection 215 to a memory 220 and via a connection 225 to a power supply 230.The control circuit 210 can comprise a fixed-purpose hard-wired platform or can comprise a partially or wholly programmable platform, such as a microcontroller, an application specification integrated circuit, a field programmable gate array, and so on.", "These architectural options are well known and understood in the art and require no further description here.", "This control circuit 210 can be configured (for example, by using corresponding programming stored in the memory 220 as will be well understood by those skilled in the art) to carry out one or more of the steps, actions, and/or functions described herein.", "In some embodiments, the memory 220 may be integral to the control circuit 210 or can be physically discrete (in whole or in part) from the control circuit 210 and is a non-volatile data storage media such as a hard drive configured to store the inventory management database 140.The memory 220 can be configured non-transitorily store the computer instructions that, when executed by the control circuit 210, cause the control circuit 210 to behave as described herein.", "(As used herein, this reference to “non-transitorily” will be understood to refer to a non-ephemeral state for the stored contents (and hence excludes when the stored contents merely constitute signals or waves) rather than volatility of the storage media itself and hence includes both non-volatile memory (such as read-only memory (ROM)) as well as volatile memory (such as an erasable programmable read-only memory (EPROM)).)", "The control circuit 210 of the electronic device 120 is also electrically coupled via a connection 235 to an input/output 240 that can receive signals from and send signals (via a wired or wireless connection) to (e.g., commands, inventory database information) devices local to the retail sales facility 110, or one or more servers remote to the retail sales facility 110.In the embodiment shown in FIG.", "2, the control circuit 210 of the electronic device 120 is electrically coupled via a connection 245 to a user interface 250, which may include a visual display or display screen 260 (e.g., LED screen) and/or button input 270 that provide the user interface 250 with the ability to permit a user such as a stock room or sales floor associate at the retail sales facility 110 to manually control the inventory management electronic device 120 by inputting commands, for example, via touch-screen and/or button operation or voice commands.", "The display screen 260 can also permit the user to see various menus, options, and/or alerts displayed by the inventory management electronic device 120.The user interface 250 of the inventory management electronic device 120 may also include a speaker 280 that may provide audible feedback (e.g., alerts) to the user.", "With reference to FIGS.", "1-3, one method 300 of operation of the system 100 to track and located out of stock products 90 at a retail sales facility 110 will now be described.", "For exemplary purposes, the method is described in the context of the system of FIG.", "1, but it is understood that embodiments of the method may be implemented in this or other systems.", "Generally, as shown in FIG.", "3, the method 300 includes receiving, an indication that a product 90 at the retail sales facility 110 is out of stock (step 310).", "Such an indication may be received as a result of a customer approaching a sales or stocking associate at the retail sales location 110 to inform the sales or stocking associate that the product 90 is not present on a shelf on the sales floor 180 where this product 90 is normally stocked.", "Alternatively, the consumer may inform the sales or stocking associate that the product 90 is out of stock after entering an SKU or an item identifier into an inventory management device available to consumers (e.g., by scanning a floor sample of the product 90 using an inventory management scanner) and receiving an indication that the product 90 is out of stock at the retail sales location 110.Alternatively, the out of stock indication may come as a result of a sales or stocking associate either scanning the floor sample of the product 90 or otherwise entering information identifying the product 90 into the inventory management database 140 (e.g., using the inventory management electronic device 120) and receiving an alert that the product 90 is out of stock.", "In the embodiment shown in FIG.", "3, the method 300 further includes determining whether the product 90 is located on a sales floor 180 at the retail sales facility 110 (step 320).", "This determination may be made as a result of the stocking associate at the retail sales facility 110 entering identifying information regarding the out of stock item 90 into the inventory management electronic device 120, in response to which the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to send an appropriate query or signal to the inventory management database 140 internal to the inventory management electronic device 120, or to a remote inventory management database via the input/output 240.In one embodiment, the inventory management database 140, upon receiving such a query or signal including data identifying the item 90 can retrieve information regarding the current location of the item 90 at the retail sales facility 110, and more specifically, regarding whether the item 90 is presently located on a shelf on the sales floor 180 where the item 90 is normally stocked for display and sale to consumers.", "In some embodiments, if the information retrieved from the inventory management database 140 indicates that the item 90 is present on a shelf on the sales floor 180 of the retail sales facility 110, the processor of the control circuit 210 is programmed to send a signal to the display 260 and/or speaker 280 of the inventory management electronic device 120 to generate a visible alert (e.g., via the on-screen menu) or an audible alert (e.g., beep or voice command) to inform the user (e.g., stocking associate) that the item 90 is present on the shelf on the sales floor 180.It will be appreciated that instead of retrieving information related to the present location of the item 90 at the retail sales facility 110 from the inventory management database 140, such information may be retrieved by the inventory management electronic device 120 from an inventory management database remote to the retail sales facility 110.In one embodiment shown in FIG.", "3, in response to a determination by the processor of the control circuit 210 of the inventory management electronic device 120 that the product 90 is not located on the sales floor 180 at the retail sales facility 110, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to determine whether the product 90 is located in the stock room 170 at the retail sales facility 110 (step 330).", "As described above, this determination may be made as a result of the stocking associate at the retail sales facility 110 entering identifying information regarding the out of stock item 90 into the inventory management electronic device 120, in response to which the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to send an appropriate query or signal to the inventory management database 140, and the inventory management database 140, upon receiving such a query or signal including data identifying the item 90 can retrieve information regarding the current location of the item 90 at the retail sales facility 110, and more specifically, regarding whether the item 90 is presently located in the stock room 170.If the information retrieved from the inventory management database 140 indicates that the item 90 is in the stock room 170 of the retail sales facility 110, the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to send a signal to the display 260 or speaker 280 of the inventory management electronic device 120 to generate a visible alert (e.g., via the on-screen menu) or an audible alert (e.g., beep or voice command) to inform the user (e.g., stocking associate) that the item 90 is in the stock room 170.In one embodiment shown in FIG.", "3, in response to a determination by the processor of the control circuit 210 of the inventory management electronic device 120 that the product 90 is not located on the sales floor 180 or in the stock room 170 at the retail sales facility 110, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to track the location of the out of stock product 90 at the retail sales facility 110 based on at least one inventory management factor associated with the retail sales facility 110 and/or at least one worker task event associated with the product 90 (step 340).", "The inventory management factors and worker task events that the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to analyze in tracking the location of the product 90 at the retail sales facility 110 are depicted in FIG.", "4 and discussed in more detail below.", "Based on the tracking analysis by the processor of the control circuit 210 of the inventory management electronic device 120, which will be described in more detail below, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication of a perceived or predicted location of the product 90 (step 350).", "The perceived location may be a physical location at the retail sales facility 110 such as the stock room 170, sales floor 180 or any other location at the retail sales facility 110 determined by the processor of the control circuit 210 of the inventory management electronic device 120 to contain the product 90.Alternatively, the output of the tracking analysis may be an indication that the product 90 is not present at the retail sales facility 110.For example, based on the tracking analysis, the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to send signal to the display 260 or speaker 280 of the inventory management electronic device 120 to generate a visible alert (e.g., via the on-screen menu) or an audible alert (e.g., beep or voice command) to inform the user (e.g., stocking associate) that the item 90 is perceived to be in the stock room 170, on the sales floor 180, or not present at the retail sales facility 110.Such an alert would signal to the stocking associate to take appropriate action (e.g., retrieve the item 90 from the perceived location for the consumer) or inform the consumer seeking the item 90 at the retail sales facility 110 that the item 90 is not present at the retail sales facility 110.In one embodiment, when the inventory management electronic device 120 indicates (e.g., via a visual or audible alert), based on tracking the item 90, to the stocking associate that the item 90 may be stocked on a shelf on the sales floor 180 or in the stock room 170, the inventory management electronic device 120 presents the associate with an option to acknowledge the alert, for example, by pressing on the display screen 260 or on one of the inputs 270 of the inventory management electronic device 120.When the stocking associate physically confirms whether the perceived location (i.e., based on the tracking analysis) of the item 90 is correct by walking to the perceived location and attempting to retrieve the item 90 and responds to the alert by indicating (e.g., via using the inputs 270 of the inventory management electronic device 120) whether the perceived location of the item 90 is correct or incorrect, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to designate the product 90 as being located in the location perceived based on the tracking analysis, or as being in an unidentified location at the retail sales facility 110, or as being not present at the retail sales facility 110.For example, the processor of the control circuit 210 may be programmed to send a signal to the inventory management database 140 or, via the input/output 240, to an inventory management database on a remote server, to indicate the actual physical location of the item 90 at the retail sales facility 110.As such, the location of the item 90 at the retail sales facility 110 may be updated in the inventory management database 140 or remote inventory management database for the retail sales facility 110, which enables the inventory management database 140 and/or a remote database to systematically store updated information regarding the location of items 90 indicated to be out of stock at the retail sales facility 110 and to permit the associates to efficiently retrieve such items and provide them to consumers seeking to buy these items 90.An exemplary method 400 of managing and stocking of products 90 at the retail sales facility 110 will now be described with reference to FIGS.", "1 and 4.As shown generally in step 410, when a stocking associate wishes to identify the location of a product 90 indicated to the customer or the stocking associate to be out of stock (step 410), the inventory management electronic device 120 may be initially operated by the stocking associate to determine, as described above, whether the item 90 (indicated to be out of stock) is present in a primary location at the retail sales facility 110 (step 420).", "The primary location may be, for example, a shelf on the sales floor 180 at the retail sales facility 110 where the item 90 is normally offered for sale to the customers.", "If the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is present in the primary location (step 425), the tracking process ends (step 430), enabling the stocking associate to physically check the primary location in an attempt to find the item 90 and provide it to the customer.", "Alternatively, if the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is not present in the primary location (step 435), the stocking associate may then operate the inventory management electronic device 120 to determine, as described above, whether the item 90 indicated to be out of stock is present in a secondary location at the retail sales facility 110 (step 440).", "The secondary location may be a location on the sales floor 180 other than the shelf where the item 90 is normally offered for sale to the customers, such as, for example, a feature, seasonal, or discount display on the sales floor 180.In yet another alternative, instead of relying on the inventory management electronic device 120 for a determination of whether the item 90 is present in the primary location, the stocking associate may walk to the primary location of the item 90 on the sales floor and visually inspect the primary location of the item 90 on the sales floor 180 to determine whether the item 90 indicated to be out of stock is present at the primary location.", "Upon the visual inspection, the stocking associate may then enter information into the inventory management system 100 using the inventory management electronic device 120 to indicate whether the item 90 is or is not present at the primary location at the retail sales facility 110.If the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is present in the secondary location (step 445), the tracking process ends (step 450), enabling the stocking associate to physically check the secondary location in an attempt to find the item 90 and provide it to the customer.", "Alternatively, if the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is not present in the secondary location (step 455), the stocking associate may then operate the inventory management electronic device 120 to determine, as described above, whether the item 90 indicated to be out of stock is present in the back room or stock room 170 at the retail sales facility 110 (step 460).", "If the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is present in the stock room 170 (step 465), the tracking process ends (step 470), enabling the stocking associate to physically check the stock room 170 in an attempt to find the item 90 and provide it to the customer.", "Alternatively, if the inventory management electronic device 120 indicates (e.g., via a displayed message, beep, or spoken words as discussed above) to the stocking associate that the item 90 is not present in the stock room 170 (step 475), the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to track the location of the item 90 at the retail sales facility 110 using predetermined inventory management factors 480 associated with the retail sales facility 110, as shown in FIG.", "4.It will be appreciated that the ten inventory management factors depicted in FIG.", "4 are shown by way of example only, and the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to analyze any one or more of these inventory management factors (or additional inventory management factors) to determine a predicted location of an out of stock item 90 at the retail sales facility 110.It will appreciated that the tracking of the out of stock items 90 at the retail sales facility 110 may be performed without requiring any calculation or analysis by the processor of the control circuit 210 of the inventory management electronic device 120, and that the system 100 may be configured such that the tracking of the out of stock items 90 may be performed by an inventory management computing device remote to the retail sales facility 110 and in communication with the inventory management electronic device 120.In such embodiments, the tracking analysis of the out of stock item 90 may be performed by the inventory management computing device remote to the grocery location 110 (based on data stored in the inventory management database 140 or a remote inventory management database), and may be stored at the remote inventory management database or in the inventory management database 140 until a time when a user uses the electronic computing device 120 to request and retrieve the perceived location of the out of stock item 90 from the remote inventory management database or the inventory management database 140, as appropriate.", "With reference to FIG.", "4, the exemplary inventory management factors that may be used for the tracking of the out of stock items 90 are: (1) mis-rings; (2) shipping error; (3) sales floor quantity versus shelf cap; (4) change in on-hand inventory at the retail sales facility; (5) bin accuracy; (6) perpetual inventory accuracy; (7) modular integrity; (8) shrink; (9) replenishment method; and (10) pick completion.", "Each factor is discussed in more detail below.", "Factor 1, Mis-Rings, refers to the data stored in the inventory management database 140 indicating that a cashier at a cash register did not correctly scan an item 90 (or did not for some reason scan all of the items 90) during a sale or return of the item 90.In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a higher value of Mis-Rings at the retail sales facility 110 as representing a higher likelihood that the system-indicated location/status of the item 90 is incorrect, and that the item 90 may be out of stock and not present at the retail sales facility 110.Factor 2, Shipping Error, refers to the data stored in the inventory management database 140 indicating that the number of items 90 indicated in shipping slips or in the system inventory database as being shipped to the retail sales facility 110 was incorrect.", "For example, a shipping error may be generated when the system indicates that 1000 items 90 were shipped to the retail sales facility 110 when in fact it was determined that only 980 or 990 items 90 were shipped to the retail sales facility 110.In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a higher value of Shipping Error at the retail sales facility 110 as representing a higher likelihood that the system-indicated location/status of the item 90 is incorrect, and that the item 90 may be out of stock and not present at the retail sales facility 110.Factor 3, SF>SC (sales floor quantity greater than shelf cap), refers to the data stored in the inventory management database 140 indicating that the number of items 90 indicated to be on the sales floor 180 at the retail sales facility 110 is greater than the shelf space where the items 90 are typically stored.", "In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a higher number of SF>SC occurrences at the retail sales facility 110 as representing a higher likelihood that the system-indicated location of the item 90 as being out of stock is incorrect, and that the item 90 may be elsewhere on the sales floor 180 or in another area of the retail sales facility 110.Factor 4, OH Delta (on-hand change), refers to the data stored in the inventory management database 140 indicating a change in the total number of items 90 in stock (i.e., on-hand) at the retail sales facility 110.In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a higher OH Delta value as representing a higher likelihood that the system-indicated location of the item 90 as being on the sales floor 180 of the retail sales facility 110 is incorrect, and that the item 90 is may be out of stock at the retail sales facility 110.Factor 5, Bin Accuracy, refers to the data stored in the inventory management database 140 reflecting the accuracy of the systematic indication of the location of an item 90 by the stocking associates at the retail sales facility 110.For example, if the number of items 90 entered into the system as being present in a storage bin by a stocking associate corresponds to actual number of items 90 in the storage bin when physically checked, or when the system indicates that the item 90 is not present in a storage bin and the item 90 is actually not present in the storage bin when physically checked, the bin accuracy value would be high for the retail sales facility 110, and vice versa.", "In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a lower Bin Accuracy value as representing a higher likelihood that the system-indicated location and status of the item 90 at the retail sales facility 110 is incorrect and vice versa.", "Factor 6, PI Accuracy (or perpetual accuracy), refers to the data stored in the inventory management database 140 reflecting the accuracy of the inventory location designation by the stocking associates at the retail sales facility 110.This factor generally indicates whether the items 90 are generally located where the system indicates they are located.", "In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a lower PI Accuracy value as representing a higher likelihood that the system-indicated location and status of the item 90 at the retail sales facility 110 is incorrect and vice versa.", "Factor 7, Mod Integrity (or modular planogram integrity), refers to the data stored in the inventory management database 140 indicating how correctly the stocking associates at the retail sales facility 110 stock the shelf on the sales floor 180 with the items 90 and/or how correctly the shelf on the sales floor 180 is set up for the items 90.This factor generally indicates whether the actual layout of the items 90 on the sales floor of the retail sales facility 110 corresponds to the layout of the items 90 according to the inventory management system 10.In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a lower PI Accuracy value as representing a higher likelihood that the system-indicated location and status of the item 90 at the retail sales facility 110 is incorrect and vice versa.", "Factor 8, shrink, indicates how often items 90 go missing or unaccounted for at the retail sales facility 110 (e.g., as a result of theft, supplier mistakes, or other paperwork errors).", "In some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a higher shrink value as representing a higher likelihood that the item 90 is not present at the retail sales facility 110 and vice versa.", "Factor 9, Replen Method (or replenishment method) indicates whether the item 90 moves quickly or slowly and is replenished quickly or slowly at the retail sales facility 110.The replenishment method may be indicated as a high velocity distribution center (HVDC) or a regional distribution center (RDC).", "If the replenishment method is indicated as HVDC, the item 90 is replenished quickly and has high turnover, while an RDC replenishment method is indicative of items 90 that have low turnover and move slowly.", "Accordingly, in some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret an HVDC replenishment method as generally indicating a higher likelihood that the system-indicated location or status of the item 90 at the retail sales facility 110 is incorrect, and to interpret the RDC replenishment method as generally indicating a higher likelihood that the system-indicated location or status of the item 90 at the retail sales facility 110 is correct.", "Factor 10, Pick Completion, indicates how often when the system indicates to an associate to pick an item 90 (e.g., from a storage bin) that the associate actually completes the pick.", "For instance, a low pick completion percentage may indicate that a stocking associate is not operating efficiently but likely honestly; an average pick completion may indicate that a stocking associate is operating within the norm of expected efficiency and honesty; a high pick completion may indicate that an associate may be entering into the system that the associate picked an item while the associate is not physically picking the item.", "Thus, in some embodiments, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to interpret a high pick completion percentage at the retail sales facility 110 as indicative of a higher likelihood that the system-indicated location or status of the item 90 at the retail sales facility 110 is incorrect.", "In the exemplary embodiment illustrated in FIG.", "4, in addition to the ten exemplary inventory management factors discussed above based on which a perceived location of the out of stock item 90 may be tracked at the retail sales facility 110, the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to track the location of the item 90 at the retail sales facility 110 using predetermined worker task events 490 associated with the product 90.It will be appreciated that the nine worker task events 490 depicted in FIG.", "4 are shown by way of example only, and any one or more of these worker task events 490 (or additional worker task events) may be analyzed by the processor of the control circuit 210 of the inventory management electronic device 120 to determine a perceived location of an out of stock item 90 at the retail sales facility 110.The exemplary worker task events are identified in FIG.", "4 by numbers that continue the numbering from the last inventory management factor and are as follows: (11) time stamp of last sale of the item 90 to a customer; (12) time stamp of last customer return of the item 90; (13) time stamp of last customer claim for the item 90 (i.e., product indicated as not sellable); (14) time stamp of last binning or unbinning of the item 90; (15) time stamp of last feature set or unset of the item 90 (i.e., product is displayed as a featured item on the sales floor 180); (16) time stamp of last delivery of the item 90; (17) time stamp of last scan of the item 90; (18 ) time stamp of last price change of the item 90; and (19) time stamp of pick of the item 90.In one embodiment, the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to track the location of the item 90 at the retail sales facility 110 using the inventory management factors 480 and the worker task events 490 described above and output a perceived location of the item 90 at the retail sales facility 110 based on the tracking analysis.", "Some exemplary output of the processor of the control circuit 210 of the inventory management electronic device 120 based on the above-discussed inventory management factors (F1, F2, F3, etc.)", "and worker task events (T11, T12, T13, etc.)", "are discussed below.", "It will be appreciated that output of the processor of the control circuit 210 of the inventory management electronic device 120 regarding the perceived location of an out of stock item 90 at the retail sales facility 110 may be based on many different combinations of one or more of the above-discussed inventory management factors 480 and/or worker task events 490, or may be based on combinations including additional inventory management factors 480 and additional worker task events 490 suitable for tracking a location of the out of stock item 90 at the retail sales facility 110.For example, the processor of the control circuit 210 of the inventory management electronic device 120 may be programmed to output that the item 90 is not present (i.e., out of stock) at the retail sales facility 110 if at least one of the following eight conditions is met during the tracking analysis: (1) if bin accuracy (F5) or perpetual accuracy (F6) or modular planogram integrity (F7) or pick completion percentage (F10) are low, and shrink (F8) or shipping error (F2) or mis-rings (F1) are high; (2) if time stamp of last delivery (T16) is newest and then the time stamp of last sale (T11) is newest, and on-hand delta (F4) is low; (3) if shrink (F8) is high; (4) if time stamp of last scan is newest (T17), and then timestamp of last sale is newest (T11), and then time stamp of last delivery (T16) is newest; (5) if the replenishment method (F9) is RDC assembly; (6) if on-hand delta (F4) is high and/or perpetual accuracy (F6) is low; (7) if time stamp of last price change newest (T18) and on-hand delta (F4) is high and/or perpetual accuracy (F6) is low; and (8) if the answer is “no” on all worker task events.", "Some other exemplary outputs of the control circuit 210 of the inventory management electronic device 120 and the inventory management factors 480 and worker task events 490 contributing to such outputs are described below.", "If SF>SC (F3) and time stamp of last delivery is newest (T16), and then time stamp of last pick is newest (T19), or time stamp of last sale is newest (T11), or time stamp of last claim is newest (T13), or time stamp of last bin or unbin is newest (T14), or time stamp of last return is newest (T12), or time stamp of last scan is newest (T17), then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the unworked freight.", "If the replenishment method (F9) is determined to be HVDC, then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in unbinned locations or previous binned area of the retail sales facility 110.If the time stamp of last claim is newest (T13), then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the claims area of the retail sales facility 110.If the time stamp of last return is newest (T12), then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the claims or returns area of the retail sales facility 110.If the time stamp of last pick is newest (T19) and pick completion (F10) is high, then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the pick carts in the stock room 170 of the retail sales facility 110.If modular planogram integrity (F7) is low, then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in an area near the primary location on the sales floor 180 of the retail sales facility 110.If the time stamp of last pick is newest (T19) and pick completion (F10) or bin accuracy (F5) are low, then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the bin where the item 90 was indicated to be previously stored at the retail sales facility 110.If the time stamp of last bin or unbin is newest (T14) and pick completion (F10) or bin accuracy (F5) are low, then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in the bin where the item 90 was indicated to be previously stored at the retail sales facility 110.If the time stamp of last feature set newest (T15), then the processor of the control circuit 210 of the inventory management electronic device 120 is programmed to output an indication to a stocking associate to look for the item 90 in feature locations on the sales floor 180 or unbinned in the stock room 170 of the retail sales facility 110.In one approach, the processor of the control circuit 210 of the inventory management device 120 is programmed to monitor whether one or more worker task events 490 entered into the inventory management database 140 as being completed was in fact physically completed.", "Based on this monitoring, the processor of the control circuit 210 of the inventory management device 120 is programmed to generate in the inventory management database 140 at least one data point indicating whether the one or more worker task event entered into the inventory management database 140 as being completed was physically completed.", "In addition, the processor of the control circuit 210 of the inventory management device 120 is programmed to generate a report including at least one data point over a predetermined period of the monitoring.", "The processor of the control circuit 210 of the inventory management device 120 is programmed to use such data points to generate an alert for items 90 for which a worker task was entered into the inventory management database 140 as being completed but was in fact not completed.", "In addition, such data points can be used to determine a metric for the quality of stocking work by the inventory management associates at the retail sales facility 110.As described herein, the system and methods described herein provide for easy and efficient tracking and retrieval of out of stock inventory at a retail sales facility.", "As discussed above, in a response to an indication that an item is out of stock at the retail sales facility, the methods and systems described herein can track the location of the out of stock item using one or more inventory management factors and worker task events described above and output an accurate perceived location of the item at the retail sales facility based on the tracking analysis.", "This advantageously improves the efficiency of associates in determining whether items indicated to be out of stock are present at the retail sales facility, and if so, facilitates the stocking associates in tracking down and retrieving the items sought by the consumers and providing the retrieved items to the consumers.", "Those skilled in the art will recognize that a wide variety of other modifications, alterations, and combinations can also be made with respect to the above described embodiments without departing from the scope of the invention, and that such modifications, alterations, and combinations are to be viewed as being within the ambit of the inventive concept." ] ]
Patent_15875760
[ [ "Electronic Method and System that Improves Efficiencies for Rendering Diagnosis of Radiology Procedures", "An electronic method and system for improving radiologists' efficiencies when viewing radiology procedures and rendering diagnosis in a manner that emulates current methods and apparatus.", "The method of the present invention includes reviewing electronic radiology images and reports contained in a patient's digital master folder (an information object invented to manage the patient's radiology information), comparing images from the current procedure to specific images from prior procedures in a specified order, and dictating the procedure's diagnosis into the digital master folder.", "The apparatus of the present invention includes a flat panel monitor for the viewing and manipulation of digital master folders, a dictation trackball device for manual and voice enabled operation and navigation of the system, and multiple high-resolution computer monitors functioning as a “light-box” for the viewing of radiology images." ], [ "1.An electronic method of improving the efficiency of a radiologist, comprising the steps of: a) providing a system that includes a computer and a plurality of monitors interfaced with the computer, each monitor for displaying an image; b) using at least one of the monitors to display electronic radiology images; c) using at least one of the monitors to simulate a digital graphical representation of a patient's manual master folder comprising the steps of: (i) generating by a software application the digital graphical representation of the patient's manual master folder as an image on the monitor designed for use as a graphical user interface by the radiologist; (ii) generating data fields on the digital graphical representation including patient information, medical procedures information and radiologist information regarding radiology procedures associated with such patient stored in an information database; (iii) providing information and hyperlinks to radiology reports and images in a default electronic layout conforming to the layout of the patient's manual master folder and tailored to a user; and (iv) including hyperlinks within at least one data field to view additional information or images relating to a patient's medical records when clicked; d) using a hyperlink to open the folder displayed in step “c” to display information contained in the folder; and e) using a hyperlink that accesses the folder to display a radiology image from a radiology procedure to permit diagnosis.", "2.The method of claim 1 wherein in step “d” a voice activated command is used to open the patient's master folder.", "3.The method of claim 1 wherein in step “d” an input device is used to open the patient's master folder.", "4.The method of claim 1 further comprising the step of providing a combination dictation and input device, and wherein in step “d” a user can selectively use either a voice activated command or the input device to open the patient's master folder.", "5.The method of claim 1 further comprising the step of using the computer to interface the monitors and the hyperlink.", "6.The method of claim 4 further comprising the step of using the computer to interface the monitors and the combination dictation and input device.", "7.The method of claim 1 wherein there are two monitors in step “c” that are used to display electronic radiology images.", "8.The method of claim 1 wherein the monitor in step “c” that is used to display electronic radiology images is a high resolution monitor.", "9.The method of claim 7 wherein the monitors in step “c” that are used to display electronic radiology images is a high resolution monitor.", "10.The method of claim 1 wherein in step “b” the image viewed is an ultrasound image.", "11.The method of claim 1 wherein in step “b” the image viewed is a magnetic resonance image.", "12.The method of claim 1 wherein in step “b” the image viewed is a computer tomography image.", "13.The method of claim 1 wherein in step “b” the image viewed is a digital image.", "14.The method of claim 1 wherein in step “b” the image viewed is a nuclear medicine image.", "15.A method for reviewing electronic radiology information including patient demographics, radiology procedures, radiology reports and radiology images, comprising the steps of: a) loading the radiology information associated with a selected group of patients that are assigned to a selected radiologist into a computer memory; b) generating by a software application an image of a radiology manual master folder on an area of a computer display for use as a graphical user interface by the radiologist; c) generating data fields associated with a digital master folder on the image of a patient's manual master folder including patient's name, medical record number, date of birth, sex, and information regarding procedures associated with such patient stored in an information database including date, type of procedure, report, and radiologist, the digital master folder designed for use by a radiologist; d) displaying the information associated with the patient from computer memory in data fields on the computer display in a default electronic layout conforming to the layout of the patient's manual master folder; e) providing hyperlinks within the procedure and report data fields to view additional information or images relating to a patient's medical records when clicked, the information and images displayed in electronic formats and configurations tailored to a radiology practice; f) clicking on the report field, displays a new window that contains the text of the report and a link to the digitally recorded dictation of the report, that when clicked will play the recording; g) placing a cursor over the report field on the digital master folder, to display summary information of the report; h) clicking on the procedure field to send a command to a viewing portal to load the procedures and images that meet the criteria of the radiologist's file; i) viewing the radiology images from radiology procedures; j) generating a searchable and selectable list of patients that have procedures assigned to the radiologist on the computer display; and k) providing commands that navigate through the stack of master folders, displaying information associated with a new patient in a data field on the computer display from computer memory.", "16.An apparatus to access, store, and distribute electronic radiology information including patient demographics, radiology procedures, radiology reports and radiology images comprising: a) an information database including patient demographics, radiology identification number, procedures, images, reports, orders and appointments; b) means for transmitting and receiving the information between computers connected to a computer network via extensible markup language (XML); c) means for searching for a plurality of user specified types of information contained in the information database; d) means for generating by a software application a digital master folder representation of a patient's manual master folder for displaying the specified types of information over a monitor of a computer connected to the computer network, the digital master folder representation designed for use as a graphical user interface by a radiologist; e) means for generating data fields on the digital master folder representation including radiology reports and images information regarding radiology procedures associated with such patient stored in the information database; f) means for viewing radiology images from a radiology procedure; g) means for displaying the radiology reports and images in an electronic layout conforming to the layout of the patient's manual master folder and tailored to a user; and h) means for providing hyperlinks within at least one data field to view additional information or images relating to a patient's medical records when clicked.", "17.A multi-monitor radiology image viewing system comprising: a) a plurality of monitors; b) a combination dictation and input device that includes a hyperlink to view a patients' information and medical images on separate monitors comprising: c) a radiology portal that includes a monitor and a computer for the searching and that includes viewing medical information, the medical information displayed over the monitor by generating by a software application a digital master folder representation of a patient's manual master folder, the digital master folder representation designed for use as a graphical user interface by a radiologist; d) said digital master folder representation providing data fields included within the digital master folder representation providing information and links to radiology reports and images regarding radiology procedures associated with such patient stored in an information database in an electronic layout conforming to the layout of the patient's manual master folder and tailored to a user, such links providing for the viewing of additional information or images relating to a patient's medical records when clicked; e) said viewing portal consisting of at least two monitors designed for the viewing of a plurality of radiology images including digital images, computer tomography, ultrasound, nuclear medicine, and magnetic resonance images; and f) said combination dictation and input device including a voice component that issues operational and navigational commands to the radiology portal and viewing portal by providing speech recognition for the creation of dictated radiology reports.", "18.The system of claim 17, wherein the radiology portal consists of a monitor and computer for the searching and viewing of medical information stored internal and external to the system.", "19.The system of claim 17, wherein the radiology portal consists of a touch screen monitor and computer for searching and viewing of medical information stored internal and external to the system.", "20.The system of claim 17, wherein the radiology portal consists of a flat panel monitor and computer for searching and viewing of medical information stored internal and external to the system.", "21.The system of claim 17, wherein the radiology portal consists of a touch screen flat panel monitor and computer for searching and viewing of medical information stored internal and external to the system.", "22.The system of claim 17, wherein the viewing portal consists of a single high-resolution monitor designed for the viewing of a plurality of radiology images including digital images, computer tomography, ultrasound, nuclear medicine, and magnetic resonance images.", "23.The system of claim 17, wherein the viewing portal consists of a single high-resolution computer monitor.", "24.The system of claim 17, wherein the viewing portal consists of two high-resolution computer monitors.", "25.The system of claim 17, wherein the viewing portal consists of four high-resolution monitors designed for the viewing of a plurality of radiology images including digital images, computer radiology, computer tomography, ultrasound, nuclear medicine, and magnetic resonance images.", "26.The system of claim 17, wherein the viewing portal includes six high-resolution monitors for the viewing of a plurality of radiology images including digital images, computer tomography, ultrasound, nuclear medicine, and magnetic resonance images.", "27.The system of claim 17, wherein the viewing portal consists of eight high-resolution monitors designed for the viewing of a plurality of radiology images including digital images, computer tomography, ultrasound, nuclear medicine, and magnetic resonance images.", "28.The system of claim 17, wherein the combination dictation and input device includes a separate mouse and microphone." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> BRIEF SUMMARY OF THE INVENTION <EOH>The present invention provides an electronic method of improving the efficiency of a radiologist and of a radiology department.", "With the present invention, a plurality of computer monitors are provided for displaying various images.", "At least one of the monitors simulates a radiology “light box”, roto viewer, or like device for displaying electronic radiology images.", "At least one of the monitors is used to display a digital graphical representation of a patient's folder, namely a digital master folder.", "A hyperlink is used to open the report and to open different “pages” of the patient's master folder.", "In one embodiment, a voice activated command can be used to open the patient's master folder or to open “pages” of the patient's folder.", "In another embodiment, a track ball device such as a computer mouse can be used to open the patient's master folder or “pages” contained within the folder.", "In another embodiment, the radiologist/user is provided with a combination microphone/track ball device that enables the radiologist or user to open the patient's master folder or components thereof using either voice activated commands or the track ball device.", "A touch screen device hyperlink can also be used to open a patient's folder or report." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "14/703,362 filed May 4, 2015, which is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "14/208,864 filed Mar.", "13, 2014, which is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "13/954,310 filed Jul.", "30, 2013, which is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "13/527,924 filed Jun.", "20, 2012, which is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "12/684,289 filed Jan. 8, 2010, which is a continuation of and claims the benefit of U.S. Non-Provisional patent application Ser.", "No.", "12/069,468 filed Feb. 11, 2008, which is a continuation of and claims the benefit of Non-Provisional patent application Ser.", "No.", "09/726,475 filed Nov. 30, 2000, which further claims the benefit of U.S.", "Provisional Application No.", "60/168,106 filed Nov. 30, 1999.The subject matter of those applications is incorporated by this reference.", "STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT Not applicable REFERENCE TO A “MICROFICHE APPENDIX” Not applicable BACKGROUND OF THE INVENTION 1.Field of the Invention The present invention relates to a method and apparatus for improving radiologists' efficiencies when viewing radiology procedures and rendering diagnosis.", "2.General Background of the Invention Typically, every radiology office uses a dictating machine for the preparation of reports, a stack of master folders that contain patient information, and a light box, roto viewer, or like device for viewing radiology images.", "These images can include for example x-rays, ultrasound, magnetic resonance imaging (MRI), computer tomography, nuclear medicine images and the like.", "The manual file folder system employed by radiologists and radiology departments is inefficient and cumbersome.", "BRIEF SUMMARY OF THE INVENTION The present invention provides an electronic method of improving the efficiency of a radiologist and of a radiology department.", "With the present invention, a plurality of computer monitors are provided for displaying various images.", "At least one of the monitors simulates a radiology “light box”, roto viewer, or like device for displaying electronic radiology images.", "At least one of the monitors is used to display a digital graphical representation of a patient's folder, namely a digital master folder.", "A hyperlink is used to open the report and to open different “pages” of the patient's master folder.", "In one embodiment, a voice activated command can be used to open the patient's master folder or to open “pages” of the patient's folder.", "In another embodiment, a track ball device such as a computer mouse can be used to open the patient's master folder or “pages” contained within the folder.", "In another embodiment, the radiologist/user is provided with a combination microphone/track ball device that enables the radiologist or user to open the patient's master folder or components thereof using either voice activated commands or the track ball device.", "A touch screen device hyperlink can also be used to open a patient's folder or report.", "BRIEF DESCRIPTION OF THE DRAWINGS For a further understanding of the nature, objects, and advantages of the present invention, reference should be had to the following detailed description, read in conjunction with the following drawings, wherein like reference numerals denote like elements and wherein: FIG.", "1 is a screen capture image showing a patient's radiology master folder that displays basic patient information including name, number, and study date; FIG.", "2 is a screen capture image showing the report, displayed by double clicking on the report hyperlink; FIG.", "3 is a screen capture image showing the audio graphical display generated by the audio hyperlink 15; FIG.", "4 is a screen capture image showing a modality subfolder generated by double clicking on the ultrasound header 17; FIG.", "5 is a screen capture image that shows radiology images in twelve up format; FIG.", "6 is a screen capture image that shows radiology images in four up format; FIG.", "7 is a screen capture image that shows radiology images in cine mode; FIG.", "8 is a screen capture image that shows a cine set display; FIG.", "9 is a screen capture image that shows radiographic images, showing current chest x-rays views, on the two high resolution monitors with the roto viewer window displayed; FIG.", "10 is a screen capture image that shows chest x-ray views current and most recent with the roto viewer window displayed; FIG.", "11 is a screen capture image that shows radiographic images demonstrating picture zoom; FIG.", "12 is a screen capture image showing radiographic images without the roto viewer; and FIG.", "13 is a screen capture image showing multiple images using the roto viewer.", "DETAILED DESCRIPTION OF THE INVENTION FIG.", "1 shows a digital representation of a patient folder designated generally by the numeral 10.Patient folder 10 shows two hyperlinks 11, 12.At the top center of the folder 10, a header 17 indicates that this folder can, for example, relate to an ultrasound.", "The folder could relate to their radiology procedures.", "By clicking on the ultrasound hyperlink, the window shown in FIG.", "1 is opened providing a report display area 13 to be filled with the report information in text form as dictated by a radiologist.", "When a radiologist double clicks on the report hyperlink 12 in FIG.", "1, the screen in FIG.", "2 is displayed which is the report screen 14 containing patient information and the substance of the report.", "Audio hyperlink 15 can be double clicked using the computer mouse in order to actually hear the report.", "When a user double clicks on the hyperlink 15 in FIG.", "2, the display in FIG.", "3 is shown on the screen having a graphical display for the audio.", "When the radiologist double clicks on the ultrasound header 17 in FIG.", "1, the screen provided in FIG.", "4 has a modality subfolder 18 having a hyperlink 19.When the radiologist uses the computer mouse to double click on the exam hyperlink in FIG.", "4, actual radiology images as shown in FIG.", "5 are displayed.", "In FIG.", "5, a left computer monitor 20 and a right computer monitor 21 are shown.", "In FIG.", "5, a “twelve up” configuration is shown in each of the monitors 20, 21.In FIG.", "6, a “four up” configuration is shown in each of the monitors 20, 21.In FIG.", "7, a cine mode is shown for each of the monitors 20, 21.In the cine mode, sets of images are grouped and maintained in that grouping on a selected screen 20 or 21.FIG.", "8 is a screen capture image that shows a cine set display.", "FIGS.", "9-12 show the use of the method and apparatus of the present invention to display full size radiology images, namely one image per screen 20, 21.In FIG.", "9, as an example, image 31 is a left image, current PA for a patient.", "The right image in FIG.", "9 is an image that is a current lateral for the patient.", "To the left hand side in the screen capture of FIG.", "9, a roto viewer 22 is also shown.", "The roto viewer in FIG.", "9 is comprised of two columns of boxes, each column containing a set of windows, each window can be designated by the numeral 25.The windows 25 can be scrolled to display various radiology images as further shown in FIGS.", "9 and 10.In FIGS.", "9 and 10, the upper left window box of roto viewer 22 is designated by the numeral 23.The upper right window box is designated by the numeral 24.The screen captures of FIGS.", "9 and 10 illustrate examples of radiology images that can be displayed using the method and apparatus of the present invention.", "As shown in FIG.", "10, the roto viewer 22 can include different groups of images in each of the window boxes 23, 24, 25 or 26.In FIG.", "10, the window 23 contains those images which are displayed in the left hand computer monitor 20.The window box 24 of roto viewer 22 contains images which are displayed in the right hand monitor 21 in FIG.", "10.Other images can be contained in other window boxes of the roto viewer 22 as shown in FIG.", "10, just below window boxes 23, 24.Each of these images 33, 34 appear in the roto viewer window boxes 23, 24.The roto viewer 22 can be moved about the screen by dragging it.", "In FIG.", "11, the zoom feature of the present invention is illustrated.", "Each of the screens 20, 21 display a zoomed image.", "The monitor 20 displays image 36.The monitor 21 displays image 39.At the lower left hand corner of each of the screens 20, 21, there is provided a picture in a picture display.", "This picture in a picture display includes the smaller picture 35 showing a chest x-ray as an example.", "The viewing area 37 represents that portion of the chest x-ray 35 that is to be displayed in zoom format as image 36 in FIG.", "11.Similarly, the monitor 21 displays at its lower left hand corner a picture in picture 38 having viewing area 40 that designates the zoom area of the chest x-ray 38.The image 39 is that portion of the image contained within viewing area 40 and which has been enlarged to fill substantially all of screen 21.FIG.", "12 illustrates monitors 20, 21 with the roto viewer 22 removed.", "In FIG.", "13, the group of windows 27, 28, 29 and 30 represent different cine sets or groups of images that have been purposely grouped together by the radiologist.", "Each of the windows 27-30 can be moved about within the roto viewer to move the displayed images around the screens 20, 21.PARTS LIST Part No.", "Description 10 folder 11 hyperlink (ultrasound) 12 hyperlink (report) 13 report display area 14 report 15 audio hyperlink 16 graphical display - audio 17 ultrasound header 18 modality subfolder 19 hyperlink 20 left monitor 21 right monitor 22 roto viewer 23 left window box 24 right window box 25 windows/roto viewer 26 windows/roto viewer 27 windows group 28 windows group 29 windows group 30 windows group 31 left image/current PA 32 right image/current lateral 33 left image/current PA 34 right image/most recent lateral 35 picture in picture 36 image - zoom 37 view area 38 picture in picture 39 image - zoom 40 view area The foregoing embodiments are presented by way of example only; the scope of the present invention is to be limited only by the following claims." ] ]
Patent_15875763
[ [ "ELECTRICALLY HEATED GARMENT", "An article of clothing including a garment body and a heating system coupled to the garment body.", "The heating system includes a plurality of heating zones configured to heat different portions of the garment body.", "A battery pack supplies power to the heating system.", "A controller selectively provides power from the battery pack to the multiple heating zones.", "A user input member is provided for selecting a mode of the controller." ], [ "1.An article of clothing comprising: a garment body; a heating system coupled to the garment body, the heating system including a plurality of heating zones configured to heat different portions of the garment body; a power tool battery pack for supplying power to the heating system and operable to power a power tool, the power tool battery pack having a nominal voltage of at least about 10.8 volts, the power tool battery pack including at least three cells, each cell having a nominal voltage of between about 3.6 volts and about 4.2 volts, and each cell having a capacity rating of at least 1.2 Ah; a controller for selectively providing power from the battery pack to the heating zones; and a user input member for selecting a mode of the controller.", "2.The article of clothing of claim 1, wherein the user input member includes a first zone control button and a second zone control button to selectively control a respective first heating zone and a second heating zone of the multiple heating zones, wherein the first zone control button selectively switches the first heating zone between an on mode and an off mode, wherein the on mode includes a plurality of selectable thermal output levels.", "3.The article of clothing of claim 1, wherein the user input member includes a display portion, wherein the display portion is illuminated, wherein the illumination indicates a control mode of each heating zone, and wherein illumination indicates a thermal output level of each heating zone.", "4.The article of clothing of claim 1, wherein the controller is configured to monitor a condition of each heating zone, wherein each heating zone is defined by at least one heater array, and wherein a first heater array is disposed in both a left portion and a right portion of a torso body of the garment body, and a second heater array is disposed in a back portion of the garment body.", "5.The article of clothing of claim 1, wherein each of the cells has a capacity rating of about 3.0 Ah.", "6.The article of clothing of claim 1, wherein the power tool battery pack has a nominal voltage of about 12 volts.", "7.An article of clothing comprising: a garment body; a heater coupled to the garment body; a battery holder separate and removable from the garment body and providing a support and a battery terminal, the battery holder being selectively electrically and mechanically disconnectable from the heater; a rechargeable power tool battery pack including a latching arrangement, the rechargeable power tool battery pack being operable to power a power tool, the rechargeable power tool battery pack being supportable by the support for electrical connection to the battery terminal and detachably coupled to the battery holder, the rechargeable power tool battery pack having a nominal voltage of at least 10.8 volts, the power tool battery pack including a plurality of cells, each of the cells having a nominal voltage between about 3.6 and 4.2 volts, and each of the cells having a capacity rating of at least about 1.2 Ah; a controller selectively providing power from the rechargeable power tool battery pack to the heater; and a user input member coupled to the garment body, the user input member for selecting a mode of the controller.", "8.The article of clothing of claim 7, wherein the heater includes a plurality of heating zones configured to heat different portions of the garment body, wherein the user input member includes an illuminated display portion, and wherein illumination of the display portion indicates a thermal output level of each heating zone.", "9.The article of clothing of claim 7, wherein the heater includes a plurality of heating zones configured to heat different portions of the garment body, wherein each heating zone is defined by at least one heater array, and wherein a first heater array is disposed in both a left portion and a right portion of a torso body of the garment body, and a second heater array is disposed in a back portion of the garment body.", "10.The article of clothing of claim 7, wherein each of the cells has a capacity rating of about 3.0 Ah.", "11.The article of clothing of claim 7, wherein the plurality of cells may be made up of at least three cells.", "12.An article of clothing comprising: a garment body; a heater coupled to the garment body; a cord electrically connected to the heater and including a cord connector terminal; a battery holder comprising a unit separate and removable from the garment body defining a receptacle and a battery terminal, the battery holder including a holder connector terminal, the battery holder being electrically coupled to the heater via electrical connection of the cord connector terminal and the holder connector terminal; a rechargeable power tool battery pack slidably received by the receptacle and including a latch arrangement, the rechargeable power tool battery pack being operable to power a power tool, the rechargeable power tool battery pack being electrically connected to the battery terminal and detachably coupled to the battery holder, the rechargeable power tool battery pack having a nominal voltage of at least about 10.8 volts, the power tool battery pack including a plurality of cells, each cell having a nominal voltage of between about 3.6 volts and about 4.2 volts, and each cell having a capacity rating of at least 1.2 Ah; a user input member coupled to the garment body; and a controller for selectively providing power from the rechargeable power tool battery pack to the heater in response to control signals from the user input member, the controller causing the heater to enter a first mode in response to a first signal from the user input member, and selectively switching the heater to a second mode in response to a second signal from the user input member.", "13.The article of clothing of claim 12, wherein the heater includes a plurality of heating zones configured to heat different portions of the garment body, wherein the user input member includes an illuminated display portion, and wherein illumination of the display portion indicates a thermal output level of each heating zone.", "14.The article of clothing of claim 12, wherein the heater includes a plurality of heating zones configured to heat different portions of the garment body, wherein each heating zone is defined by at least one heater array, and wherein a first heater array is disposed in both a left portion and a right portion of a torso body of the garment body, and a second heater array is disposed in a back portion of the garment body.", "15.The article of clothing of claim 12, wherein the rechargeable power tool battery pack has a nominal voltage of no more than about 14.4 volts.", "16.The article of clothing of claim 12, wherein the rechargeable power tool battery pack has a nominal voltage of about 12 volts.", "17.The article of clothing of claim 12, wherein the plurality of cells may be made up of at least three cells.", "18.The article of clothing of claim 12, wherein each of the cells has a capacity rating of about 3.0 Ah." ], [ "<SOH> BACKGROUND OF INVENTION <EOH>The present invention relates to garments, and in particular, to an electrically heated jacket for providing heat to a user wearing the jacket.", "Garments, especially outwear such as jackets and parkas, may be insulated to protect a user from the cold.", "Insulated jackets rely on the user's own body heat to keep the user warm.", "If the insulation is too thin, the user may be cold.", "If the insulation is too thick, the user may overheat." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>In one embodiment, the invention provides an article of clothing including a garment body and a heating system coupled to the garment body.", "The heating system includes a plurality of heating zones configured to heat different portions of the garment body.", "A battery pack supplies power to the heating system.", "A controller selectively provides power from the battery pack to the multiple heating zones.", "A user input member is provided for selecting a mode of the controller.", "In another embodiment, the invention provides a method of operating a garment heated by a first electric heater and a second electric heater, powered by a battery pack, and controlled by a controller via a first user input and a second user input.", "The first user input is actuated to cause the first electric heater to enter a first thermal output mode.", "The second user input is actuated to cause the second electric heater to enter a second thermal output mode.", "The first user input is illuminated in response to entering the first thermal output mode.", "The second user input is illuminated in response to entering the second thermal output mode.", "The first user input is actuated to cause the first electric heater to enter an off mode.", "The second user input is actuated to cause the second electric heater to enter an off mode.", "Other aspects of the invention will become apparent by consideration of the detailed description and accompanying drawings." ], [ "CROSS-REFERENCE TO RELATED APPLICATION This application is a continuation of U.S. patent application Ser.", "No.", "14/733,503, filed Jun.", "8, 2015, which is a continuation of U.S. patent application Ser.", "No.", "13/588,692, filed Aug. 17, 2012, which claims priority to U.S.", "Provisional Patent Application No.", "61/525,549, filed Aug. 19, 2011, and to U.S.", "Provisional Patent Application No.", "61/658,662, filed Jun.", "12, 2012, and which is a continuation-in-part of U.S. patent application Ser.", "No.", "12/940,429, filed Nov. 5, 2010, which claims priority to U.S.", "Provisional Patent Application No.", "61/258,714, filed Nov. 6, 2009.The entire contents of these applications are incorporated herein by reference.", "BACKGROUND OF INVENTION The present invention relates to garments, and in particular, to an electrically heated jacket for providing heat to a user wearing the jacket.", "Garments, especially outwear such as jackets and parkas, may be insulated to protect a user from the cold.", "Insulated jackets rely on the user's own body heat to keep the user warm.", "If the insulation is too thin, the user may be cold.", "If the insulation is too thick, the user may overheat.", "SUMMARY OF THE INVENTION In one embodiment, the invention provides an article of clothing including a garment body and a heating system coupled to the garment body.", "The heating system includes a plurality of heating zones configured to heat different portions of the garment body.", "A battery pack supplies power to the heating system.", "A controller selectively provides power from the battery pack to the multiple heating zones.", "A user input member is provided for selecting a mode of the controller.", "In another embodiment, the invention provides a method of operating a garment heated by a first electric heater and a second electric heater, powered by a battery pack, and controlled by a controller via a first user input and a second user input.", "The first user input is actuated to cause the first electric heater to enter a first thermal output mode.", "The second user input is actuated to cause the second electric heater to enter a second thermal output mode.", "The first user input is illuminated in response to entering the first thermal output mode.", "The second user input is illuminated in response to entering the second thermal output mode.", "The first user input is actuated to cause the first electric heater to enter an off mode.", "The second user input is actuated to cause the second electric heater to enter an off mode.", "Other aspects of the invention will become apparent by consideration of the detailed description and accompanying drawings.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a front view of a jacket according to one embodiment of the invention.", "FIG.", "2 is a rear view of the jacket of FIG.", "1.FIG.", "3 is a detailed view of a rear compartment of the jacket of FIG.", "2, and taken along line 3-3 of FIG.", "2.FIG.", "4 is a perspective view of a battery holder according to one embodiment of the invention.", "FIG.", "5 is a perspective view of a battery pack for use with the battery holder of FIG.", "4.FIG.", "6 is an exploded view of the battery pack of FIG.", "5.FIG.", "7 is an electrical block diagram for the jacket of FIG.", "1.FIG.", "8 is an image of a heated jacket including a heating module according to another embodiment of the invention.", "FIG.", "9 is an enlarged view of the heated jacket including the heating module of FIG.", "8.FIG.", "10 is a top perspective view of a portion the heating module of FIG.", "8.FIG.", "11 is a bottom perspective view of the portion of the heating module of FIG.", "10.FIG.", "12 is a front view of a display for positioning in an aperture of the heating module of FIG.", "10.FIG.", "13 is a perspective view of tools and devices usable with the battery pack of FIG.", "5.FIG.", "14 is a front view of a jacket according to one embodiment of the invention.", "FIG.", "15 is a rear view of the jacket of FIG.", "14.FIG.", "16A is a detailed view of a control input of the jacket of FIG.", "14, and taken along line 16A-16A of FIG.", "14.FIG.", "16B is a detailed view of a rear compartment of the jacket of FIG.", "15, and taken along line 16B-16B of FIG.", "15 FIG.", "17 is a perspective view of a battery holder according to one embodiment of the invention.", "FIG.", "18 is a perspective view of a battery pack for use with the battery holder of FIG.", "17.FIG.", "19 is an exploded view of the battery pack of FIG.", "18.FIG.", "20 is an electrical block diagram for the jacket of FIG.", "14.FIG.", "21 is an image of a heated jacket including a heating module according to another embodiment of the invention.", "FIG.", "22 is an enlarged view of the heated jacket including the heating module of FIG.", "21.FIG.", "23 is a top perspective view of a portion the heating module of FIG.", "21.FIG.", "24 is a bottom perspective view of the portion of the heating module of FIG.", "23.FIG.", "25 is a front view of a display for positioning in an aperture of the heating module of FIG.", "23.FIG.", "26 is a perspective view of tools and devices usable with the battery pack of FIG.", "18.FIG.", "27 is a perspective view of a jacket according to another embodiment of the invention.", "FIG.", "28 is a front view of a control input of the jacket of FIG.", "27.FIG.", "29 is a diagram of a printed circuit board of the control input of FIG.", "28.FIG.", "30 is a circuit diagram for the jacket of FIG.", "27.FIG.", "31 is a block diagram of an alternative construction of the jacket of FIG.", "27.FIG.", "32 is a perspective view of a battery receptacle module.", "FIG.", "33 is another perspective view of the battery receptacle module of FIG.", "32.FIG.", "34 is a perspective view of a battery and battery receptacle module according to another aspect of the invention.", "FIG.", "35 illustrates a power source adapter for use with the jacket of FIG.", "27.FIG.", "36 illustrates an electrically heated glove coupled to an accessory port of a heated jacket.", "FIG.", "37 illustrates the electrically heated glove of FIG.", "36.FIG.", "38 illustrates a pocket, including wire routing features, of the jacket of FIG.", "14.FIG.", "39 illustrates wire routing features on a lining of the jacket of FIG.", "27.FIG.", "40 also illustrates the wire routing features of FIG.", "39.FIG.", "41 also illustrates the wire routing features of FIG.", "39.FIG.", "42 illustrates a front of a jacket with visibility features.", "FIG.", "43 illustrates a back of a jacket with visibility features.", "Before any embodiments of the invention are explained in detail, it is to be understood that the invention is not limited in its application to the details of construction and the arrangement of components set forth in the following description or illustrated in the following drawings.", "The invention is capable of other embodiments and of being practiced or of being carried out in various ways.", "DETAILED DESCRIPTION FIG.", "1 illustrates a heated jacket 10 according to one embodiment of the invention.", "The jacket 10 may be constructed in various sizes to fit a variety of users.", "The jacket 10 includes typical jacket features such as a torso body 12, arms 14, a collar 16, and front pockets 18.A front surface 20 of the jacket 10 includes a control input.", "In the illustrated embodiment, the control input is a button 22 that may be actuated by user.", "As explained in greater detail below, the button 22 includes a display portion 24 to indicate a status of the heated jacket 10.As illustrated in cutaway portions of FIGS.", "1 and 2, the jacket 10 includes a heater array 26.The heater array 26 is disposed in both a left portion 28 and a right portion 30 of the torso body 12.In some embodiments, the heater array 26 may extend into the arms 14 and/or collar 16.In other embodiments the jacket may include a first heater array and second heater array arranged as an upper module and a lower module, respectively.", "In the illustrated embodiment, the heater array 26 is controlled via the button 22 shown in FIG.", "1.In other embodiments, multiple heater arrays may be controlled individually via a single control input or multiple control inputs.", "The heating array 26 may include resistive heating coils formed of carbon fibers, high density carbon fibers, or other heating devices.", "The heated jacket 10 is capable of maintaining a temperature of up to 110 degrees Fahrenheit, although in further embodiments lower or greater temperatures are possible depending upon the heat source.", "As illustrated in FIG.", "2, the heated jacket 10 includes a compartment 32 located on a lower portion of the back torso body.", "The compartment 32 houses an electrical component, such as a battery pack and battery holder.", "As illustrated in FIG.", "3, the compartment 32 includes a zipper 34, providing selective access by a user to the compartment 32 in order to access the battery pack and other electrical components.", "FIG.", "4 illustrates one example of a battery holder 36.The battery holder 36 is configured to receive a battery pack 38, such as the battery pack illustrated in FIG.", "5.Referring to FIG.", "5, the battery pack 38 is a lithium-based, rechargeable battery pack.", "The battery pack 38 is removably and interchangeably connected to the battery holder 36 to provide power to the jacket 10 during operation and to facilitate recharging of the battery pack 38 when not in use.", "In some embodiments, the battery pack 38 may be used with other types of cordless, battery-powered tools or devices.", "FIG.", "13, discussed below, illustrates exemplary tools and devices with which the battery pack 38 may be used.", "The battery pack 38 also may be used with other power tools or sensing devices not specifically discussed herein.", "As illustrated in FIGS.", "5 and 6, the battery pack 38 includes a casing 40, an outer housing 42 coupled to the casing 40, and a plurality of battery cells 44 positioned within the casing 40.The casing 40 is shaped and sized to fit within a cavity 46 of the battery holder 36 illustrated in FIG.", "4, or alternatively, in a power tool or non-motorized sensing device to connect the battery pack 38 to the tool or device.", "The casing 40 includes an end cap 48 to substantially enclose the battery cells 44 within the casing 40.The illustrated end cap 48 includes two power terminals 50 configured to mate with corresponding power terminals 60 (FIG.", "7) extending within the cavity 46 of the battery holder 36.In other embodiments, the end cap 48 may also include sense or communication terminals that are configured to mate with corresponding terminals within the battery holder or a tool.", "The outer housing 42 includes a latching arrangement 52 for positively engaging the battery pack 38 with the battery holder 36.The latching arrangement 52 includes latching tabs 54 and resilient actuating portions 56.The latching tabs 54 are configured to engage corresponding recesses within the cavity 46 of the battery holder 36.The resilient actuating portions 56 are coupled to the latching tabs 54 and are configured for a user to selectively disengage the latching tabs 54 from the battery holder 36.As shown in FIG.", "6, the battery pack 38 includes three battery cells 44 positioned within the casing 40 and electrically coupled to the terminals 50.The battery cells provide operational power (e.g., DC power) to the jacket 10 or other device.", "In the illustrated embodiment, the battery cells 44 are arranged in series, and each battery cell has a nominal voltage of approximately four-volts (4.0V), such that the battery pack 38 has a nominal voltage of approximately twelve-volts (12V).", "The cells 44 also have a capacity rating of approximately 1.4 Ah.", "In other embodiments, the battery pack 38 may include more or fewer battery cells 44, and the cells 44 can be arranged in series, parallel, or a serial and parallel combination.", "For example, the battery pack 38 can include a total of six battery cells in a parallel arrangement of two sets of three series-connected cells.", "The series-parallel combination of battery cells creates a battery pack having a nominal voltage of approximately 12V and a capacity rating of approximately 2.8 Ah.", "In other embodiments, the battery cells 44 may have different nominal voltages, such as, for example, 3.6V, 3.8V, 4.2V, etc., and/or may have different capacity ratings, such as, for example, 1.2 Ah, 1.3 Ah, 2.0 Ah, 2.4 Ah, 2.6 Ah, 3.0 Ah, etc.", "In other embodiments, the battery pack 38 can have a different nominal voltage, such as, for example, 10.8V, 14.4V, etc.", "In the illustrated embodiment, the battery cells 44 are lithium-ion battery cells having a chemistry of, for example, lithium-cobalt (Li—Co), lithium-manganese (Li—Mn), or Li—Mn spinel.", "In other embodiments, the battery cells 44 may have other suitable lithium or lithium-based chemistries.", "The heated jacket 10 includes control circuitry for the heater array 26 and battery pack 38.FIG.", "7 is a block diagram of the heated jacket 10.A battery controller 58 receives electricity from the battery pack 38 via battery terminals 60 (disposed within the battery holder 36).", "The battery controller 58 may be configured to monitor a state of charge of the battery pack 38 and, if necessary, shutdown the heater array 26.A heater controller 62 receives inputs from the control button 22 and selectively powers the heater array 26 depending upon the selected thermal output.", "The display portion 24 is selectively illuminated based upon the selected thermal output setting.", "The heater controller 62 may be configured to monitor a plurality of conditions of the jacket 10 including, but not limited to, an amount of current drawn by the heater array 26.The controllers 58, 62 are, for example, microprocessors, microcontrollers, or the like, and are configured to communicate with one another.", "In the illustrated embodiment, the battery controller 58 provides information to the heater controller 62 related to a battery pack temperature or voltage level.", "The heater controller 62 and the battery controller 58 also include low voltage monitors and state-of-charge monitors.", "The monitors are used to determine whether the battery pack 38 is experiencing a low voltage condition, which may prevent proper operation of the heater array 26, or if the battery pack 38 is in a state-of-charge that makes the battery pack 38 susceptible to being damaged.", "If such a low voltage condition or state-of-charge exists, the heater array 26 is shut down or the battery pack 38 is otherwise prevented from further discharging current to prevent the battery pack from becoming further depleted.", "The heated jacket 10 illustrated in FIGS.", "1 and 2 may be operated as follows.", "To turn on the heated jacket 10, a user presses and holds the control button 22 for a first period (e.g., three seconds).", "When first turned on, the heater controller 62 causes the heated jacket 10 to enter pre-heat mode.", "The heated jacket 10 remains in a pre-heat mode for a period (e.g., five minutes) and then the heater controller 62 switches the heater array 26 to a medium thermal output setting.", "The user may adjust the thermal output setting by actuating the control button 22.Each press of the control button 22 will cycle the heater controller 62 through one of a sequence of thermal output settings (e.g., low, medium, high).", "In order to turn off the heated jacket 10 (or de-energize the heater array 26), the user presses and holds the control button 22 for a third period (e.g., three seconds).", "As mentioned previously, the control button 22 includes an illuminated display portion 24 to indicate a status of the heaters.", "The display portion may be, for example, one or more LEDs.", "In the pre-heat mode, the display portion 24 flashes red.", "At a low thermal output setting, the display portion 24 glows blue.", "At a medium thermal output setting, the display portion 24 glows white.", "At a high thermal output setting, the display portion glows red.", "Other embodiments may use various other colors or light patterns to indicate thermal output settings.", "Still other embodiments may indicate a state of charge of the battery pack 38.FIG.", "8 illustrates a heated jacket 110 according to another embodiment of the invention.", "The heated jacket 110 may be constructed in various sizes to fit a variety of users.", "FIG.", "9 is an enlarged view of a heating module 164, which is coupled to an outside surface of the jacket 110 by way of a strap 166.Alternatively, the heating module 164 may be coupled to an inner surface of the jacket 110 or disposed inside of an inner pocket of the jacket 110.The heating module 164 includes a battery pack holder 136 (FIGS.", "10 and 11) and a battery pack 38 (FIG.", "5).", "The heating module 164 is electrically coupled to one or more heating coils (not shown) positioned within the jacket 110 to heat the jacket and provide heat to a user wearing the jacket.", "In the illustrated embodiment, multiple heating coils are employed and positioned in various locations, or zones, within the jacket.", "For example, separate heating coils may be positioned in an upper torso area and a lower torso area, and may be separately controllable by the user.", "In further embodiments, a single heating coil may be used, or the heating coils may be positioned at other locations within the jacket, (e.g., the back, arms, etc.).", "FIGS.", "10 and 11 illustrate the battery holder 136 of the heating module 164 in greater detail.", "With reference to FIG.", "11, the battery holder 136 includes an aperture 168 for receiving an end of a cord (not shown), the cord being connected to the one or more heating coils and including a male connector terminal.", "A female connector (not shown) is positioned within the battery holder 136 adjacent the aperture 168 to receive the male connector and form an electrical connection between the heating coils and the battery pack 38.The battery holder 136 also includes a hook 170 for securing the cord disposed between the connector and the jacket 110.With further reference to FIG.", "10, the battery holder 136 includes a housing portion 172 for electrical components, including a circuit board (not shown).", "The housing portion 172 includes a first button 174, a second button 176 and a display 178.The first button 174 and the second button 176 are capable of communicating with the electrical components.", "In the illustrated embodiment, the first button 174 is pressed by a user to increase the temperature of the heating coils, and the second button 176 is pressed by a user for lowering the temperature of the heating coils.", "In the illustrated embodiment of FIG.", "12, the display 178 is a seven segment display for representing a heating level indicative of the temperature of the heating coils.", "With reference to FIG.", "11, the battery holder 136 includes a power indicator 182, such as a light emitting diode (LED) that displays to the user when lit that the battery is connected, the heating coils are on, or the like.", "A portion of the battery holder 136 defines a battery cavity 184 for receiving the battery pack 38 (FIG.", "5).", "In other embodiments, the battery holder 136 includes an on/off switch (such as the control button 22 discussed above), a fuel gauge that displays the amount of battery power remaining, and a user interface including heat zone controls to individually control the heating coils if multiple heating coils are employed.", "FIG.", "13 illustrates exemplary power tools and sensing devices with which the battery pack 38 may be usable.", "The battery pack 38 may be usable with power tools such as a drill 202, a pipe cutter 204, an impact driver 206, and a reciprocating saw 208.The battery pack 38 may also be usable with non-motorized sensing devices such as a visual inspection camera 212, an infrared sensor 214 (such as a thermometer or thermal imaging camera), a clamp-type multimeter 216, and a wall scanner 218 (such as a “stud finder”).", "FIGS.", "14 and 15 illustrate a heated jacket 310 according to one embodiment of the invention.", "The jacket 310 may be constructed in various sizes to fit a variety of users.", "The heated jacket 310 is capable of maintaining a temperature of up to 110 degrees Fahrenheit, although in further embodiments lower or greater temperatures are possible depending upon the heat source.", "The jacket 310 includes typical jacket features such as a torso body 312, arms 314, a back 315, a collar 316, and front pockets 318.The jacket 310 further includes a heating system having multiple heating zones.", "A front face 320 of the jacket 310 includes a control input 321 that is itself sealed or has a sealed connection to the jacket, such that the control input 321 is protected from environmental conditions.", "In the illustrated embodiment, the control input 321 is configured to be actuated by a user to direct the control of the jacket heating system and heating zones.", "As illustrated in FIG.", "16A and explained in greater detail below, the control input 321 includes three zone control buttons 322a, 322b, and 322c and an on/off button 323.Further, each of the zone control buttons 322a, 322b, 322c and the on/off button 323 include a display portion 324a, 324b, 324c, 324d (FIG.", "20; e.g., a LED or other type of illumination embedded into each of the above buttons), respectively, to indicate the status of the jacket based on the inputs associated with pressing these buttons.", "In other embodiments, the display portion 324 may be configured as a single display panel or display lights/illumination separate from the above buttons.", "Further, the control input 321 may be configured at different locations on the outside or the inside of the jacket, may be configured with different orientations for the buttons, and may be separated into multiple control inputs at different locations on the jacket.", "As illustrated in cutaway portions of FIGS.", "14 and 15, the jacket 310 includes a heating system made up of a first heater array 326 and a second heater array 327.The first heater array 326 is disposed in both a left portion 328 and a right portion 330 of the torso body 312.The second heater array 327 is disposed in the back 315.The heating system is further made up of a third heater array 329 (FIG.", "20) disposed in the front pockets 318.The heating arrays may include resistive heating coils formed of carbon fibers, high density carbon fibers, or other heating devices.", "In other embodiments, the heating system may include a fourth heater array (not shown) disposed in the arms 314 and/or a fifth heat array (not shown) disposed in the collar 16, and/or additional heater arrays, and may further have different configurations of the heater arrays, as the different heater arrays may be alternatively configured to extend into or be removed from other parts of the jacket 310.As illustrated in FIG.", "15, the heated jacket 310 includes a compartment 332 located on a lower portion of the back torso body 315.The compartment 332 houses an electrical component, such as a battery pack 338 and a battery holder 336.As illustrated in FIG.", "16B, the compartment 332 includes a zipper 334, providing selective access by a user to the compartment 332 in order to access the battery pack 338 and other electrical components.", "FIG.", "17 illustrates one example of a battery holder 336.The battery holder 336 is configured to receive the battery pack 338, such as the battery pack 338 illustrated in FIG.", "18.The battery holder 336 also includes a USB-type port 337 for communicating with and charging other devices, such as a digital media player, an iPOD®, or similar device Referring to FIG.", "18, the battery pack 338 is a lithium-based, rechargeable battery pack.", "The battery pack 338 is removably and interchangeably connected to the battery holder 336 to provide power to the jacket 310 during operation and to facilitate recharging of the battery pack 338 when not in use.", "In some embodiments, the battery pack 338 may be used with other types of cordless, battery-powered tools or devices.", "For example, the battery pack 338 may be usable with a drill, a PVC pipe cutter, an impact driver, and a metal pipe cutter, or other tools.", "The battery pack 338 may also be usable with a non-motorized sensing device such as a thermal imaging camera, a micro-inspection camera, a wall scanner, a digital multimeter, a thermometer, and a gas detector.", "A variety of such tools and devices are illustrated in FIG.", "26.Furthermore, the battery pack 338 may be used with other power tools or sensing devices not specifically discussed herein.", "As illustrated in FIGS.", "18 and 19, the battery pack 338 includes a casing 340, an outer housing 342 coupled to the casing 340, and a plurality of battery cells 344 positioned within the casing 340.The casing 340 is shaped and sized to fit within a cavity 346 of the battery holder 336 illustrated in FIG.", "17, or alternatively, in a power tool or non-motorized sensing device to connect the battery pack 338 to the tool or device.", "The casing 340 includes an end cap 348 to substantially enclose the battery cells 344 within the casing 340.The illustrated end cap 348 includes two power terminals 350 configured to mate with corresponding power terminals 360 (FIG.", "20) extending within the cavity 346 of the battery holder 336.In other embodiments, the end cap 348 may also include sense or communication terminals that are configured to mate with corresponding terminals within the battery holder or a tool.", "The outer housing 342 includes a latching arrangement 352 for positively engaging the battery pack 338 with the battery holder 336.The latching arrangement 352 includes latching tabs 354 and resilient actuating portions 356.The latching tabs 354 are configured to engage corresponding recesses within the cavity 346 of the battery holder 336.The resilient actuating portions 356 are coupled to the latching tabs 354 and are configured for a user to selectively disengage the latching tabs 354 from the battery holder 336.As shown in FIG.", "19, the battery pack 338 includes three battery cells 344 positioned within the casing 340 and electrically coupled to the terminals 350.The battery cells provide operational power (e.g., DC power) to the jacket 310 or other device.", "In the illustrated embodiment, the battery cells 344 are arranged in series, and each battery cell has a nominal voltage of approximately four-volts (4.0V), such that the battery pack 338 has a nominal voltage of approximately twelve-volts (12V).", "The cells 344 also have a capacity rating of approximately 1.4 Ah.", "In other embodiments, the battery pack 338 may include more or fewer battery cells 344, and the cells 344 can be arranged in series, parallel, or a serial and parallel combination.", "For example, the battery pack 338 can include a total of six battery cells in a parallel arrangement of two sets of three series-connected cells.", "The series-parallel combination of battery cells creates a battery pack having a nominal voltage of approximately 12V and a capacity rating of approximately 2.8 Ah.", "In other embodiments, the battery cells 344 may have different nominal voltages, such as, for example, 3.6V, 3.8V, 4.2V, etc., and/or may have different capacity ratings, such as, for example, 1.2 Ah, 1.3 Ah, 2.0 Ah, 2.4 Ah, 2.6 Ah, 3.0 Ah, etc.", "In other embodiments, the battery pack 338 can have a different nominal voltage, such as, for example, 10.8V, 14.4V, etc.", "In the illustrated embodiment, the battery cells 344 are lithium-ion battery cells having a chemistry of, for example, lithium-cobalt (Li—Co), lithium-manganese (Li—Mn), or Li—Mn spinel.", "In other embodiments, the battery cells 344 may have other suitable lithium or lithium-based chemistries.", "The heated jacket 310 includes control circuitry for the heating system having multiple heating zones.", "FIG.", "20 is an electrical block diagram of the heated jacket 310.A battery controller 358 receives electricity from the battery pack 338 via battery terminals 360 (disposed within the battery holder 336).", "The battery controller 358 may be configured to monitor a state of charge of the battery pack 338 and, if necessary, shutdown the heater array 326.As shown in FIG.", "20, a heater controller 362 receives inputs from the control input 321 and selectively powers the heater arrays 326, 327, 329 depending upon a desired thermal output.", "The display portion 324a, 324b, 324c, 324d, associated with the particular control input button described above, is illuminated based upon the current status of that input button.", "The heater controller 362 may be configured to monitor a plurality of conditions of the jacket 310 including, but not limited to, an amount of current drawn by the heater arrays 326, 327, 329.The controllers 358, 362 are, for example, microprocessors, microcontrollers, or the like, and are configured to communicate with one another.", "In the illustrated embodiment, the battery controller 358 provides information to the heater controller 362 related to a battery pack temperature or voltage level.", "The heater controller 362 and the battery controller 358 also include low voltage monitors and state-of-charge monitors.", "The monitors are used to determine whether the battery pack 338 is experiencing a low voltage condition, which may prevent proper operation of the heater arrays 326, 327, 329 or if the battery pack 338 is in a state-of-charge that makes the battery pack 338 susceptible to being damaged.", "If such a low voltage condition or state-of-charge exists, the heater arrays 326, 327, 329 are shut down or the battery pack 338 is otherwise prevented from further discharging current to prevent the battery pack from becoming further depleted.", "In the illustrated embodiment, the heating system and heating arrays 326, 327, 329 are configured to be actuated via the control input 321 (FIG.", "14).", "The on/off button 323 is configured to turn the heating system on and off and also is configured to change thermal output setting of the heating system, including a high thermal output setting, a medium thermal output setting, and low thermal output setting.", "More specifically, the on/off button is configured to turn the heating system on after being pressed and held for a designated period of time (e.g., 1.5 seconds), such that all heating arrays 326, 327, 329 are turned on and automatically set to an initial predetermined thermal output setting.", "Subsequent presses of the on/off button change the thermal output setting according to sequence, such that the next press of the on/off button changes the heating system to the high thermal output setting.", "A further press of the on/off button changes the heating system to the medium thermal output setting.", "A further press of the on/off button changes the heating system to the low thermal output setting.", "A further press of the on/off changes the heating system back to the high thermal output setting to complete the sequence of high, medium, low, high, medium, low, and so on.", "The heating system is on, if any of the heating arrays 326, 327, 329 are on.", "The on/off button is configured to turn the heating system off after being pressed and held for designated period of time (e.g., 1.5 seconds).", "In other embodiments, it is conceivable that the number of thermal output settings, the initial thermal output setting, and the sequence of thermal output settings could vary.", "While the heating system is on, the zone control buttons 322a, 322b, 322c are each configured to turn a particular heater array on and off.", "More specifically, zone control button 322a is configured to turn the first heater array 326 on and off, zone control button 322b is configured to turn the second heater array 327 on and off, and zone control button 322c is configured to turn the third heater array 329 on and off.", "Subsequent presses of any one of the zone control buttons switches alternate the associated heating array between on and off.", "In other embodiments, it is conceivable that multiple heater arrays may be controlled individually via a single control input button or multiple control input buttons.", "The heated jacket 310 illustrated in FIGS.", "14 and 15 may be operated as follows.", "To turn on the heated jacket 310, a user presses and holds the on/off button 323 for a designated period of time (e.g., 1.5 seconds).", "When first turned on, the heater controller 362 causes the heated jacket 310 to enter pre-heat mode.", "The heated jacket 310 remains in a pre-heat mode for a period (e.g., five minutes) and then the heater controller 362 switches the heater arrays 326, 327, 329 to a medium thermal output setting.", "The user may adjust the thermal output setting by actuating the on/off button 323, as discussed above.", "Each press of the on/off button 323 will cycle the heater controller 362 through one of a sequence of thermal output settings (e.g., high, medium, low).", "In order to turn off the heated jacket 10, the user presses and holds the on/off button for a designated period of time (e.g., 1.5 seconds).", "As mentioned previously, the control input buttons 322a, 322b, 322c, 323 each include an illuminated display portion 324a, 324b, 324c, 324d to indicate a status of the heating system.", "As discussed above, the display portion may be, for example, one or more LEDs.", "The display portions 324a, 324b, 324c illuminate to indicate that their associated heating arrays are on.", "In the pre-heat mode, the display portion 324d on the on/off button 323 flashes red.", "At a low thermal output setting, the display portion 324d glows blue.", "At a medium thermal output setting, the display portion 324d glows white.", "At a high thermal output setting, the display portion 324d glows red.", "Other embodiments may use various other colors or light patterns to indicate thermal output settings.", "Still other embodiments may indicate a state of charge of the battery pack 338.Various modifications of the control method or sequence are possible.", "For example, in other embodiments, the user may select a desired temperature rather than a thermal output setting.", "FIG.", "21 illustrates a heated jacket 410 according to another embodiment of the invention.", "The heated jacket 410 may be constructed in various sizes to fit a variety of users.", "FIG.", "22 is an enlarged view of a heating module 464, which is coupled to an outside surface of the jacket 410 by way of a strap 466.Alternatively, the heating module 464 may be coupled to an inner surface of the jacket 410 or disposed inside of an inner pocket of the jacket 410.The heating module 464 includes a battery pack holder 436 (FIGS.", "23 and 24) and a battery pack 338 (FIG.", "18).", "The heating module 464 is electrically coupled to one or more heating coils (not shown) positioned within the jacket 410 to heat the jacket and provide heat to a user wearing the jacket.", "In the illustrated embodiment, multiple heating coils are employed and positioned in various locations, or zones, within the jacket.", "For example, separate heating coils may be positioned in an upper torso area and a lower torso area, in a back area, and in front pockets, and may be separately controllable by the user.", "In further embodiments, a single heating coil may be used, or the heating coils may be positioned at other locations within the jacket, (e.g., the back, arms, etc.).", "FIGS.", "23 and 24 illustrate the battery holder 436 of the heating module 464 in greater detail.", "With reference to FIG.", "23, the battery holder 436 includes an aperture 468 for receiving an end of a cord (not shown), the cord being connected to the one or more heating coils and including a male connector terminal.", "A female connector (not shown) is positioned within the battery holder 436 adjacent the aperture 468 to receive the male connector and form an electrical connection between the heating coils and the battery pack 338.The battery holder 436 also includes a hook 470 for securing the cord disposed between the connector and the jacket 410, and a USB port 475 for communicating with and charging other devices, such as a digital media player, an iPOD®, or similar device.", "With further reference to FIG.", "23, the battery holder 436 includes a housing portion 472 for electrical components, including a circuit board (not shown).", "The housing portion 472 includes a first on/off button 474, three zone control buttons 476a, 476b, 476c, and a display 478.The first button 174 and the zone buttons 476a, 476b, 476c are capable of communicating with the electrical components.", "In the illustrated embodiment, the on/off button 474 and zone control buttons 476a, 476b, 476c are configured and operate similarly to the above control input buttons 322a, 322b, 322c, 323.In the illustrated embodiment of FIG.", "25, the display 478 is a seven segment display for representing a heating level indicative of the temperature of the heating coils.", "With reference to FIG.", "24, the battery holder 436 includes a power indicator 482, such as a light emitting diode (LED) that displays to the user when lit that the battery is connected, the heating coils are on, or the like.", "A portion of the battery holder 436 defines a battery port 484 for receiving the battery pack 338 (FIG.", "18).", "In other embodiments, the battery holder 436 includes a fuel gauge that displays the amount of battery power remaining.", "FIG.", "27 illustrates a heated jacket 488 according to another embodiment of the invention.", "The jacket 188 includes an outer shell 492 with left and right front pockets 496 and 498, and a chest pocket 502.FIG.", "38 illustrates a rear compartment 506 of the jacket 488.Referring to FIG.", "30, the heated jacket 488 includes a heating system 510 including a core heater array 514 and a pocket heater array 518.The core heater array 514 includes a right chest heating module 522, a left chest heating module 526, and a back heating module 530.The pocket heater array 518 includes a right pocket heating module 534 and a left pocket heating module 538.The heater arrays 514 and 518 may include resistive heating coils formed of carbon fibers, high density carbon fibers, or other heating devices.", "The core heater array 514 and pocket heater array 518 are controlled via a heater control module 542.The heater control module 542 is coupled to a chest portion 546 of the jacket 488 (FIG.", "27).", "Referring to FIG.", "28 an external surface 550 of the heater control module 542 provides access to a first heater control button 554 and a second heater control button 558.The first heater control button 554 may be, for example a control input for the core heater array 514 (FIG.", "30), while the second heater control button 558 may be, for example, a control input for the pocket heater array 518.Referring to FIG.", "29, the first heater control button 554 and the second heater control button 558 are coupled to a printed circuit board (PCB) 562 of the heater control module 542.Each of the first control button 554 and the second control button 558 has an array of light emitting diodes (LEDs) 566 associate with it.", "More specifically, each button has associated with it a first pair of LEDs (e.g., red LEDs) 570, a second pair of LEDs (e.g., white LEDs) 574, and a third pair of LEDs (e.g., blue LEDs) 578.The LEDs 566 illuminate the external surface 550 of the heater control module 542 (FIG.", "28) to provide indication of a control mode of the core heater array 514 (as selected by the first button 554) or the pocket heater array 518 (as selected by the second button 558).", "For example, illumination of the red LEDs 570 may indicate a high thermal output setting, illumination of the white LEDs 574 may indicate a medium thermal output setting, and illumination of the blue LEDs 578 may indicate a low thermal output setting.", "The heater arrays 514 and 518 are indicated as being off when no LED is illuminated.", "To turn on either of the heater arrays 514 or 518, a heater control button 554 or 558, respectively, is pressed by the user and held for a period of, for example, 0.5-2.5 seconds.", "A temperature setting (e.g., high, medium, or low) of the core heater array 514 or pocket heater array 518 may be selected by again pressing the respective first heater control button 554 or the second heater control button 558.The heater arrays 514, 518 may be turned off by pressing and holding the respective heater control buttons 554, 558 for a period of 0.5 to 2.5 seconds.", "FIG.", "31 is an electrical block diagram of the heated jacket 488.A battery receptacle 482 receives electricity from a battery pack (e.g., the battery pack 338 of FIG.", "5) and supplies electricity to the heater control module 542 for distribution to the heater arrays 514, 518.FIG.", "32 illustrates the battery receptacle 582 according to a first configuration.", "The battery receptacle 582 is configured to receive the 12 volt lithium-ion battery pack 338 (FIG.", "18).", "A heater supply cable 586 from the heater control module 542 is detachably coupled the battery receptacle 582.The battery receptacle 582 also includes an accessory port 590.The accessory port 590 may be in the form of a USB outlet for receiving a USB cable 594.The USB cable may, in turn, be coupled to an accessory device such as smart phone or MP3 player.", "Referring to FIG.", "33, the battery receptacle 582 includes a battery state-of-charge indicator 598.A state-of-charge may be indicated by the illumination of one or more LEDs.", "FIG.", "34 illustrates a battery receptacle 602 according to another configuration.", "The battery receptacle 602 is configured to receive, for example, an 18 volt lithium-ion battery pack 606.FIG.", "35 illustrates an adapter 610 that may be used with the heated jacket in place of a battery and battery receptacle.", "The adapter 610 includes an input plug 614 for mating with a 12V outlet cigarette lighter-type socket of a motor vehicle.", "An output plug 618 connects to heated jacket 488.Referring to FIG.", "31, the heater control module 542 may also interface with a heated accessory device 622.When a heated accessory device 622 is coupled to the jacket 488 and detected by the heater control module 542, the heater control module 542 deactivates the pocket heater array 518 and selective provides power to the heated accessory device 622.The second heater control button 558 may then be used to control a thermal output setting of the accessory device 622 in a manner similar to that used to control the pocket heater array 518.FIG.", "36 illustrates an exemplary heated accessory device 622, in the form of an electrically heated glove 622.Each glove 622 includes a resistive heating element.", "A power cord 626 is coupled to the heating element.", "The power cord 626 includes a connector 630 for connecting to the electrical system of the heated jacket 488.FIG.", "37 illustrates the heated gloves 622 connected to a left sleeve portion 634 and a right sleeve portion 638 of a heated jacket.", "An accessory power port 642 is coupled to each sleeve portion 634, 638.The accessory power ports 642 include a body 646 that is coupled to an outer surface 650 of the sleeves 634, 638 by sewing, rivets, adhesives or other attachment means.", "The body 646 defines a power receptacle.", "The power receptacle is in electrical communication with the heater control module 542 (FIG.", "31).", "Each power receptacle is configured to receive the connector 630 of the power cord 626 of a heated accessory device 322, such that the device is selectively powered by the heater control module 542.FIGS.", "38-41 illustrate accessory wire routing features that may be incorporated into a heated jacket, such as the heated jacket 488 of FIG.", "27.Referring to FIG.", "38, the rear compartment 506 may serve, for example, to hold and secure the battery receptacle 582 (FIG.", "32) and battery 338 (FIG.", "18).", "Grommets 650 are coupled to the jacket shell 492 inside the rear compartment 506.The grommets 650 surround openings through the jacket shell.", "FIGS.", "39-41 illustrate a liner 654 of the jacket 488.The jacket 488 defines an open space between the outer shell 492 (FIG.", "38) and the liner 654 (FIGS.", "39-41).", "Grommets 650 are coupled to the liner 654 and surround openings through the liner 654.The grommets 650 facilitate the passage of accessory wires from the liner 654, through the open space, and through the outer shell 492 to the rear compartment 506.For example, a USB-type wire 594 of a device may be coupled to the accessory port 590 of a battery receptacle 582 (FIGS.", "32-33) that is stored in the rear compartment (FIG.", "38) 506.Referring to FIGS.", "39-41, additional wire routing features are coupled to the jacket liner 654.These additional features include wire routing tabs 658 and wire routing channels 662.The wire routing tabs 658 include a cloth tab member 664 that is sewn to the liner 654 along a tab seam 666.Opposite the tab seam 666, hook and loop fasteners 670 are coupled to the tab members 662 and jacket liner 654, to facilitate capturing a section of wire (e.g., 594) between the liner 654 and the tab member 664.The wire routing channels 662 include a cloth channel member 674 that is sewn to the liner 654 along a channel seam 678.Opposite the channel seam 678, hook and loop fasteners 670 are coupled to the channel member 674 and the jacket liner 654, to facilitate capturing a section of wire 594 between the liner 654 and the channel member 674.In other embodiments, the hook and loop fasteners 670 of the wire routing tabs 658 and wire routing channels 662 may be replaced with buttons, snaps, or other types of fasteners.", "FIGS.", "42 and 43 illustrate a jacket 682 according to another embodiment of the invention.", "The jacket 682 may incorporate heater and heater control features similar to those described with respect to the jacket 310 (FIG.", "14) or the jacket 488 (FIG.", "27), or various combinations thereof.", "Reflective strips 686 are coupled to an outer shell 690 of the jacket 682.The reflective strips 686 may be sewn onto the outer shell 690 of the jacket 682, or they may be adhesively bonded to the outer shell 690.In still other embodiments, the reflective strips 686 may be painted onto the outer shell 690 of the jacket.", "Although the invention has been described in detail with reference to certain preferred embodiments, variations and modifications exist within the scope and spirit of one or more independent aspects of the invention as described." ] ]
Patent_15875768
[ [ "REAL-TIME OR JUST-IN-TIME ONLINE ASSISTANCE FOR INDIVIDUALS TO HELP THEM IN ACHIEVING PERSONALIZED HEALTH GOALS", "A method and a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone with an integrated software application by uploading the photographs to a secured database of the software application of the mobile phone provided with specific text comments or requests placed in appropriately classified input queues for assigning to a qualified nutritionist or an Artificial Intelligence (AI) Program for analyzing the uploaded photographs and generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules, displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen.", "The system and method also comprises a container, a partition plate, a closable lid for storing the food items that are rejected by the nutritionist or the AI and a placemat printed with colored squares patterns." ], [ "1.A method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving comprising the steps of: initiating a craving help request in dealing with food cravings at any time by the registered user; setting up other users as helpers or groups along with their contact numbers and other information to whom the craving help request is sent; specifying the helpers or groups to whom the request should be sent; broadcasting the craving request to the specified helpers or groups and notify the registered users, helpers or groups individually; responding and attempting to distract the requesting registered user from the craving by calling, texting, sending video clips, links or other means by the registered/unregistered helpers; and maintaining the craving help request open for a specified duration and automatically closing it after receiving at least one response; wherein the AI automatically responds to the craving request to distract the registered user from the craving at typical snack-craving times or at anytime or if there is no response from any helper or group after a set duration.", "2.The method according to claim 1, wherein the AI automatically engages different friends when having different kinds of cravings by mapping specific cravings to a given friend's profile.", "3.The method according to claim 1, wherein the AI automatically sends a reminder or a notification to a specially-designated friend to proactively distract a specific registered user from a craving.", "4.The method according to claim 1, wherein the AI analyzes patterns of craving to predict the next time of day when a registered user might get a craving and proactively suggests or engages a friend to respond to the craving." ], [ "<SOH> BACKGROUND <EOH>The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing." ], [ "FIELD OF INVENTION The present invention relates to providing an individual a real-time or an online assistance in achieving personalized health and wellness goals such as weight loss, adherence to various types of diets, prevention of heart disease or cancer, proper medication-taking, etc.", "Further, the present invention provides a smart-phone application with facilities for individuals to upload a picture of a meal they are about to consume and receive a real-time or just-in-time modification to the meal generated by a qualified nutritionist or an artificial intelligence (AI) program.", "Specifically, the present invention eliminates the need for individuals to remember dietary plans or guidelines or analyze any detailed information about the meal, and makes it very easy for individuals to maintain health.", "BACKGROUND The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration.", "SUMMARY OF THE INVENTION The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1a: illustrates the process flow for downloading and registering with the mobile phone application according to the preferred embodiment.", "FIG.", "1b: illustrates the process flow for generation of user-specific ranked weight-loss rules according to the preferred embodiment.", "FIG.", "2: illustrates the process flow for sending a meal-time reminder to the registered user according to the preferred embodiment.", "FIG.", "3: illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs according to the preferred embodiment.", "FIG.", "4a: illustrates the process flow for nutritionists to access the system for providing modifications according to the preferred embodiment.", "FIG.", "4b: illustrates the process flow for the AI Program to provide modifications according to the preferred embodiment.", "FIG.", "5: illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data according to the preferred embodiment.", "FIG.", "6: illustrates the process flow for escalating exceptions for further handling by experts according to the preferred embodiment.", "FIG.", "7: illustrates the process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications according to the preferred embodiment.", "FIG.", "8: illustrates the process flow for setting up ‘Help Groups’ for assistance according to the preferred embodiment.", "FIG.", "9: illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time according to the preferred embodiment.", "FIG.", "10: illustrates the process flow for requesting assistance from a nutritionist, dietician or other professional at any time according to the preferred embodiment.", "FIG.", "11: illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it according to the preferred embodiment.", "FIG.", "12a-b: illustrates a container into which rejected food items as part of the nutritionist's modification are placed according to the preferred embodiment.", "FIG.", "12c: illustrates a device for creating a partition on a plate into which rejected food items are placed according to the preferred embodiment.", "FIG.", "12d: illustrates a pouch-like device into which rejected food items are placed according to the preferred embodiment.", "FIG.", "13a: illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value according to the preferred embodiment.", "FIG.", "13b: illustrates a processed image of a plate with food items that are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item according to the preferred embodiment.", "FIG.", "14a: illustrates a placemat printed with grey and white squares of one-inch size to provide a sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "14b: illustrates a placemat printed with colored squares of one-inch size to provide color and sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "15: illustrates a plate partition as shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating the food items on the plate according to the preferred embodiment.", "FIG.", "16: illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside as the modification and report generation according to the preferred embodiment.", "FIG.", "17: illustrates the process flow for predicting a user's craving times and responding to it according to the preferred embodiment.", "FIG.", "18: illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user according to the preferred embodiment.", "FIG.", "19: illustrates the process flow for providing coaching to a user through two-way rich media according to the preferred embodiment.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A preferred embodiment of the present invention addresses the needs of individuals desiring to lose weight by modifying their food intake by analyzing the content of foods and assisting the individuals to adjust their eating to comply with their respective diet plans.", "The preferred embodiment provides a smart mobile phone application provided with facilities for individuals to upload a picture of a plate of food items or meals they are about to consume and receive a real-time or just-in-time modification to the meal generated by a nutritionist or an artificial intelligence (AI) program.", "The modification is generated based on the individual's personalized profile parameters, personalized weight-loss goals and the meal to be consumed as well as the history of meals previously consumed.", "Since the modification is generated at the point of consumption, the individual does not need to remember any dietary plans or guidelines or analyze any detailed information about the meal in order to make practical decisions about what to eat.", "The individual uploads a picture of the meal, receives a real time modification and eats the meal according to the modification.", "In the mobile application, individuals register as users and enter certain required profile parameters, and use the smart-phone camera to take photographs of meals and upload them to a secured database.", "Authorized nutritionists, dieticians or professionals examine the uploaded photographs and related information and compose specific modifications to the meals based on the individuals' respective profile parameters and their personalized weight-loss goals.", "The user receives a customized modification to the uploaded photograph by a qualified nutritionist.", "This greatly simplifies the weight-loss regimen.", "Nutritionists or dieticians edit or add pre-configured clarifying text, graphics, audio or video to the uploaded photographs in order to indicate the specific modifications.", "This embodiment also envisions the use of artificial intelligence techniques to algorithmically select uploaded photographs, apply user-specific weight-loss rules, generate and compose suitable modifications for the associated users.", "In cases where the photographs are not readable, the nutritionist or the artificial intelligence program marks them as exceptions and sets up a queue for further handling by more skilled human experts.", "Once the modifications are composed, push notifications are automatically sent to the respective users to view the modifications.", "The time of elapse of tracking for each photograph is monitored, and if it is elapsed beyond certain threshold limits delay notifications, tips and other information are automatically sent.", "The mobile application also displays a timeline of past photographs, modifications and comments, so the user may scroll back and forth to examine them at any time, zoom in to a specific past photograph, indicate actual adherence to the modifications and rate their quality, timeliness and effectiveness.", "The preferred embodiment also enables users to get answers to weight-loss or other health-related questions at any time by initiating a help request and directing it to a nutritionist or a dietician or other health professional, or an artificial intelligence program.", "Also, the users to get assistance in dealing with food cravings at any time by initiating a craving help request to assigned helper or friend groups, who may respond and attempt to distract the requesting user.", "A further provision aggregates, for a particular user, the nutritional values of the food ingested on a particular day, and suggest what items may be eaten at the end of the day to ensure that the user's specific daily dietary limits are not exceeded.", "This also provides information regarding nearby restaurant menus or grocery store items in the vicinity of the user's current location and suggests consuming the food items available in the restaurant menus or grocery stores.", "The present invention also provides a separate container or plate separator device for placing food items that are flagged as ‘do not eat at this meal’ or rejected as part of the nutritionist's modification, for ingestion by the user, a different person or animal, or for disposal, at a later time.", "In addition to this, the present invention also provides a placemat printed with grey and white or color patterned squares of standard size to provide a sizing and color reference, as assistance for estimating the nature, size and/or quantity of food items on a plate that is placed on top of the placemat.", "Referring now to FIG.", "1a, illustrates a process flow for downloading and registering with the mobile phone application by an individual user.", "An individual can locate and download the mobile application from play store or from a website and to activate the application by installing in the mobile phone [2].", "The application prompts the individual to enter the mobile phone number and receives a verification code and sends an authentication code as one-time-password to the mobile phone number for verification.", "The application then allows the user to enter the one-time-password [6].", "The system verifies the entered code and permits the user for further data entry [8] that includes personalized profile parameters, personalized health goal, other health goals, personalized weight-loss goals, etc.", "[10].", "The user's personalized profile parameters include age, gender, body shape, current weight & height, target weight, blood pressure, cholesterol, blood sugar, etc.", "The personalized health goals include target amount of weight to reduce, target amount of blood pressure or blood sugar to be attained, etc.", "Further, the user then accepts the terms and conditions of the system to attain the assistance of the application and completes the registration [12].", "The system stores the selected user data in the mobile phone application and in the secured server [14] with respective databases as secured server user database [16] and secured mobile app user database [18].", "Where, the user can be a person or a parent or a caregiver or a pet-owner seeking real-time assistance.", "FIG.", "1b, illustrates the process flow for generation of user-specific ranked weight-loss rules based on user-profile parameters and other user data from the secured server database.", "The system reads the user data and parameters [14] from the secured server user database [16].", "These user personalized profile parameters and other parameters such as recent meal history serve as inputs to the algorithms for generating the user specific ranked weight-loss rules.", "The ranked weight-loss rules also include meal modification rules that are generated by various algorithms for different health goals that are assigned based on the specific user's profile data and/or health goals.", "The system generates the user specific ranked rules for weight-loss [20] and stores the generated rules in a database of user specific ranked rules for weight loss [22].", "FIG.", "2, illustrates the process flow for sending a meal-time reminder to the registered user by prompting the user to activate the mobile application.", "The application runs a typical ‘Meal-Time Monitor’ routine [24] in the background that detects the occurrence of a ‘typical meal-time’, based on past history of meals and other relevant data entered by a registered user that is stored in the secured mobile application user database [18].", "When an impending meal-time is detected, the application displays a Meal-Time Reminder as a notification [26] that is displayed on the mobile phone screen.", "Simultaneously, the application also activates the camera and displays the camera icon for the user to capture a photograph of the next meal [28].", "The users can also use 2D or a 3D camera affixed to their eyeglass or other means to capture photographs of the food item/meals to be consumed.", "Further, the users are allowed to capture photographs of the food items at a grocery store to attain personalized instructions on their suitability, based on personalized health profile and other health goals.", "The registered user can also capture and upload additional or sequential photographs representing additional servings at a particular meal.", "The user captures multiple photographs of the meal [30], views them, and selects the best photograph with respect to clarity and uploads it [32] by clicking on the ‘upload’ button.", "Before uploading, the user is allowed to add specific graphical modifications or text comments or audio comments or requests and/or other related information to the captured photographs.", "These comments also include specific queries with respect to their weight-loss or health goals.", "Further, means are provided for the registered users to add annotations by clicking or touching on the mobile phone screen on certain food items in the modified image to indicate respective food item names and to provide specific requests to the nutritionist.", "Additionally, means are provided for the registered user to seek advice from the nutritionist or AI on what to eat for dessert at the end of the meal, given the meal that has just been consumed and receive a response; on what to eat for dinner at the end of the day, given all the meals that have been consumed thus far and receive a response and to seek advice on food item or recipe swaps to improve the quality of their nutritional intake.", "FIG.", "3, illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs to initiate further action.", "After uploading the best photographs by the registered users, the uploaded photographs are received, identified, time-stamped &associated with other user data [34], and stored in a secured database of uploaded photographs.", "All the data received from multiple users is stored in a secured database of meal photographs, time stamps and other user data [36].", "The system then sorts the data using pre-defined criteria and generates an input queue of photographs and associated data for further action by nutritionists, dieticians and other professionals [38].", "The System also generates an input queue of photographs for input to an Artificial Intelligence (AI) Program [40] and the further process is depicted in FIG.", "4b.", "The input queues are classified based on the specific text comments or requests attached to the uploaded photographs for assigning to a particular nutritionist or an AI.", "This classification is attained by providing specific filters that include by user, by associated nutritionist, by artificial intelligence program or the like for assigning the uploaded photographs.", "Additional filters are also provided based on meal modification rules or other criteria to further classify the input queues, thereby presenting an input queue of uploaded photographs to which same rules or criteria are to be applied.", "FIG.", "4a illustrates the process flow for nutritionists to access the system for providing modifications on the uploaded photographs of the food items.", "Nutritionists log in to the system to view the input queues of uploaded photographs, compose and save meal modifications.", "In the customary manner, nutritionists, dieticians or other authorized professionals log in to the system [44] after their authentication.", "Further, the system displays sorted input queues of photographs and associated information based on their respective authorizations [46].", "As new photographs are continuously uploaded by users, the system continuously refreshes the sorted input queues [48].", "A particular nutritionist, dietician or professional with proper authorizations, who has accessed his or her respective sorted queue, views thumbnails of the uploaded photographs and associated data and selects a particular photograph [50].", "The System then displays a larger version of the selected photograph along with the applicable Ranked Weight-loss Rules for the Particular User [60].", "The rules are generated using the Database of User-Specific Diet Rules [62] and the Database of User-Specific Ranked Rules for Weight Loss [22] according to the present embodiment.", "In other embodiments, the user-specific ranked rules may reflect other health objectives such as waist-reduction, heart-healthy, cancer-prevention, diabetes management, etc., or rules that enforce adherence to certain diets, e.g.", "DASH (Dietary Approaches to Stop Hypertension) Diet, etc.", "The Nutritionist analyzes the selected photograph and categorizes the food items in the meal [52].", "The nutritionist then applies the user's respective weight-loss rules to compose specific Meal Modifications and Comments by using web-based applications or mobile phone applications or tablet-based applications, and saves those [54] to the Secured Output Queue [66].", "The composed meal modifications and comments by the nutritionist include text based or graphic based comments or modifications on the photographs and also estimates of the nutritional attributes and their corresponding values of the food items or meals to be consumed or rejected by a user.", "The text based comments include generic eating instructions, personalized eating instructions, pre-configured textual comments and textual indicators on the modifications to indicate the increase or decrease in the quantity of the food to be consumed.", "The graphic based comments include free-form line drawings, visual effects for increasing/decreasing the appearance of the food items, pre-configured clarifying graphics, pre-configured graphical indicators to indicate the increase or decrease in the quantity of the food to be consumed, magnification/de-magnification of specific food items indicating consumption levels.", "Means are provided for vocal comments and video comments to be attached.", "These comments are stored in the secured database as a data set associated with a specific image or from a specific registered user.", "All these Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "If the nutritionist is unable to recognize or categorize the food items in any photograph, then the nutritionist generates an exception for that particular photograph [58].", "The nutritionist makes suggestions to reduce one item or to increase other items to compensate for nutritional values based on the specific user goals.", "Also, the nutritionist manually composes specific modifications to photographs based on the user's past meal history or user's specific dietary restrictions, profile parameters, personalized weight-loss goals or personalized diet plans.", "In one embodiment, there exists a means on the mobile phone to view a suggested eating sequence for eating food items in the photograph which is automatically projected by the registered user by clicking on the said means include an icon or the like.", "This suggested eating sequence can be an unconventional sequence projected as a numbered list.", "The exception marked photographs [58] are reverted back to the respective registered user, thereby enabling the user to modify or add clarifying comments to that particular food item and re-upload the photograph.", "In one embodiment, the nutritionist provides appropriate observations, or asks questions to a particular user depending on their diet; determines the consumable calories by a particular user based on the target amount of calories to be reduced, and determine the quantity of food items to be reduced/increased; uses pre-configured or free graphical/alphanumeric editing tools to indicate the food items to be modified and also sends encouraging or congratulatory comments when the plate of food needs no suggestions.", "In all embodiments, the nutritionist may be a dietician or a veterinarian or other professional, providing assistance to individual adults, parents on behalf of children, adults on behalf of their parents, pet-owners and so on.", "FIG.", "4b illustrates the process flow for the AI Program to provide modifications on the uploaded photographs of the food items.", "The automated programs using artificial intelligence (AI) techniques access input queues of uploaded photographs and associated data, select a photograph, access the associated data and user-specific weight-loss rules to generate and compose modifications and comments.", "The AI program selects a photograph in the input queue [72] to apply known exception rules from the database Known Exception Rules Database [76] on the selected photograph [74].", "If exception rules apply, the AI Program generates an exception immediately [82] and assigning an exception mark to that particular image if unrecognizable or not clear to be properly analyzed or if the program is unable to generate modifications.", "The AI program sets up an exception queue for queuing of photographs marked with exceptions and automatically send notifications to an expert panel or agent for further processing and selects the next photograph from the input queue.", "The AI program analyzes the selected photograph, categorizes the food Items and applies user rules [78] to compose meal modifications [80] and saves them to a Secured Output Queue [66].", "All Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "The user-specific diet and weight-loss rules [78] are generated using the database of User-Specific Diet Rules [62] and the database of user-specific weight-loss rules based on their respective profile data [64] stored in the database of User-Specific Ranked Weight Loss Rules [22].", "The AI program also displays a timeline of past photographs, modifications and comments to the registered user for examination and sends automated reminders or notifications including tips related to health, weight-loss, meal-time reminders or the like.", "In one embodiment, the AI program suggests modifications to reduce one item or to increase another item to compensate nutritional values based on specific user goals and overlays color shading within the food item borders to indicate each item's respective dominant nutritional attribute thereby assisting the nutritionist to recognize the items need to be modified.", "The AI program automatically edits the uploaded image by inserting text icons and graphics to convey the suggested modifications for approval by the nutritionist.", "In one embodiment, the AI directs the nutritionist to estimate the nutritional attributes of the food items after identifying the respective food items, and by intimating the particular user's amount of nutritional attributes consumed on a particular day, enables the nutritionist to recommend the items to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In another embodiment, the AI determines the current physical location of a user from the mobile phone to provide information relating to restaurants, menu items, grocery stores, and other places where meals or food items are available in the vicinity of the user's current location and intimates a particular user the amount of nutritional attributes consumed on a particular day and recommends the items that are available in restaurants, grocery stores, etc., in the vicinity of the user's current location to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In yet another embodiment, the AI intimates the user on the amount of nutritional values to be consumed on a meal by meal, daily, weekly or other time period basis.", "In one embodiment, the AI automatically generates and displays personalized/opening messages along with a calorie or other nutritional attribute value to be consumed for that day or to be consumed for lunch or to be consumed for dinner; automatically generates and displays personalized/opening messages with recommendations for the next meal as specific food items or recipe modifications or restaurant menu choices or products available in store shelves with modifications.", "In another embodiment, the AI monitors and analyzes the user's eating patterns and flags serious issues that prevent achievement of user objectives, and initiates or recommends a counseling session with an adviser or different AI program to provide personalized or general advice.", "FIG.", "5 illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data to them.", "The system notifies the users that a modification is ready to be viewed and enables the user to view the modification and comments.", "The System continuously scans the Secured Output Queue [66], Selects the next available item, reads the user bandwidth setting from the user profile parameters [84] stored in the Secured Server User Database [16].", "Each image in the output queue is consolidated with the its respective identifiers, time-stamps, modifications, comments and other associated information to save the entire data set in the secured server database.", "Based on the user bandwidth setting, the system either composes the full dataset [86], including the uploaded photograph, modifications, comments, and other associated information that is to be sent to the respective mobile phone, or the system composes a subset that excludes the uploaded photograph [88].The system then identifies the user's mobile number and sends a push notification with the full data set [90], or the data subset [96].", "The sub-data set consists of modifications, comments and associated information, but not the image uploaded by the registered user.", "The user upon seeing the push notification opens it [92], and the mobile application automatically displays the full dataset, including the photograph, modifications and comments [94] or overlays the data subset on the photograph in the mobile application database, and then displays the photograph, modifications and comments [98].", "FIG.", "6 illustrates the process flow for escalating exceptions for further handling by experts.", "An exception is manually generated by a nutritionist if he or she is unable to recognize or categorize items in an uploaded photograph [58].", "Similarly, the AI Program generates an immediate exception if known exception rules applied to a particular uploaded photograph [82].", "In both cases, the subject photographs and associated data are flagged as exceptions and transferred to an exception queue [100].", "An expert nutritionist selects a particular photograph from the exception queue [102], analyzes the selected photograph and categorizes the food items [104] to compose the modification [110] by applying the weight-loss rules for the particular user [60] and save these modifications to the Secured Output Queue [66].", "If the expert nutritionist is unable to analyze the Photograph, he or she appends an ‘Apology’ customer service type message [106] and saves it to the Secured Output Queue [66].", "FIG.", "7 illustrates the user process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications by providing rating on the quality of the modifications.", "The registered user activates the mobile application [112], opens the Timeline view and scrolls through the photographs [114].", "The user then selects a particular photograph and views it, the modifications and comments in more detail [116].", "In one embodiment, means are provided in the Timeline view for the user to enter health information such as weight, waist circumference, blood pressure, blood sugar, etc.", "manually/automatically by importing from various devices.", "While viewing the photograph, modifications and comments, user may evaluate and rate the quality and effectiveness of the modifications [118], by selecting the appropriate graphical or other indicators provided in the display.", "The evaluation is also attained by providing comments, star-rating on a scale representing the quality of the modifications or by dragging on the timeline by holding a slider.", "The user rating is stored in the Modification Rating Database [120].", "The user may also enter his or her adherence to the modifications by selecting the provided indicators [122], for example, whether he or she implemented the modifications fully or partially by uploading a second image depicting the actual post-consumption left-over's or unconsumed food items set aside.", "Adherence indications are stored in the User Adherence Database [124].", "FIG.", "8 illustrates the process flow for setting up ‘help groups’ for assistance by selecting individuals from their known contacts as well as from other users of the mobile application.", "The user may call upon any of the groups for assistance in dealing with a food craving, at any time of day.", "Any individual or individuals from the called-upon group may respond via any means available and try to distract or dissuade the user from succumbing to the craving.", "It is not necessary for ‘Help Friend’ Group members to be registered users of the application or services.", "The user activates the app in the usual manner [112], and uses the app function to set up at least one named ‘Help Friend’ groups [130].", "Multiple such groups can be set up.", "The user then selects certain personal contacts in order to invite them to join that particular group [132].", "The system immediately sends Pre-Configured Text Message (SMS) invitation to the invitees [134], and resends the invitation one more time if any invitee does not respond after a set period [136].", "If an invitee responds in the affirmative [138], then the system registers that invitee as a member of the user's named ‘Help Friend’ group [140].", "If an invitee responds in the negative [142], the system does not register that invitee as a member of the user's named ‘Help Friend’ group and notifies the user that the invitation has been declined [144].", "FIG.", "9 illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time of the day.", "The user activates the app in the usual manner [112].", "Assuming at least one named ‘Help Friend’ group with at least one contact has been set-up.", "The user can request assistance in dealing with a food craving at any time by selecting a particular named ‘Help Friend’ group [146].", "The system immediately dispatches ‘Help’ push notifications to the members of the selected named group [148].", "Any member of the named group, regardless of whether or not they are registered users, responds by any available means to help the user [150], including SMS (text messaging), chats, phone call, sending information (e.g.", "jokes, cartoons, videos, links, etc.)", "and attempts to distract the requesting registered user from the craving.", "Craving help requests are maintained open for a specified duration and are automatically closed after receiving at least one response, or at the end of the duration, whichever occurs first.", "Alternatively, the AI automatically responds to the craving request to distract the registered user from the craving at typical snack-craving times or at anytime or if there is no response from any helper or group after a set duration.", "This AI automatically engages different friends when having different kinds of cravings by mapping specific cravings to a given friend's profile, sends a reminder or a notification to a specially-designated friend to proactively distract a specific registered user from a craving and analyzes patterns of craving to predict the next time of day when a registered user might get a craving and proactively suggests or engages a friend to respond to the craving.", "FIG.", "10 illustrates the process flow for requesting assistance from a helper, nutritionist, dietician or other professional (‘Expert’) at any time.", "The user may have a diet related question, may have taken a photograph of a meal and want a modification, or may want a modification to a recipe before cooking, or may be at a restaurant and want a menu item modification, etc.", "The user activates the app in the usual manner [112].", "Assuming at least one ‘Expert’ with his or her contact information has been set up, the user can request help with any relevant subject matter as described above.", "The user composes a help request [152] by adding textual data and attaching photographs or other documents to the request.", "The user then selects at least one expert contact to send the request [154].", "The system immediately sends a push notification to the selected expert [156].", "The expert views the help request [158] and responds [160] by any available means to help the user, including SMS (text messaging), chats, phone call, or sending information (e.g.", "jokes, cartoons, videos, links, etc.", "), or suggest modifications using textual and graphical tools.", "FIG.", "11 illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it and stored in their respective databases.", "The system reads the modification quality ratings in the modification rating database [120], sorts the data by nutritionist and calculates an overall quality rating that is, for example, an average of user ratings for a particular nutritionist over a set time period [162].", "It then stores the nutritionist-respective ratings in the database of modification quality ratings by nutritionist [164].", "Similarly, the system reads the user adherence database [124] sorts the data by user and calculates the user adherence indicator for each user [166].", "It then stores the user-respective indicators in the database of user adherence to modifications by user [168].", "FIG.", "12a-b illustrates a container into which rejected food items as part of the nutritionist's modification are placed.", "The container [170] accommodates food items that are flagged as ‘not for eating at this meal’ representing rejected food items as part of the nutritionist modification, for disposal or ingestion at a later time.", "In one embodiment, the container [172] is inbuilt into a plate [174] equipped with a separate lid [176] for accommodating food items that are flagged as ‘not for eating at this meal’ representing rejected as part of the nutritionist modification as shown in FIG.", "12b.", "FIG.", "12c illustrates a device for creating a partition on the plate into which rejected food items are placed.", "The device [178] that creates a partition on the plate [174] into which food items flagged as ‘not for eating at this meal’, representing rejected as part of the nutritionist's modification for consumption or disposal at later stage.", "FIG.", "12d illustrates a pouch-like device into which rejected food items are placed.", "The pouch-like device into which food items flagged as ‘not for eating at this meal’ as part of the nutritionist's modification for consumption or disposal at a later stage.", "FIG.", "13a illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value depicting white for food items that are mostly carbohydrate, like rice, red for protein-dominant items or green for vegetable/fiber-dominant items.", "FIG.", "13b illustrates a processed image of a plate with food items on which are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item such as, for example, a pie chart on a rice-based item showing a majority of carbohydrate ‘C’, followed by fiber ‘F’, protein ‘P’ and sodium ‘S’.", "FIG.", "14a a placemat printed with grey and white squares of one-inch or standard size to provide a sizing reference as an aid for estimating the size and/or quantity of the food items on a plate that is placed on top of the placemat.", "FIG.", "14b illustrates a placemat printed with colored squares of one-inch or standard size to provide color and sizing reference as an aid for identifying the food items and estimating their size and/or quantity on a plate that is placed on top of the placemat.", "FIG.", "15 shows a plate partition device shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating food items on the plate.", "FIG.", "16 illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside or rejected as the modification, and report generation.", "The photograph of a plate of food or modification is analyzed [251] for individual food items, identified and labeled [252], and their respective number or quantities are estimated [253].The total nutritional attribute values such as carbohydrate, protein, fiber, etc.", "of each food item in the photograph are calculated [255], by taking the product of the quantity or number of a specific food item and its respective nutritional attribute values from a database [254] of such values for a large number of food items.", "The calculated values are displayed [259] in tabular form and each item is labeled by its predominant nutritional attribute [260].", "These calculations are stored in a database [258], and personalized reports are generated [261] for individual users.", "These reports also depict past trends, current status and future predictions and display them at the time of analyzing.", "FIG.", "17 illustrates the process flow for predicting a user's craving times and generating proactive distractions to it.", "Snacking adds unnecessary calories, carbs, sodium, fats etc., to the daily intake and can thwart weight-loss.", "A user may get a craving at some time of day for a snack; these cravings typically last several minutes and by distracting the person, attention is diverted and the craving passes.", "The ‘Buzz’ function is a means to request ‘distractions’ from friends or others.", "When a user has a craving and uses the ‘Buzz’ function [271], the use is time-stamped [272], and the buzz requests are stored in a database [273].", "These requests are analyzed and typical craving times of day are computed [274], for that particular user and stored in a database [275].", "At a typical craving time [276], the system checks if the user has already used the Buzz function [277].", "If the user has not used the Buzz function, the system automatically sends a proactive Buzz request to the user's friends [279].", "If the user has already used the Buzz function, no action is taken and any automated buzz is suspended [278].", "After a predetermined delay, the system automatically sends a buzz response to the user [281], drawing from a database of automatic buzz responses [280].", "All buzzes and responses are recorded [282] and stored in a database [283].", "FIG.", "18 illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user and providing personalized advice.", "At any preset time, e.g.", "end of day [293], the AI, nutritionists or other staff analyze the user-uploaded images [294], from the database of user-uploaded images [295], applying issue-analysis criteria [292].", "The criteria may include ‘eating the same food items 3 days in a row’, ‘eating more than 5 servings of carbohydrates’, etc.", "The findings from the analysis are stored in a database [296].", "A nutritionist or other staff professional views the findings for a particular user and manually composes personalized tips [297] that are stored in a database of personalized tips [300].", "At some convenient time of day, the system automatically sends the personalized tips to the respective user [301].", "Alternatively, an artificial intelligence (AI) program [298] may compose such personalized tips.", "An artificial intelligence program [299] also flags issues that may be detrimental to achievement of user objectives (such as eating too many calories, which would not help achieve a weight-loss objective) and store such issues in a database [302].", "This database may be used by a counselor to provide advice to the user on flagged issues [303].", "The artificial intelligence program [304] would use the database [302] to compose and send personalized messages to advise the user about their respective flagged issues.", "FIG.", "19 illustrates the process flow for providing coaching to a user through two-way rich media.", "The registered user's questions are received [311] by the system and stored in a database [312].", "An authorized or user-assigned nutritionist [313] selects a question and views associated user information [314], which may be in the forms of text, images, graphics, voice, etc.", "stored in a database [315].", "Based on analysis of the questions and associated information, the nutritionist or other professional manually composes a multi-media response [317], selecting and attaching appropriate content from the database [316] and storing the response in a database [319].", "Alternatively, an artificial intelligence program [318] may compose and store the response.", "The system sends the response to the user [320].", "The user may view the response and enter further clarifying questions or comments [321].", "Such two-way interactions continue until the user concludes the interaction.", "Various modifications and adaptations on the described preferred embodiments can be configured without departing from the scope and spirit of the invention.", "Therefore, it is to be understood that within the scope of the appended claims, the invention may be practiced other than as specifically described herein." ] ]
Patent_15875771
[ [ "COATED COMPRESSIVE SUBPAD FOR CHEMICAL MECHANICAL POLISHING", "Coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks are described.", "In an example, a polishing pad stack for polishing a substrate includes a polishing pad having a polishing surface and a back surface.", "The polishing pad stack also includes a compressive subpad with a first surface having a first pressure sensitive adhesive layer coated thereon.", "The first surface of the compressive subpad is coupled directly to the back surface of the polishing pad by the first pressure sensitive adhesive layer." ], [ "1.A method of fabricating a polishing pad stack for polishing a substrate, the method comprising: coating a first pressure sensitive adhesive layer on a first surface of a compressive subpad material; coating a second pressure sensitive adhesive layer on a second, opposite, surface of the compressive subpad material; and adhering the first surface of the compressive subpad material directly to a back surface of a polishing pad by the first pressure sensitive adhesive layer.", "2.The method of claim 1, coating the first pressure sensitive adhesive layer comprises coating a permanent pressure sensitive adhesive layer, and wherein coating the second pressure sensitive adhesive layer comprises coating a removable pressure sensitive adhesive layer.", "3.The method of claim 1, wherein coating the first pressure sensitive adhesive layer comprises dispensing and then spreading a first solvent-based adhesive formulation on the first surface of the compressive subpad material, and wherein coating the second pressure sensitive adhesive layer comprises dispensing and then spreading a second solvent-based adhesive formulation on the second surface of the compressive subpad material.", "4.The method of claim 3, wherein dispensing and then spreading the first solvent-based adhesive formulation on the first surface of the compressive subpad material is performed prior to dispensing and then spreading the second solvent-based adhesive formulation on the second surface of the compressive subpad material.", "5.The method of claim 3, wherein dispensing and then spreading the first solvent-based adhesive formulation on the first surface of the compressive subpad material is performed subsequent to dispensing and then spreading the second solvent-based adhesive formulation on the second surface of the compressive subpad material.", "6.The method of claim 3, the method further comprising: subsequent to dispensing and then spreading the first solvent-based adhesive formulation on the first surface of the compressive subpad material and dispensing and then spreading the second solvent-based adhesive formulation on the second surface of the compressive subpad material, drying the first and second solvent-based adhesive formulations to remove substantially all solvent from the first and second solvent-based adhesive formulations.", "7.The method of claim 3, wherein the compressive subpad is a compressive foam subpad, the method further comprising: prior to coating the first and second pressure sensitive adhesive layers, treating at least one of the first or second surfaces of the compressive foam subpad material with a process selected from the group consisting of a corona discharge treatment, a plasma treatment, and a flame treatment.", "8.The method of claim 1, wherein coating the first pressure sensitive adhesive layer comprises applying a first solvent-based adhesive formulation on the first surface of the compressive subpad material at a temperature less than approximately 50 degrees Celsius and, subsequently, drying the first solvent-based adhesive formulation in an air dryer at a temperature less than approximately 50 degrees Celsius.", "9.The method of claim 1, further comprising: applying a release liner to the second pressure sensitive adhesive layer.", "10.The method of claim 1, wherein coating the second pressure sensitive adhesive layer on the second, opposite, surface of the compressive subpad material comprises applying the second pressure sensitive adhesive layer to a release liner and then applying the second pressure sensitive adhesive layer to the second, opposite, surface of the compressive subpad by the release liner.", "11.The method of claim 1, wherein adhering the first surface of the compressive subpad material directly to the back surface of the polishing pad comprises adhering the first surface of the compressive subpad material directly to the back surface of a thermoset polyurethane polishing pad.", "12.A subpad for a polishing pad stack, the subpad comprising: a compressive subpad material having a first surface and a second, opposite, surface; a first pressure sensitive adhesive layer coated on the first surface of the compressive subpad material, and a first release liner disposed on the first pressure sensitive adhesive layer; and a second pressure sensitive adhesive layer coated on the second surface of the compressive subpad material.", "13.The subpad of claim 12, further comprising: a second release liner disposed on the second pressure sensitive adhesive layer.", "14.The subpad of claim 12, wherein the first pressure sensitive adhesive layer is a removable pressure sensitive adhesive layer, and wherein the second pressure sensitive adhesive layer is a permanent pressure sensitive adhesive layer.", "15.The subpad of claim 14, wherein the permanent pressure sensitive adhesive layer is for coupling to a back surface of a polishing pad, and wherein the removable pressure sensitive adhesive layer is for coupling the subpad to a platen of a chemical mechanical polishing apparatus.", "16.The subpad of claim 12, wherein the second pressure sensitive adhesive layer has a peel strength of greater than approximately 4.5 pounds per inch at 25 degrees Celsius, and wherein the first pressure sensitive adhesive layer has a peel strength of less than approximately 4 pounds per inch at 25 degrees Celsius.", "17.The subpad of claim 12, wherein the compressive subpad material is a compressive foam subpad material comprising a material selected from the group consisting of an ethylene vinyl acetate closed cell foam material, a polyethylene closed cell foam material, and a polyurethane mostly closed cell foam material.", "18.The subpad of claim 12, the compressive subpad material is a compressive foam subpad material, and wherein the first and second surfaces of the compressive foam subpad material each have a surface energy approximately in the range of 30-40 dyne/cm2.19.The subpad of claim 9, wherein the compressive subpad material has a thickness approximately in the range of 10 mils to 40 mils.", "20.The subpad of claim 12, wherein the second pressure sensitive adhesive layer comprises a first material selected from the group consisting of an acrylic material, a rubber, ethylene vinyl acetate, a silicone material, and a block co-polymer, and wherein the first pressure sensitive adhesive layer comprises a second material selected from the group consisting of an acrylic material, a rubber, ethylene vinyl acetate, a silicone material, and a block co-polymer.", "21.The subpad of claim 12, wherein the first surface of the compressive subpad material has a surface roughness of at least 3 microns and has a total surface area, and the first pressure sensitive adhesive layer is in direct contact with at least 90% of the total surface area of the first surface of the compressive subpad material.", "22.The subpad of claim 21, wherein the second surface of the compressive subpad material has a surface roughness of at least 3 microns and has a total surface area, and the second pressure sensitive adhesive layer is in direct contact with at least 90% of the total surface area of the second surface of the compressive subpad material." ], [ "<SOH> BACKGROUND <EOH>Chemical-mechanical planarization or chemical-mechanical polishing, commonly abbreviated CMP, is a technique used in semiconductor fabrication for planarizing a semiconductor wafer or other substrate.", "The process uses an abrasive and/or corrosive chemical slurry (commonly a colloid) in conjunction with a polishing pad and retaining ring, typically of a greater diameter than the wafer.", "The polishing pad and wafer are pressed together by a dynamic polishing head and held in place by a plastic retaining ring.", "The dynamic polishing head is rotated during polishing.", "This approach aids in removal of material and tends to even out any irregular topography, making the wafer flat or planar.", "This may be necessary in order to set up the wafer for the formation of additional circuit elements.", "For example, this might be necessary in order to bring the entire surface within the depth of field of a photolithography system, or to selectively remove material based on its position.", "Typical depth-of-field requirements are down to Angstrom levels for the latest sub-50 nanometer technology nodes.", "The process of material removal is not simply that of abrasive scraping, like sandpaper on wood.", "The chemicals in the slurry also react with and/or weaken the material to be removed.", "The abrasive accelerates this weakening process and the polishing pad helps to wipe the reacted materials from the surface.", "In addition to advances in slurry technology, the polishing pad plays a significant role in increasingly complex CMP operations.", "However, additional improvements are needed in the evolution of CMP pad technology." ], [ "<SOH> SUMMARY <EOH>Embodiments of the present invention include coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks.", "In an embodiment, a polishing pad stack for polishing a substrate includes a polishing pad having a polishing surface and a back surface.", "The polishing pad stack also includes a compressive subpad with a first surface having a first pressure sensitive adhesive layer coated thereon.", "The first surface of the compressive subpad is coupled directly to the back surface of the polishing pad by the first pressure sensitive adhesive layer.", "In another embodiment, a method of fabricating a polishing pad stack for polishing a substrate involves coating a first pressure sensitive adhesive layer on a first surface of a compressive subpad material.", "The method also involves coating a second pressure sensitive adhesive layer on a second, opposite, surface of the compressive subpad material.", "The method also involves adhering the first surface of the compressive subpad material directly to a back surface of a polishing pad by the first pressure sensitive adhesive layer.", "In another embodiment, a subpad for a polishing pad stack includes a compressive subpad material having a first surface and a second, opposite, surface.", "A first pressure sensitive adhesive layer is coated on the first surface of the compressive subpad material.", "A first release liner is disposed on the first pressure sensitive adhesive layer.", "A second pressure sensitive adhesive layer is coated on the second surface of the compressive subpad material." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a divisional of co-pending application Ser.", "No.", "14/635,973, filed on Mar.", "2, 2015, which claims the benefit of U.S.", "Provisional Application No.", "62/083,101, filed on Nov. 21, 2014, the entire contents of which are hereby incorporated by reference herein.", "TECHNICAL FIELD Embodiments of the present invention are in the field of chemical mechanical polishing (CMP) and, in particular, coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks.", "BACKGROUND Chemical-mechanical planarization or chemical-mechanical polishing, commonly abbreviated CMP, is a technique used in semiconductor fabrication for planarizing a semiconductor wafer or other substrate.", "The process uses an abrasive and/or corrosive chemical slurry (commonly a colloid) in conjunction with a polishing pad and retaining ring, typically of a greater diameter than the wafer.", "The polishing pad and wafer are pressed together by a dynamic polishing head and held in place by a plastic retaining ring.", "The dynamic polishing head is rotated during polishing.", "This approach aids in removal of material and tends to even out any irregular topography, making the wafer flat or planar.", "This may be necessary in order to set up the wafer for the formation of additional circuit elements.", "For example, this might be necessary in order to bring the entire surface within the depth of field of a photolithography system, or to selectively remove material based on its position.", "Typical depth-of-field requirements are down to Angstrom levels for the latest sub-50 nanometer technology nodes.", "The process of material removal is not simply that of abrasive scraping, like sandpaper on wood.", "The chemicals in the slurry also react with and/or weaken the material to be removed.", "The abrasive accelerates this weakening process and the polishing pad helps to wipe the reacted materials from the surface.", "In addition to advances in slurry technology, the polishing pad plays a significant role in increasingly complex CMP operations.", "However, additional improvements are needed in the evolution of CMP pad technology.", "SUMMARY Embodiments of the present invention include coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks.", "In an embodiment, a polishing pad stack for polishing a substrate includes a polishing pad having a polishing surface and a back surface.", "The polishing pad stack also includes a compressive subpad with a first surface having a first pressure sensitive adhesive layer coated thereon.", "The first surface of the compressive subpad is coupled directly to the back surface of the polishing pad by the first pressure sensitive adhesive layer.", "In another embodiment, a method of fabricating a polishing pad stack for polishing a substrate involves coating a first pressure sensitive adhesive layer on a first surface of a compressive subpad material.", "The method also involves coating a second pressure sensitive adhesive layer on a second, opposite, surface of the compressive subpad material.", "The method also involves adhering the first surface of the compressive subpad material directly to a back surface of a polishing pad by the first pressure sensitive adhesive layer.", "In another embodiment, a subpad for a polishing pad stack includes a compressive subpad material having a first surface and a second, opposite, surface.", "A first pressure sensitive adhesive layer is coated on the first surface of the compressive subpad material.", "A first release liner is disposed on the first pressure sensitive adhesive layer.", "A second pressure sensitive adhesive layer is coated on the second surface of the compressive subpad material.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates a cross-sectional view of a state of the art polishing pad and subpad pairing.", "FIG.", "2 illustrates a cross-sectional view of a polishing pad and subpad pairing, in accordance with an embodiment of the present invention.", "FIG.", "3A is cross-sectional scanning electron microscope (SEM) image of an exemplary compressive foam subpad, in accordance with an embodiment of the present invention.", "FIG.", "3B is cross-sectional scanning electron microscope (SEM) image of another exemplary compressive foam subpad, in accordance with another embodiment of the present invention.", "FIG.", "4A illustrates a cross-sectional view of a state of the art subpad having an adhesive film laminated thereon.", "FIG.", "4B illustrates a cross-sectional view of a subpad having a pressure sensitive adhesive layer coated thereon, in accordance with an embodiment of the present invention.", "FIG.", "5 illustrates a cross-sectional view of a polishing pad and subpad pairing where the polishing pad includes a polishing layer and a foundation layer, in accordance with an embodiment of the present invention.", "FIG.", "6 illustrates a state of the art four step lamination pass pad/subpad fabrication process.", "FIG.", "7 illustrates a subpad fabrication process involving coating of pressure sensitive adhesive layers onto the subpad material, in accordance with an embodiment of the present invention.", "FIG.", "8 illustrates coating of a pressure sensitive adhesive layer onto a subpad material using a Meyer bar, in accordance with an embodiment of the present invention.", "FIG.", "9 illustrates an apparatus for performing a Corona discharge treatment of a compressive foam subpad surface prior to coating an adhesive layer thereon, in accordance with an embodiment of the present invention.", "FIG.", "10 illustrates an isometric side-on view of a polishing apparatus compatible with a polishing pad/subpad polishing pad stack, in accordance with an embodiment of the present invention.", "DETAILED DESCRIPTION Coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks are described herein.", "In the following description, numerous specific details are set forth, such as specific polishing pad and subpad compositions and designs, in order to provide a thorough understanding of embodiments of the present invention.", "It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details.", "In other instances, well-known processing techniques, such as details concerning the combination of a slurry with a polishing pad to perform CMP of a semiconductor substrate, are not described in detail in order to not unnecessarily obscure embodiments of the present invention.", "Furthermore, it is to be understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.", "Polishing pads for CMP operations may have trade-offs in performance such as a trade-off between across-wafer polishing uniformity versus within die polishing uniformity.", "For example, hard polishing pads may exhibit good die-level planarization, but poor across-wafer uniformity.", "A compressible subpad can be included with a polishing pad or layer to improve the global non-uniformity performance of the overlying polishing pad or layer.", "In accordance with one or more embodiments herein, double coated subpads are described, such as double coated foam subpads.", "For example, an adhesive coated foam can be used as a foundation (subpad) layer for CMP polishing pads.", "The adhesive coated foam may be made using one or combination of the following features: (1) the adhesive may be directly coated onto one surface of the subpad material, (2) the adhesive can be directly coated onto both surfaces of the subpad material, (3) one adhesive can be a removable adhesive to enable application of a subpad/polishing layer pairing to a platen, and/or (4) one adhesive can be a permanent adhesive to promote strong bonding of the subpad to the back side of the polishing pad or layer.", "To provide context, state of the art for subpad coupling to a polishing pad or layer involves use of a two-sided tape (such as a pressure sensitive adhesive, PSA, two-sided tape) to bond the subpad to the polishing pad.", "A second two-sided tape is laminated to the side of the subpad that will ultimately be coupled to a platen of a CMP apparatus.", "For example, fabrication of a polishing pad stack can involve laminating a PSA two-sided tape to both of the subpad faces.", "FIG.", "1 illustrates a cross-sectional view of a state of the art polishing pad and subpad pairing.", "Referring to FIG.", "1, a polishing pad 100 (top pad or polishing layer) is coupled to a subpad 102.The face of the subpad 102 proximate to the top pad 100 is coupled to the top pad 100 by a first PSA two-sided tape 104.The first PSA two-sided tape 104 may include a carrier film 104A (such as a polyethylene terephthalate, PET, film) which has a first permanent PSA layer 104B and a second permanent PSA layer 104C thereon.", "The face of the subpad 102 distal from the top pad 100 has a second PSA two-sided tape 106 thereon.", "The second PSA two-sided tape 106 may include a carrier film 106A (such as a PET film) which has a first permanent PSA layer 106B and a second permanent PSA layer 106C thereon.", "The second PSA two-sided tape 106 may be further coupled to a third PSA two-sided tape 108.The third PSA two-sided tape 108 may include a carrier film 108A (such as a PET film) which has a first permanent PSA layer 108B and a second removable PSA layer 108C thereon.", "The third PSA two-sided tape 108 may be suitable for removably coupling the top pad 100/subpad 102 polishing pad stack to a platen 110 of a CMP polishing apparatus, as is depicted in FIG.", "1.There may be disadvantages associated with the type of polishing pad stack depicted in FIG.", "1, as described below.", "In contrast to the polishing pad stack of FIG.", "1, FIG.", "2 illustrates a cross-sectional view of a polishing pad and subpad pairing, in accordance with an embodiment of the present invention.", "Referring to FIG.", "2, a polishing pad stack for polishing a substrate includes a polishing pad 200 (top pad or polishing layer) coupled to a subpad 202, which may be a compressive subpad.", "The polishing pad 200 has a polishing surface distal from the subpad 202, and a back surface proximate to the subpad 202.A first (upper) surface of the subpad 202 has a first pressure sensitive adhesive layer 204 coated thereon.", "The upper surface of the subpad 202 is coupled directly to the back surface of the polishing pad 200 by the first pressure sensitive adhesive layer 204.Referring again to FIG.", "2, in an embodiment, the polishing pad stack further includes a second pressure sensitive adhesive layer 206 coated on a second (lower) surface of the compressive subpad 202.In one such embodiment, the first pressure sensitive adhesive layer 204 is a permanent pressure sensitive adhesive layer for strong adhesion to the polishing pad 200.The second pressure sensitive adhesive layer 206 is a removable pressure sensitive adhesive layer and is for removably coupling the polishing pad stack to a platen 210 of a chemical mechanical polishing apparatus.", "Although depicted in FIG.", "2 as already coupled to a platen, in an embodiment, the polishing pad stack of FIG.", "2 may include a release liner on the second pressure sensitive adhesive layer 206.Such a release liner may be used for transport and storage of a polishing pad stack, and may be removed to expose the second pressure sensitive adhesive layer 206 prior to coupling the polishing pad stack to a platen.", "Advantages of the polishing pad stack of FIG.", "2 over the polishing pad stack of FIG.", "1 may be realized from the non-interrupted subpad construction achieved with the polishing pad stack type of FIG.", "2.In particular, the number of interfaces is limited for adhesion failure.", "Additionally, there may be less processing of the adhesive layers of the polishing pad stack of FIG.", "2, as is described in greater detail below.", "In an embodiment, direct coating of an adhesive onto a compressive subpad surface aids in sealing foam pores in the case of a compressive foam subpad.", "Furthermore, the overall pad thickness may be reduced since there are no carrier films associated with the pressure sensitive adhesive layers, and the number of layers in the composite material is limited.", "In an embodiment, direct adhesive coating offers superior adhesive anchorage to low surface energy foam that would otherwise be difficult when laminating a PSA two-sided tape to the surface of the subpad.", "Finally, the number of manufacturing operations may be reduced from four to as low as one lamination operation (the lamination a coated subpad to a polishing pad), as is described in greater detail below.", "Such a reduction in lamination operations and the achieved simplicity of construction of the polishing pad stack can result in potential reductions in manufacturing cost of the polishing pad stack.", "Referring again to FIG.", "2, a pressure sensitive adhesive layer, such as permanent pressure sensitive adhesive layer 204 or removable adhesive layer 206, requires only light to moderate pressure to adhere to a workpiece (such as light to moderate pressure to adhere a subpad 202 having a pressure sensitive adhesive layer coated thereon to a polishing pad 200).", "A pressure sensitive adhesive layer is not a glue layer which would otherwise require some level of curing.", "A pressure sensitive adhesive layer is also not a hot melt layer which otherwise requires heat for the adhesion to a workpiece.", "A pressure sensitive adhesive layer, as defined herein, also does not require the addition of water to activate the adhesion to a workpiece.", "Referring again to FIG.", "2, in an embodiment, the permanent pressure sensitive adhesive layer 204 has a peel strength of greater than approximately 4.5 pounds per inch at 25 degrees Celsius.", "The removable pressure sensitive adhesive layer 206 has a peel strength of less than approximately 4 pounds per inch at 25 degrees Celsius.", "In a particular embodiment, the removable pressure sensitive adhesive layer 206 has a peel strength of less than approximately 2.5 pounds per inch at 25 degrees Celsius.", "As used herein, peel strength is defined as strength at maximum adhesion of the pressure sensitive layer, where the test method use is defined in ASTM D3330.In an embodiment, the permanent pressure sensitive adhesive layer 204 or the removable pressure sensitive adhesive layer 206, or both, is a layer of material such as, but not limited to, an acrylic material, a rubber, ethylene vinyl acetate, a silicone material, or a block co-polymer.", "The layer often incudes a tackifying resin in the formulation.", "In an embodiment, the permanent pressure sensitive adhesive layer 204 or the removable pressure sensitive adhesive layer 206, or both, has a thickness of approximately 2 mils.", "As mentioned briefly above, in an embodiment, the compressive subpad 202 is a compressive foam subpad.", "In one such embodiment, the compressive foam subpad is post processed foam material (e.g., processed using a Corona treatment, as described in greater detail below) that provides improved thickness uniformity or improved surface energetics, or both.", "In an embodiment, the compressive foam subpad is composed of a material such as, but not limited to, an ethylene vinyl acetate closed cell foam material, a polyethylene closed cell foam material, or a polyurethane mostly closed cell foam material.", "In an embodiment, one or both of the surface of the compressive subpad (i.e., the surface that is coupled to a polishing pad or the surface for coupling to a platen) has a surface energy approximately in the range of 30-40 dyne/cm2 (as measured prior to any post processing such as a Corona treatment).", "In an embodiment, the compressive subpad has a thickness approximately in the range of 10 mils to 40 mils.", "FIGS.", "3A and 3B are cross-sectional scanning electron microscope (SEM) images of exemplary compressive foam subpads, in accordance with an embodiment of the present invention.", "Referring to SEM image 300A of FIG.", "3A, a closed cell ethyl vinyl acetate (EVA) foam subpad is shown magnified at 100× magnification.", "Referring to SEM image 300B of FIG.", "3B, a closed cell polyethylene (PE) foam subpad is shown magnified at 100× magnification.", "Referring again to FIGS.", "3A and 3B, in an embodiment, the subpad foam layer is an EVA foam or polyethylene foam having low surface energy, typically 30-35 dyne/cm2.The surface energy is typically measured with an Accu Dyne pen that applies a simple solution using ASTM standard Test Method D 2578.The most common solution used includes ethyl cellosolve, formamide and a dye to make it easier to detect with the naked eye.", "The variation in concentration of the ethyl cellosolve % vs. the formamide % results in different dyne level solutions with each pen.", "Referring again to FIG.", "2, the permanent pressure sensitive adhesive layer 204 is coated on the surface of the subpad 202 proximate to the polishing pad 200.The removable pressure sensitive adhesive layer 206 is coated on the surface of the subpad 202 distal to the polishing pad 200.Use of the term “coated” is used to distinguish embodiments of the present invention from situations where a PSA such as a PSA two-sided tape is laminated on the surface of a subpad for ultimate coupling of the subpad to a polishing pad or to a platen (depending on the side of the subpad having the PSA laminated thereon).", "The term “coated” is also used to distinguish embodiments of the present invention from situations where a PSA such as a PSA two-sided tape is first laminated on the back surface of a polishing pad and subsequently used to adhere the polishing pad to the subpad through another lamination process.", "By contrast, in accordance with embodiments described herein, an adhesive layer is coated on a surface of a subpad as a “wet” layer by a process such as, but not limited to, a dipping process, a rolling process, or a spreading process.", "The coated layer is then dried to remove any carrier solvents used to apply the adhesive material on the surface of the subpad.", "The dried layer coated on a subpad surface is the pressure sensitive adhesive layer (either permanent or removable, depending on which side of the subpad is referenced).", "As an exemplary advantage of a coated adhesive layer as opposed to a laminated adhesive film on the surface of a subpad, embodiments of the present invention provide a pressure sensitive adhesive layer on the surface of a subpad where the surface area contact between the pressure sensitive adhesive layer and the surface of the subpad is greater than approximately 90%, and in some embodiments greater than approximately 95%.", "FIG.", "4A illustrates a cross-sectional view of a state of the art subpad having an adhesive film laminated thereon.", "FIG.", "4B illustrates a cross-sectional view of a subpad having a pressure sensitive adhesive layer coated thereon, in accordance with an embodiment of the present invention.", "Referring to FIG.", "4A, a conventional laminated adhesive sheet 400 may not have substantial surface area contact between the laminated sheet 400 and a topographical surface 402 of a closed cell sub pad 404.As shown in FIG.", "4A, the laminated adhesive sheet 400 does not substantially fill surface voids/topography 402 of a surface of the subpad 404.It is to be appreciated that the same scenario may occur for the other (bottom) surface of the subpad 404.By contrast, in accordance with an embodiment of the present invention, referring to FIG.", "4B, a coated pressure sensitive adhesion layer 450 substantially fills surface voids/topography 452 of a surface of a subpad 454.The result can be an ultimate increase in adhesion strength between the pressure sensitive adhesion layer 450 and the subpad 454.It is to be appreciated that the same scenario may occur for the other (bottom) surface of the subpad 454.In an exemplary embodiment, the surface 452 of the compressive subpad 454 has a surface roughness of at least 3 microns and has a total surface area.", "The coated pressure sensitive adhesive layer 450 is in direct contact with at least 90% of the total surface area of the surface 452 of the compressive subpad 454.In some embodiment, the coated pressure sensitive adhesive layer 450 is in direct contact with greater than approximately 95% of the total surface area of the surface 452 of the compressive subpad 454.Referring again to FIG.", "2, in an embodiment, the polishing pad 200 coupled to the subpad 202 is a homogeneous or single layer polishing pad, as is depicted in FIG.", "2.In one such embodiment, the polishing pad 200 is composed of a thermoset polyurethane material, as described in greater detail below.", "In another embodiment, however, the polishing pad 200 is a composite polishing pad.", "In one such embodiment, the polishing pad 200 includes a polishing layer and a foundation layer that together define the polishing pad 200.As an example of the latter scenario, FIG.", "5 illustrates a cross-sectional view of a polishing pad and subpad pairing where the polishing pad includes a polishing layer and a foundation layer, in accordance with an embodiment of the present invention.", "Referring to FIG.", "5, a polishing pad stack includes a polishing pad 500.The polishing pad includes a polishing layer 508 coupled to a foundation layer 502.As such, the back surface of the polishing pad 500 is a surface of the foundation layer 502.The front surface 504 of the foundation layer 502 is bonded to a surface polishing layer 508.In an embodiment, the polishing surface layer 508 includes a continuous layer portion 508A with a plurality of polishing features 508B protruding there from, as depicted in FIG.", "5.It is the continuous layer portion 508A that is bonded with the foundation layer 502.In an embodiment, the foundation layer 502 is composed of a polycarbonate material, and the polishing surface layer 508 is a polyurethane material.", "In a specific such embodiment, the polishing surface layer 508 is covalently bonded to the foundation layer 502.The term “covalently bonded” refers to arrangements where atoms from a first material (e.g., the material of a polishing surface layer) are cross-linked or share electrons with atoms from a second material (e.g., the material of a foundation layer) to effect actual chemical bonding.", "Covalent bonding is distinguished from mechanical bonding, such as bonding through screws, nails, glues, or other adhesives.", "In another specific embodiment, the polishing surface layer 508 is not covalently bonded, but is rather only electrostatically bonded (yet still directly bonded), to the foundation layer 502.Such electrostatic bonding may involve van der Waals type interactions between the foundation layer 502 and the polishing surface layer 508.Referring again to FIG.", "5, the polishing pad stack includes subpad 550, which may be a compressive closed cell foam subpad.", "A first (upper) surface of the subpad 550 has a first pressure sensitive adhesive layer 552 coated thereon.", "The upper surface of the subpad 550 is coupled directly to the back surface of the foundation layer 502 of the polishing pad 500 by the first pressure sensitive adhesive layer 552.In an embodiment, a second pressure sensitive adhesive layer 554 is coated on a second (lower) surface of the compressive subpad 550.In one such embodiment, the first pressure sensitive adhesive layer 552 is a permanent pressure sensitive adhesive layer for strong adhesion to the polishing pad 500.The second pressure sensitive adhesive layer 554 is a removable pressure sensitive adhesive layer and is for removably coupling the polishing pad stack to a platen 556 of a chemical mechanical polishing apparatus.", "Although depicted in FIG.", "5 as already coupled to a platen, in an embodiment, the polishing pad stack of FIG.", "5 may include a release liner on the second pressure sensitive adhesive layer 554.Such a release liner may be used for transport and storage of a polishing pad stack, and may be removed to expose the second pressure sensitive adhesive layer 554 prior to coupling the polishing pad stack to a platen.", "As mentioned briefly above, polishing pad stacks including subpads such as those described herein may be fabricated using a reduced number of lamination processes than are otherwise used for state of the art pad stack fabrication.", "As a comparative example, FIG.", "6 illustrates a state of the art four step lamination pass pad/subpad fabrication process.", "Referring to FIG.", "6, a first lamination pass (Lamination Pass 1) involves lamination of a permanent PSA two-sided tape 602 on the back side of a polishing pad (top pad) 600.Excess of the permanent PSA two-sided tape is then trimmed off.", "A second lamination pass (Lamination Pass 2) involves lamination of a subpad foam material 604 onto the permanent PSA two-sided tape 602 on the back up the polishing pad 600.Excess of the foam material is then trimmed off.", "A third lamination pass (Lamination Pass 3) involves lamination of a second permanent PSA two-sided tape 606 onto the subpad foam material 604.Excess of the second permanent PSA two-sided tape is then trimmed off.", "A fourth lamination pass (Lamination Pass 4) involves lamination of a removable PSA two-sided tape 608 onto the second permanent PSA two-sided tape 606.Excess of the removable PSA two-sided tape is then trimmed off.", "A laminator 650 may be used where the polishing pad 652 is laminated with a roll 654 of the permanent PSA two-sided tape 602, the subpad foam material 604, the second permanent PSA two-sided tape 606 or the removable PSA two-sided tape 608, depending on which lamination pass is being performed.", "The resulting pad stack may be one such as described in association with FIG.", "1.In contrast to the above described multi-lamination process, FIG.", "7 illustrates a subpad fabrication process involving coating of pressure sensitive adhesive layers onto the subpad material, in accordance with an embodiment of the present invention.", "Referring to FIG.", "7, a subpad foam material 702 is used as a carrier film for a coating process.", "The subpad foam material 702 is fed into application rollers 704 and 706 which apply a first pressure sensitive adhesive material 708 onto a first side 710 of the subpad foam material 702.A spreader bar or Meyer bar 712 is used to remove excess of and to evenly distribute the first pressure sensitive adhesive material 708 on the first side 710 of the subpad foam material 702.Referring again to FIG.", "7, the subpad foam material 702 is then fed into application rollers 724 and 726 which apply a second pressure sensitive adhesive material 728 onto a second side 730 of the subpad foam material 702.A spreader bar or Meyer bar 732 is used to remove excess of and to evenly distribute the second pressure sensitive adhesive material 728 on the second side 730 of the subpad foam material 702.Referring again to FIG.", "7, the doubly coated subpad foam material 702 is then directed to a dryer 740.The drying provides a foam material 702 having a first surface 710 with a first pressure sensitive adhesive layer 746 coated thereon, and having a second surface 730 with a second pressure sensitive adhesive layer 748 coated thereon.", "Once transported through the dryer 740, further processing of the foam material 702 having the first 746 and second 748 pressure sensitive adhesive layers thereon may be performed, as described in greater detail below.", "Referring generally to FIG.", "7, in an embodiment, a method of fabricating a polishing pad stack for polishing a substrate involves coating a first pressure sensitive adhesive layer 708 on a first surface 710 of a compressive subpad material 702.The method also involves coating a second pressure sensitive adhesive layer 728 on a second, opposite, surface 730 of the compressive subpad material 702.In an embodiment, the first pressure sensitive adhesive layer 708 is a permanent pressure sensitive adhesive layer, and the second pressure sensitive adhesive layer 728 is a removable pressure sensitive adhesive layer.", "In another embodiment, the first pressure sensitive adhesive layer 708 is a removable pressure sensitive adhesive layer, and the second pressure sensitive adhesive layer 728 is a permanent pressure sensitive adhesive layer.", "In either case, in an embodiment, for the removable pressure sensitive adhesive layer, a primer is applied to the associated side of the subpad material for increasing the adhesion of the removable pressure sensitive adhesive layer to the subpad material but retaining removability for the platen side of the removable pressure sensitive adhesive layer.", "In an embodiment, coating of either the first or second pressure sensitive adhesive layer involves dispensing and then spreading a solvent-based adhesive formulation on a surface of the compressive subpad material.", "In one such embodiment, subsequent to dispensing and then spreading a first solvent-based adhesive formulation on a first surface of the compressive subpad material and dispensing and then spreading a second solvent-based adhesive formulation on a second surface of the compressive subpad material, the first and second solvent-based adhesive formulations are dried (e.g., through dryer 740) to remove substantially all solvent from the first and second solvent-based adhesive formulations.", "In an embodiment, a pressure sensitive adhesive layer is applied as a solvent-based adhesive formulation on a surface of the compressive subpad material at a temperature less than approximately 50 degrees Celsius.", "Subsequently, the solvent-based adhesive formulation is dried in an air dryer at a temperature less than approximately 50 degrees Celsius.", "Thus, referring generally to FIG.", "7, providing PSA layers on a subpad material involves coating an adhesive/solvent solution onto the subpad material which acts a carrier film or a support layer in the fabrication process.", "The viscosity of the adhesive formulation determines the coating method.", "The adhesive formulation can be applied as an adhesive material carried in a solvent.", "An exemplary coating method is a Meyer Rod Coating approach.", "FIG.", "8 illustrates coating of a pressure sensitive adhesive layer onto a subpad material using a Meyer bar, in accordance with an embodiment of the present invention.", "Referring to FIG.", "8, an applicator roll 802 delivers an adhesive formulation 804 to the surface of a subpad material 806 being coated.", "In an embodiment, the adhesive formulation 804 is applied at a temperature less than approximately 50 degrees Celsius and, preferably less than approximately 40 degrees Celsius, and most preferably at room temperature or approximately 20-25 degrees Celsius.", "In an embodiment, the temperature of the adhesive formulation 804 at the time of application is less than approximately 50 degrees Celsius and, preferably less than approximately 40 degrees Celsius, and most preferably at room temperature or approximately 20-25 degrees Celsius.", "The applicator roll 802 delivers an excess of material 804.The excess is removed by a Meyer rod or bar 808 (an expanded view of which is depicted in box 810 of FIG.", "8, which shows the rod wrapped with wire).", "The amount of adhesive removed depends on the wire wrapping.", "There may be multiple rods in series to produce smooth accurate coating thicknesses with tolerance at +/−0.001 inch.", "The coated subpad material film is then directed 812 to an air dryer for solvent evaporation.", "In an embodiment, the subpad having the adhesive coated thereon enters the dryer approximately 2-4 minutes after the adhesive is coated on the subpad.", "In an embodiment, the subpad is dried in the air dryer for approximately 20 minutes.", "Referring again to FIG.", "7, a release liner 790 is then applied to one of the coated adhesive layers at the end of the coating process.", "In one embodiment, the release liner 790 is added to the removable pressure sensitive adhesive layer after the removable pressure sensitive adhesive layer has been coated on the subpad material.", "In other embodiments, however, the removable pressure sensitive adhesive layer is coated on the subpad material by first applying the removable pressure sensitive adhesive material to the release liner and then delivering the removable pressure sensitive adhesive material to the subpad material.", "In either case, such a release liner may be removed prior to coupling the removable pressure sensitive adhesive layer to a platen.", "In an embodiment, although not depicted, a second release liner is added to the permanent pressure sensitive adhesive layer.", "Such a second release liner may be included for transporting or storage of a coated subpad, but removed prior to adhering the coated subpad to a polishing pad via the permanent pressure sensitive adhesive layer of the coated subpad.", "In other embodiments, however, a roll of the subpad material is formed without a release liner being included on the side of the subpad material having the permanent pressure sensitive adhesive layer thereon.", "Whether or not the second release liner is included, a polishing pad may be adhered to the coated permanent pressure sensitive adhesive layer of the subpad material by a lamination process (thus leaving a single lamination process in place of the four described in association with FIG.", "6).", "The subpad material may then be cut around the shape of the polishing pad material.", "As described herein, a release liner is a paper or plastic based carrier web material, which has a release agent on one or both sides of the release liner.", "The release agent provides a release effect against any type of a sticky material such as an adhesive.", "Release of the release liner involves separation of the release liner from the pressure sensitive adhesive layer.", "With reference again to the process of FIG.", "7, in an embodiment, the foam material (such as EVA or PE foam material) of the subpad is post processed prior to adhesive coating to achieve a tight gage tolerance of +/−1 mil throughout the web.", "Typically, the material is buffed on one or both sides.", "Such foams can vary in thickness from 15 mils up to 60 mils and may be produced in a wide range of densities ranging from a few pounds up to 60 pound foam.", "Typical gage tolerance is +/10% of the total thickness, which can be as much as +/−6 mils or a total of 12 mils throughout the web.", "It is to be appreciated that, as the semiconductor market technologies become more and more demanding to reduce line width, the consumable set used to manufacture these technologies must also meet tighter material property tolerances.", "Post processing of a foam and subsequent direct adhesive coating can, in an embodiment, reduce the thickness tolerance and provide improved adhesive anchorage.", "Such factors in turn affect the foam compressibility of the subpad material.", "Compressibility is defined as (thickness under a load minus initial thickness)/initial thickness.", "In some embodiment, the desired compressibility is low, e.g., typically less than 10%.", "As described briefly in association with FIG.", "7, a corona 792A and 792B or like treatment may be applied to one or both sides of a subpad material prior to adhesive layer coating.", "It is to be appreciated that surface energy properties are an important consideration with industrial coatings.", "The surface energy dictates if an applied coating will be accepted by the surface; this is known as wetting.", "The definition of wetting is the ability of a liquid to maintain contact with a solid surface, resulting from intermolecular interactions when the two are brought together.", "The degree of wetting (wettability) is determined by a force balance between adhesive and cohesive forces.", "For adhesive coatings, the surface tension of the adhesive must be lower than the surface energy of the surface to which they are applied.", "In the case of a material such as an EVA foam, the surface energy of the substrate must be raised to ensure sufficient wet out of the applied liquid (pressure sensitive adhesive coating).", "There are number of methods for such surface treatment such as, but not limited to corona discharge, flame treatment, or plasma treatment.", "Such treatments may be implemented to increase the surface energy of an EVA or PE foam from 30 dyne/cm up to, e.g., 40-42 dynes/cm.", "In one such embodiment, a corona discharge treatment is applied to a compressive foam subpad material just prior to the adhesive coating process, as is shown in FIG.", "7.FIG.", "9 illustrates an apparatus for performing a Corona discharge treatment of a compressive foam subpad surface prior to coating an adhesive layer thereon, in accordance with an embodiment of the present invention.", "Referring to FIG.", "9, a subpad material layer 902 (e.g., compressive foam material layer) is rolled on a tamper roll 904 having a dielectric 906 thereon.", "The arrangement provides an electrode 908 above the subpad material layer 902 with an air gap 910 between the electrode 908 and the subpad material layer 902.A corona generator power supply 912 is coupled to the electrode 908.The corona treatment is a surface modification technique that uses a low temperature corona discharge plasma to impart changes in the properties of a surface of the subpad material layer 902.In an embodiment, referring again to FIGS.", "2 and 5, the polishing pad 200 or the polishing surface layer 508 is a homogeneous polishing pad or polishing surface layer.", "In one such embodiment, the homogeneous polishing pad or polishing surface layer is composed of a thermoset polyurethane material.", "For example, in a specific embodiment, the homogeneous polishing pad or polishing surface layer is composed of a thermoset, closed cell polyurethane material.", "In an embodiment, the term “homogeneous” is used to indicate that the composition of a thermoset, closed cell polyurethane material is consistent throughout the entire composition of the body.", "For example, in an embodiment, the term “homogeneous” excludes polishing pad bodies composed of, e.g., impregnated felt or a composition (composite) of multiple layers of differing material.", "In an embodiment, the term “thermoset” is used to indicate a polymer material that irreversibly cures, e.g., the precursor to the material changes irreversibly into an infusible, insoluble polymer network by curing.", "For example, in an embodiment, the term “thermoset” excludes polishing pads composed of, e.g., “thermoplast” materials or “thermoplastics”—those materials composed of a polymer that turns to a liquid when heated and returns to a very glassy state when cooled sufficiently.", "It is noted that polishing pads made from thermoset materials are typically fabricated from lower molecular weight precursors reacting to form a polymer in a chemical reaction, while pads made from thermoplastic materials are typically fabricated by heating a pre-existing polymer to cause a phase change so that a polishing pad is formed in a physical process.", "Polyurethane thermoset polymers may be selected for fabricating polishing pads described herein based on their stable thermal and mechanical properties, resistance to the chemical environment, and tendency for wear resistance.", "The materials of polishing pad 200 or polishing surface layer 508 may be molded.", "The term “molded” may be used to indicate that the polishing surface layer is formed in a formation mold.", "In an embodiment, the molded polishing pad 200 or polishing surface layer 508, upon conditioning and/or polishing, has a polishing surface roughness approximately in the range of 1-5 microns root mean square.", "In one embodiment, the molded polishing pad 200 or polishing surface layer 508, upon conditioning and/or polishing, has a polishing surface roughness of approximately 2.35 microns root mean square.", "In an embodiment, the molded polishing pad 200 or polishing surface layer 508 has a storage modulus at 25 degrees Celsius approximately in the range of 30-500 megaPascals (MPa).", "In another embodiment, the molded polishing pad 200 or polishing surface layer 508 has a storage modulus at 25 degrees Celsius approximately less than 30 megaPascals (MPa).", "The materials of polishing pad 200 or polishing surface layer 508 may include pore-forming features.", "In an embodiment, the polishing surface layer 108 or 208 has a pore density of closed cell pores approximately in the range of 6%-50% total void volume.", "In one embodiment, the plurality of closed cell pores is a plurality of porogens.", "For example, the term “porogen” may be used to indicate micro- or nano-scale spherical or somewhat spherical particles with “hollow” centers.", "The hollow centers are not filled with solid material, but may rather include a gaseous or liquid core.", "In one embodiment, the plurality of closed cell pores is composed of pre-expanded and gas-filled EXPANCEL™ distributed throughout (e.g., as an additional component in) a polishing surface layer of a polishing pad.", "In a specific embodiment, the EXPANCEL™ is filled with pentane.", "In an embodiment, each of the plurality of closed cell pores has a diameter approximately in the range of 10-100 microns.", "In an embodiment, the plurality of closed cell pores includes pores that are discrete from one another.", "This is in contrast to open cell pores which may be connected to one another through tunnels, such as the case for the pores in a common sponge.", "In one embodiment, each of the closed cell pores includes a physical shell, such as a shell of a porogen, as described above.", "In another embodiment, however, each of the closed cell pores does not include a physical shell.", "In an embodiment, the plurality of closed cell pores is distributed essentially evenly throughout a thermoset polyurethane material of a homogeneous polishing pad or polishing surface layer.", "In an embodiment, polishing pad 200 or polishing surface layer 508 is opaque.", "In one embodiment, the term “opaque” is used to indicate a material that allows approximately 10% or less visible light to pass.", "In one embodiment, the polishing pad 200 or polishing surface layer 508 is opaque in most part, or due entirely to, the inclusion of an opacifying particle filler, such as a lubricant, throughout (e.g., as an additional component in) the polishing pad 200 or polishing surface layer 508.In a specific embodiment, the opacifying particle filler is a material such as, but not limited to boron nitride, cerium fluoride, graphite, graphite fluoride, molybdenum sulfide, niobium sulfide, talc, tantalum sulfide, tungsten disulfide, or Teflon®.", "In another aspect, the polishing pad 200 or polishing surface layer 508 may have a pattern suitable for polishing during a CMP operation.", "In a first general example, some embodiments of the present invention include a plurality of protrusions having a pattern of linear features.", "In a second general example, some embodiments of the present invention include a plurality of protrusions having a pattern of discrete curved features.", "In a specific such example, discrete arc-shaped protrusions are included.", "Other specific such embodiments include, but are not limited to, a plurality of partial circumferential protrusions disposed on a substantially circular polishing pad.", "In a third general example, some embodiments of the present invention include a plurality of protrusions having a pattern of discrete tiles.", "In a specific such embodiment, discrete hexagonal tile protrusions are included.", "Other specific such embodiments include, but are not limited to, pluralities of circular tiles, oval tiles, square tiles, rectangular tiles, or a combination thereof.", "Although the above three general examples are defined in terms of protrusions (e.g., the highest points of a patterned polishing surface layer), the polishing surface layers may also or alternatively be defined in terms of grooves (e.g., the lowest points of a patterned polishing surface layer).", "Individual grooves may be from about 4 to about 100 mils deep at any given point on each groove.", "In some embodiments, the grooves are about 10 to about 50 mils deep at any given point on each groove.", "The grooves may be of uniform depth, variable depth, or any combinations thereof.", "In some embodiments, the grooves are all of uniform depth.", "For example, the grooves of a groove pattern may all have the same depth.", "In some embodiments, some of the grooves of a groove pattern may have a certain uniform depth while other grooves of the same pattern may have a different uniform depth.", "For example, groove depth may increase with increasing distance from the center of the polishing pad.", "In some embodiments, however, groove depth decreases with increasing distance from the center of the polishing pad.", "In some embodiments, grooves of uniform depth alternate with grooves of variable depth.", "Individual grooves may be from about 2 to about 100 mils wide at any given point on each groove.", "In some embodiments, the grooves are about 15 to about 50 mils wide at any given point on each groove.", "The grooves may be of uniform width, variable width, or any combinations thereof.", "In some embodiments, the grooves of a groove pattern are all of uniform width.", "In some embodiments, however, some of the grooves of a groove pattern have a certain uniform width, while other grooves of the same pattern have a different uniform width.", "In some embodiments, groove width increases with increasing distance from the center of the polishing pad.", "In some embodiments, groove width decreases with increasing distance from the center of the polishing pad.", "In some embodiments, grooves of uniform width alternate with grooves of variable width.", "In accordance with the previously described depth and width dimensions, individual grooves may be of uniform volume, variable volume, or any combinations thereof.", "In some embodiments, the grooves are all of uniform volume.", "In some embodiments, however, groove volume increases with increasing distance from the center of the polishing pad.", "In some other embodiments, groove volume decreases with increasing distance from the center of the polishing pad.", "In some embodiments, grooves of uniform volume alternate with grooves of variable volume.", "Grooves of the groove patterns described herein may have a pitch from about 30 to about 1000 mils.", "In some embodiments, the grooves have a pitch of about 125 mils.", "For a circular polishing pad, groove pitch is measured along the radius of the circular polishing pad.", "The grooves may be of uniform pitch, variable pitch, or in any combinations thereof.", "In some embodiments, the grooves are all of uniform pitch.", "In some embodiments, however, groove pitch increases with increasing distance from the center of the polishing pad.", "In some other embodiments, groove pitch decreases with increasing distance from the center of the polishing pad.", "In some embodiments, the pitch of the grooves in one sector varies with increasing distance from the center of the polishing pad while the pitch of the grooves in an adjacent sector remains uniform.", "In some embodiments, the pitch of the grooves in one sector increases with increasing distance from the center of the polishing pad while the pitch of the grooves in an adjacent sector increases at a different rate.", "In some embodiments, the pitch of the grooves in one sector increases with increasing distance from the center of the polishing pad while the pitch of the grooves in an adjacent sector decreases with increasing distance from the center of the polishing pad.", "In some embodiments, grooves of uniform pitch alternate with grooves of variable pitch.", "In some embodiments, sectors of grooves of uniform pitch alternate with sectors of grooves of variable pitch.", "In an embodiment, polishing pad stacks described herein, such as the polishing pad stacks of FIGS.", "2 and 5, are suitable for polishing substrates.", "The substrate may be one used in the semiconductor manufacturing industry, such as a silicon substrate having device or other layers disposed thereon.", "However, the substrate may be one such as, but not limited to, a substrates for MEMS devices, reticles, or solar modules.", "Thus, reference to “a polishing pad for polishing a substrate,” as used herein, is intended to encompass these and related possibilities.", "In an embodiment, a polishing pad stack has a diameter approximately in the range of 20 inches to 30.3 inches, e.g., approximately in the range of 50-77 centimeters, and possibly approximately in the range of 10 inches to 42 inches, e.g., approximately in the range of 25-107 centimeters.", "Subpads described herein may be included with a polishing pad, as described above.", "Such a combined polishing pad/subpad polishing pad stack may be suitable for use with a variety of chemical mechanical polishing apparatuses.", "As an example, FIG.", "10 illustrates an isometric side-on view of a polishing apparatus compatible with a polishing pad/subpad polishing pad stack, in accordance with an embodiment of the present invention.", "Referring to FIG.", "10, a polishing apparatus 1000 includes a platen 1004.The top surface 1002 of platen 1004 may be used to support a polishing pad/subpad polishing pad stack 1099.Platen 1004 may be configured to provide spindle rotation 1006.A sample carrier 1010 is used to hold, e.g., a semiconductor wafer 1011 in place during polishing of the semiconductor wafer with the polishing pad/subpad polishing pad stack 1099 and to provide slider oscillation 1008.Sample carrier 1010 is further supported by a suspension mechanism 1012.A slurry feed 1014 is included for providing slurry to a surface of the polishing pad/subpad polishing pad stack 1099 prior to and during polishing of the semiconductor wafer.", "A conditioning unit 1090 may also be included and, in one embodiment, includes a diamond tip for conditioning the polishing pad of the polishing pad/subpad polishing pad stack 1099 combination.", "In an embodiment, a relatively weaker adhesive coated adhesive layer on the subpad is used to mount the polishing pad/subpad polishing pad stack 1099 on the platen 1004.In an embodiment, a relatively stronger adhesive coated adhesive layer secures the subpad to the polishing pad.", "Thus, coated compressive subpads for polishing pad stacks and methods of fabricating coated compressive subpads for polishing pad stacks have been disclosed." ] ]
Patent_15875773
[ [ "BI-FOLD DOOR LATCH ASSEMBLY", "A latch assembly connected to the drive unit of a bi-fold door retains the bi-fold door in engagement with upright columns of a building with elongated straps attached to the upright columns and rotatable latch drums mounted on a drive shaft of a drive unit.", "Lift devices powered by the drive unit raise and lower the bi-fold door.", "Latch assemblies include springs that maintain tension on the straps during the opening and closing of the bi-fold door." ], [ "1.An apparatus for opening and closing a door opening with a bi-fold door mounted on a structure having the door opening and an upright column adjacent the door opening, the bi-fold door having an upper panel, a lower panel, and hinges connecting the upper panel and the lower panel to allow the upper and lower panels to move from a vertically aligned closed latched position to a folded side-by-side open position comprising: door lift devices operable to selectively open and close the bi-fold door, a door latch assembly operable to latch the bi-fold door into engagement with the upright columns when the bi-fold door is in the closed latched position, a reversible power unit connected to the door lift devices and the door latch assembly for operating the door lift devices and the door latch assembly whereby the door lift devices are operable to open and close the bi-fold door, the door latch assembly is operable to latch the bi-fold door into engagement with the upright column, a drive shaft operatively connected to the reversible power unit, each of the respective door lift devices having a door lift drum secured to the drive shaft, a first strap having a first end and a second end, the first end being connected to the door lift drum whereby the power unit selectively rotates the drive shaft and door lift drum to wind the first strap in overlapping relation on the door lift drum and unwind the first strap off the door lift drum, a first anchor mounted on one panel connected to the second end of the first strap, the door latch assembly having a door latch drum secured to the drive shaft, a second strap having a first end and a second end, the first end of the second strap being connected to the door latch drum whereby when the power unit rotates the drive shaft and the door latch drum to wind the second strap in overlapping relation opposite from the overlapping relation of the first strap on the door lift drum and unwind the second strap off the door latch drum, a second anchor mounted on the upright column connected to the second end of the second strap whereby the power unit rotates the drive shaft, the door lift drum and the door latch drum to wind the first strap on the door lift drum and unwind the second strap off the door latch drum to open the bi-fold door and the power unit rotates the door lift drum and the door latch drum to unwind the first strap off the door lift drum and wind the second strap on the door latch drum to close the bi-fold door and latch the bi-fold door into engagement with the upright column.", "2.The apparatus of claim 1 wherein: the first and second straps are elongated generally flat members.", "3.The apparatus of claim 1 wherein: the first and second straps are flexible and flat, plastic and fiber members.", "4.The apparatus of claim 1 including: a roller assembly mounted on the structure adjacent the bi-fold door, the second strap extending from the door latch drum to the roller assembly and around the roller assembly to the second anchor.", "5.The apparatus of claim 1 including: a device operative to bias the second strap to maintain tension on the second strap during the opening and closing of the door opening with the bi-fold door.", "6.The apparatus of claim 1 including: a kick-out arm device having an arm pivotally connected to the structure, the arm having an eye-shaped outer end and accommodating the second strap, and a spring engaging the arm to bias the arm and the second strap away from the bi-fold door during opening and closing of the bi-fold door.", "7.A combination of a bi-fold door and an apparatus for opening, closing and latching the bi-fold door to upright columns located adjacent opposite sides of a bi-fold door opening and building structure located above the bi-fold door opening comprising: a bi-fold door hinged to a header of the building structure located above the door opening, the bi-fold door having an upper panel, a lower panel and hinges pivotally connecting the upper and lower panels to allow the upper and lower panels to be moved from an aligned closed position to a folded generally side-by-side open position, bi-fold door lift devices operable to selectively move the upper and lower panels of the bi-fold door to the folded generally side-by-side open position and the aligned closed position, bi-fold door latch assemblies operable to retain the bi-fold door in engagement with the upright columns of the structure when the upper and lower panels of the bi-fold door are in the aligned closed position, a reversible power unit mounted on the building structure above the door opening and operatively connected to the bi-fold door lift devices and the door latch assemblies for operating the door lift devices and the door latch assemblies whereby the door lift devices open and close the bi-fold door and the bi-fold door latch assemblies latch the closed bi-fold door in engagement with the upright columns, each of the bi-fold door lift devices having a respective elongated flexible first strap having a first end and a second end, a respective rotatable first drum attached to the first end of the first strap operably connected to the power unit whereby on rotation of the first drum the first strap winds in overlapping relation around the first drum, a respective first anchor mounted on the lower panel connected to the second end of the first strap, each of the door latch assemblies has a respective elongated flexible second strap having a first end and a second end, a respective second rotatable drum attached to the first end of the second strap operatively connected to the power unit whereby on rotation of the second drum the second strap winds in overlapping relation around the second drum in a direction opposite from the overlapping relation of the first strap and the first drum, a respective second anchor secured to an upright column, the respective second end of the second strap being connected to a second anchor whereby when the power unit rotates the first and second rotatable drums to wind the first strap onto the first drum and unwind the second strap off the second drum the upper and lower panels move relative to each other to a side-by-side relationship to open the bi-fold door and when the power unit rotates the first and second drums to unwind the first strap off the first drum and wind the second strap onto the second drum the upper and lower panels move relative to each other to aligned relationship to close the bi-fold door and retain the bi-fold door in engagement with the upright columns of the structure.", "8.The combination of claim 7 wherein: the first and second straps are flexible and flat, plastic and fiber members.", "9.The combination of claim 7 including: a drive shaft, the reversible power unit is drivably connected to the drive shaft for selectively rotating the drive shaft in opposite directions, the first and second drums are mounted on the drive shaft whereby upon rotation of the drive shaft in one direction the first strap winds in overlapping relation around the first drum and the second strap unwinds off the second drum thereby moving the bi-fold door from a closed position to an open position and upon rotation of the drive shaft in a direction opposite the one direction the first strap unwinds off the first drum and the second strap winds in overlapping direction around the second drum whereby the bi-fold door moves from the open position to the closed position.", "10.The combination of claim 7 including: a device operable to bias the second strap to maintain tension on the second strap during the opening and closing of the bi-fold door.", "11.The combination of claim 7 including: a kick-out device having an arm, the arm having an eye-shaped outer end accommodating the second strap, and a spring engaging the arm to bias the arm and the second strap away from the bi-fold door.", "12.The combination of claim 7 wherein: the respective second member includes a bracket fastened to one of the upright columns, a rotatable sleeve connected to the bracket, a device for holding the sleeve in a rotated selected position, the respective second end of the second strap being secured to the sleeve whereby rotation of the sleeve winds the respective second end of the strap on the sleeve, 13.The combination of claim 7 including: a frame secured to the building structure located above the door opening, the reversible power unit is mounted on the frame, a drive shaft rotatably mounted on the frame and operably connected to the reversible power unit whereby the reversible power unit operates to selectively rotate the drive shaft in opposite directions, and the first and second drums are mounted on the drive shaft whereby upon rotation of the drive shaft in one direction the first strap winds in overlapping relation around the first drum and the second strap unwinds off the second drum thereby moving the bi-fold door from a closed position to an open position and upon rotation of the drive shaft in a direction opposite the one direction the first strap unwinds off the first drum and the second strap winds in overlapping direction around the second drum whereby the bi-fold door moves from the open position to the closed position.", "14.A door for closing a doorway in a building having a horizontal header, upright columns adjacent opposite sides of the doorway and building structure above the header comprising: a bi-fold door having an upper panel and a lower panel and hinges pivotally connecting the upper and lower panels to allow the upper and lower panels to move between an aligned upright position closing the doorway and folded generally side-by-side position opening the doorway, at least one hinge for connecting the upper panel to the header of the building, door lift devices operable to move the upper and lower panels between the upright position and the side-by-side position and allow the upper and lower panels to move from the open side-by-side position to the aligned upright position, door latch assemblies operable to retain the upper and lower panels in the upright position in engagement with the columns of the building, a power unit mounted on the building structure above the header operably connected to the door lift devices and door latch assemblies for operating the door lift devices and door latch assemblies whereby the door lift devices move the upper and lower panels between aligned upright and side-by-side positions and the door latch assemblies retain the upper and lower panels in the aligned upright position in engagement with the columns of the building, the power unit having a drive shaft and a motor operable to rotate the drive shaft, each of the door latch assemblies has a respective elongated flexible member having a first end and a second end, a respective latch drum attached to the drive shaft, the first end of the flexible member being connected to the latch drum, a respective anchor secured to an upright column adjacent one of the upper and lower panels, the second end of the flexible member being connected to the respective anchor, and a respective roller mounted on the one of the upper and lower panels adjacent the respective anchor, the flexible member extending from the latch drum and around the respective roller to the respective anchor whereby when the flexible member is wound on the latch drum the flexible member pulls the upper and lower panels to the aligned upright position and retains the upper and lower panels in the aligned upright position in engagement with the upright columns of the building closing the doorway, 15.The door of claim 14 wherein: the flexible member is an elongated flexible strap.", "16.The door of claim 14 including: a biasing apparatus mounted on the one of the upper and lower panels for maintaining tension of the flexible member during the opening and closing of the upper and lower panels of the bi-fold door.", "17.The door of claim 16 including: a kick-out device having an arm pivotally mounted on the headers, the arm having an eye-shaped outer end accommodating the second strap, and a biasing member engaging the arm to bias the arm and the second strap away from the bi-fold door.", "18.The door of claim 17 wherein: the flexible member is an elongated flexible strap.", "19.The door of claim 14 wherein: the respective anchor includes a bracket fastened to an upright column of the building, a rotatable sleeve connected to the bracket, a member for holding the sleeve on the bracket, and the respective second end of the flexible member being connected to the sleeve whereby on rotation of the drive shaft the flexible member winds around the respective latch drum and moves the upper and lower panels in aligned upright positions into engagement with the columns of the building.", "20.A combination of a bi-fold door, bi-fold door lifts for selectively opening and closing the bi-fold door and door latches for holding the bi-fold door in a closed position relative to upright first and second columns located adjacent opposite sides of a bi-fold door opening and building structure including a header located above the bi-fold door opening comprising: a bi-fold door having a first panel, a second panel and hinges pivotally connecting the first and second panels to allow the first and second panels to be moved from an aligned position to close the bi-fold door opening and to a folded side-by-side position to open the bi-fold door opening, at least one hinge pivotally connecting the first panel to the header to support the bi-fold door on the header and allow the bi-fold door to move from the aligned position to the side-by-side position and moved from the folded generally side-by-side position to the aligned position, at least one bi-fold door lift device operable to selectively move the first and second panels of the bi-fold door between the aligned position to the folded side-by-side position and allow the first and second panels of the bi-fold door to move from the folded side-by-side position to the aligned position, a power unit mounted on the building structure above the header having a drive shaft rotatable in opposite directions, the bi-fold door lift device includes an elongated flexible first strap having a first end and a second end, a first drum attached to the drive shaft and connected to the first end of the first strap, a first anchor mounted on the second panel and connected to the second end of the first strap, a first bi-fold door latch operable to retain the bi-fold door in engagement with the upright first column when the bi-fold door is in the aligned position, the first bi-fold door latch includes an elongated flexible second strap having a first end and a second end, a second drum attached to the drive shaft and attached to the first end of the second strap, a second anchor mounted on the upright first column, a first connector securing the second end of the second strap to the second anchor, a second bi-fold door latch operable to retain the bi-fold door in engagement with the upright second column when the bi-fold door is in the aligned position, the second bi-fold door latch includes an elongated flexible third strap having a first end and a second end, a third drum attached to the drive shaft and first end of the third strap, a third anchor mounted on the upright second column, a second connector securing the second end of the third strap to the third anchor, whereby when the power unit rotates the drive shaft to wind the first strap on the first drum and unwind the second strap from the second drum and unwind the third strap from the third drum the first and second panels of the bi-fold door move relative to each other to a folded generally side-by-side position to open the bi-fold door opening and when the power unit rotates the drive shaft to unwind the first strap from the first drum and wind the second strap onto the second drum and wind the second strap onto the second drum and wind the third strap onto the third drum the first and second panels move relative to each other to the aligned position to close the bi-fold door opening and retain the first and second panels in engagement with the upright first and second columns.", "21.The combination of claim 20 including: a frame secured to the building structure above the header, said power unit being operatively connected to the frame, and said drive shaft being rotatably mounted on the frame.", "22.The combination of claim 20 including: a first kick-out device having a first arm pivotally connected to the header, including an eye-shaped member attached to the first arm accommodating the second strap, and a first spring engaging the first arm to bias the second strap away from the bi-fold door, a second kick-out device having a second arm pivotally connected to the header including an eye-shaped member attached to the second arm accommodating the third strap, and a second spring engaging the second arm to bias the third strap away from the bi-fold door.", "23.The combination of claim 20 wherein: the first connector includes a rotatable first sleeve attached to the second anchor, a device for holding the first sleeve in a rotated selector position, the second end of the second strap being secured to the first sleeve whereby rotation of the first sleeve winds the second end of the second strap on the first sleeve, the second connector includes a rotatable second sleeve attached to the third anchor, a device for holding the second sleeve in a rotated selected position, and the second end of the third strap being secured to the second sleeve whereby rotation of the second sleeve winds the second end of the third strap on the second sleeve.", "24.A door latch assembly for holding upper and lower panels of the bi-fold door in an aligned position in engagement with an upright column of a building comprising: an elongated flexible member having a first end and a second end, a rotatable latch drum located above the upper panel, a member connecting the first end of the flexible member to the latch drum whereby on rotation of the latch drum the flexible member winds around the latch drum, an anchor secured to a column of the building, a connector securing the second end of the flexible member to the anchor whereby on rotation of the latch drum to wind the flexible member on to the latch drum the flexible member moves the upper and lower panels of the bi-fold door into the aligned position in engagement with the upright column.", "25.The door latch assembly of claim 24 wherein: the connector securing the second end of the flexible member to the anchor comprises a bracket attached to the anchor, a sleeve rotatably mounted on the bracket, a device for holding the sleeve in a rotated selected position, the second end of the flexible member being secured to the sleeve whereby rotation of the sleeve winds the second end of the flexible member on the sleeve.", "26.The door latch assembly of claim 24 including: a roller mounted on one of the upper and lower panels of the bi-fold door adjacent the anchor, the flexible member engaging the roller to retain the upper and lower panels of the bi-fold door in the aligned position in engagement with the upright column.", "27.The door latch assembly of claim 24 including: a kick-out device including an arm having an end, an eye-shaped member connected to the end of the arm accommodating the flexible member between the first and second ends of the flexible member, and a spring engaging the arm to bias the arm and the flexible member away from the bi-fold door.", "28.A method of opening and closing a door opening located adjacent an upright column with a bi-fold door having a first panel pivotally connected to a second panel and holding the first and second panels in engagement with the upright column when the door opening is closed with the bi-fold door characterized by: locating the first and second panels in an aligned position adjacent the upright column to close the door opening, attaching a first end of a first strap to one of the first and second panels, attaching a second end of the first strap to a bi-fold door lift drum, attaching a first end of a second strap to the upright column, attaching a second end of the second strap to a bi-fold door latch drum, mounting the door lift drum and door latch drum on a shaft and connecting the shaft to a reversible drive unit operable to selectively rotate the shaft in opposite directions to wind and unwind the first strap onto and off the bi-fold door lift drum to open and close the door opening and to wind and unwind the second strap onto and off the bi-fold door latch drum to hold the first and second panels of the bi-fold door in engagement with an upright column when the first and second panels are in the aligned position closing the door opening and allow the first and second panels to move from the aligned position to a folded side-by-side position to open the door opening.", "29.The method of claim 28 including: mounting the shaft for rotation on one of the first and second panels of the bi-fold door.", "30.The method of claim 28 including: mounting the shaft for rotation on a frame located above the first and second panels of the bi-fold door.", "31.The method of claim 28 including: biasing the second strap to maintain tension on the second strap during the opening and closing of the bi-fold door.", "32.A method of opening and closing a door opening located between first and second upright columns with a bi-fold door having a first panel pivotally connected to a second panel and holding the first and second panels in engagement with the first and second upright columns when the door opening is closed with the bi-fold door characterized by: locating the first and second panels in an aligned position adjacent the first and second upright columns to close the door opening, attaching a first end of a first strap to one of the first and second panels, attaching a second end of the first strap to a bi-fold door lift drum, attaching a first end of a second strap to the first upright column, attaching a second end of the second strap to a first bi-fold door latch drum, attaching a first end of a third strap to the second upright column, attaching a second end of the third strap to a second bi-fold door latch drum, mounting the door lift drum and first and second bi-fold door latch drums on a shaft, and connecting the shaft to a reversible drive unit operable to selectively rotate the shaft in opposite directions to wind and unwind the first strap onto and off the bi-fold lift drum to open and close the door opening and to wind and unwind the second strap onto and off the first bi-fold door latch drum and to wind and unwind the third strap onto and off the second bi-fold door latch drum whereby the second strap holds the first and second panels in engagement with the first upright column and the third strap holds the first and second panels in engagement with the second upright column when the first and second panels are in the aligned position closing the door opening and allow the first and second panels to move from the aligned position to a folded side-by-side position to open the door opening.", "33.The method of claim 32 including: mounting the shaft for rotation on one of the first and second panels of the bi-fold door.", "34.The method of claim 32 including: mounting the shaft for rotation on a frame located above the first and second panels of the bi-fold door.", "35.The method of claim 32 including: biasing the second strap and the third strap to maintain tension on the second strap and the third strap during the opening and closing of the bi-fold door." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>Buildings have large openings or doorways for accommodating vehicles, aircraft, watercraft and equipment which are moved into and out of the interior spaces in the buildings.", "Common types of conventional doors used to open and close the doorways are horizontally sliding doors and two-piece center hinged doors known as bi-fold doors.", "An example of an overhead bi-fold door is disclosed by M. L. Schweiss in U.S. Pat.", "No.", "6,866,080.The bi-fold doors have separate levers and mechanical latches operable to hold the doors in closed positions relative to the building structure.", "C. E. McQueen et al.", "in U.S. Pat.", "No.", "4,637,446 discloses a latch member that engages a catch plate to lock a bi-fold door in an upright closed position.", "A spring connected to the latch member retains the latch member in locking engagement with the catch plate.", "A lift cable connected to the latch member extending to a door opening mechanism is used to release the latch member from the catch plate to allow the bi-fold door to swing to an open folded position.", "D. N. Keller in U.S. Pat.", "No.", "5,343,923 discloses a latching assembly operable to hold a bi-fold door in an upright closed position.", "The latching assembly has an arm pivotally connected to the door frame that cooperates with a roller mounted on the adjacent door jamb.", "An actuation assembly driven by an electric motor operates to open and close the bi-fold door.", "The actuation assembly pulls a cable connected to the arm to pivot the arm into locking relationship with the roller on the door jamb to hold the bi-fold door in the upright closed position.", "The latching assembly operates with a single electric motor that performs the latching and unlatching operations automatically in cooperation with the opening and closing of the bi-fold door." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The invention is a latch assembly cooperating with a door lift mechanism to secure a bi-fold door in an upright position closing a doorway of a building.", "The bi-fold door has upper and lower panels hinged together to allow movement relative to each other between an upright closed position to a generally horizontal folded open position.", "The upper panel is hinged to a header attached to upright columns or door jambs.", "A lift mechanism comprising an electric motor driven shaft connect to lift drums accommodating flexible straps operates to move the door panels from upright closed positions to folded open positions to open the doorway to the interior of the building.", "Latch assemblies operatively connected to one of the panels of the bi-fold door have latch drums connected to the shaft and latch straps joined to the latch drums and adjacent columns hold the bi-fold door in the closed upright position.", "The lift mechanism straps wind on the lift drums and the latch straps unwind from the latch drums during movement of the bi-fold door from the upright closed position to the folded open position.", "During closing of the bi-fold door the latch straps wind on the latch drums and retain the door panels in upright closed positions in engagement with the adjacent doorway columns." ], [ "CROSS REFERENCE TO RELATED APPLICATION This application is a division of U.S. application Ser.", "No.", "15/067,431 filed Mar.", "11, 2016.Application Ser.", "No.", "15/067,431 claims the priority of U.S.", "Provisional Patent Application Ser.", "No.", "62/193,706 filed Jul.", "17, 2015.FIELD OF THE INVENTION The latch assembly for a bi-fold door is in the art of apparatus for and methods of latching a bi-fold door in an upright position to close a doorway in a building.", "BACKGROUND OF THE INVENTION Buildings have large openings or doorways for accommodating vehicles, aircraft, watercraft and equipment which are moved into and out of the interior spaces in the buildings.", "Common types of conventional doors used to open and close the doorways are horizontally sliding doors and two-piece center hinged doors known as bi-fold doors.", "An example of an overhead bi-fold door is disclosed by M. L. Schweiss in U.S. Pat.", "No.", "6,866,080.The bi-fold doors have separate levers and mechanical latches operable to hold the doors in closed positions relative to the building structure.", "C. E. McQueen et al.", "in U.S. Pat.", "No.", "4,637,446 discloses a latch member that engages a catch plate to lock a bi-fold door in an upright closed position.", "A spring connected to the latch member retains the latch member in locking engagement with the catch plate.", "A lift cable connected to the latch member extending to a door opening mechanism is used to release the latch member from the catch plate to allow the bi-fold door to swing to an open folded position.", "D. N. Keller in U.S. Pat.", "No.", "5,343,923 discloses a latching assembly operable to hold a bi-fold door in an upright closed position.", "The latching assembly has an arm pivotally connected to the door frame that cooperates with a roller mounted on the adjacent door jamb.", "An actuation assembly driven by an electric motor operates to open and close the bi-fold door.", "The actuation assembly pulls a cable connected to the arm to pivot the arm into locking relationship with the roller on the door jamb to hold the bi-fold door in the upright closed position.", "The latching assembly operates with a single electric motor that performs the latching and unlatching operations automatically in cooperation with the opening and closing of the bi-fold door.", "SUMMARY OF THE INVENTION The invention is a latch assembly cooperating with a door lift mechanism to secure a bi-fold door in an upright position closing a doorway of a building.", "The bi-fold door has upper and lower panels hinged together to allow movement relative to each other between an upright closed position to a generally horizontal folded open position.", "The upper panel is hinged to a header attached to upright columns or door jambs.", "A lift mechanism comprising an electric motor driven shaft connect to lift drums accommodating flexible straps operates to move the door panels from upright closed positions to folded open positions to open the doorway to the interior of the building.", "Latch assemblies operatively connected to one of the panels of the bi-fold door have latch drums connected to the shaft and latch straps joined to the latch drums and adjacent columns hold the bi-fold door in the closed upright position.", "The lift mechanism straps wind on the lift drums and the latch straps unwind from the latch drums during movement of the bi-fold door from the upright closed position to the folded open position.", "During closing of the bi-fold door the latch straps wind on the latch drums and retain the door panels in upright closed positions in engagement with the adjacent doorway columns.", "DESCRIPTION OF THE DRAWING FIG.", "1 is a perspective view of a bi-fold door closing a doorway of a building equipped with a door lift mechanism combined with automatic operated latch assemblies; FIG.", "2 is a perspective view of the bi-fold door of FIG.", "1 in a partly open position; FIG.", "3 is an inside elevational view of a bi-fold door having a door lift mechanism combined with a latch assembly; FIG.", "4 is a perspective view of the door lift strap assembly on a closed upright bi-fold door; FIG.", "5 is an enlarged foreshortened sectional view taken along line 5-5 of FIG.", "4; FIG.", "6 is an end elevational view of the strap slack adjuster anchor for the strap of the door lift mechanism; FIG.", "7 is an enlarged sectional view of the lift drum and strap taken along line 7-7 of FIG.", "4; FIG.", "8 is an enlarged perspective view of a section of the bi-fold door having a door lift mechanism combined with a latch assembly; FIG.", "9 is an enlarged sectional view of a latch assembly for moving and holding the bi-fold door in the closed position; FIG.", "10 is a sectional view taken along line 10-10 of FIG.", "9; FIG.", "11 is an enlarged elevational view, partly sectioned, of the upper end of the latch assembly shown in FIGS.", "9 and 10; FIG.", "12 is a sectional view taken along line 12-12 of FIG.", "11; FIG.", "13 is a perspective view of the strap ratchet and bracket assembly of the latch assembly; FIG.", "14 is a perspective view of the lift drum and latch drum connected to a drive shaft accommodating lift and latch straps; FIG.", "15 is a side elevational view showing the bi-fold door being moved to the folded open position; FIG.", "16 is an inside elevational view of a bi-fold door and combined door lift mechanism and latch assemblies for locating the bi-fold door in an upright closed position; FIG.", "17 is an elevational view of the combined door lift power drive apparatus rotating lift drums and latch drums; FIG.", "18 is an enlarged sectional view taken along line 18-18 of FIG.", "17; FIG.", "19 is a perspective view of the lift drums and latch drums on the frame accommodating lift an latch straps; FIG.", "20 is an enlarged elevational view of the latch strap roller assembly secured to a column of the building; FIG.", "21 is a sectional view taken along line 21-21 of FIG.", "20; and FIG.", "22 is a perspective view of a latch strap ratchet anchor accommodating the latch strap.", "DESCRIPTION OF THE BI-FOLD DOOR WITH LIFT MECHANISMS AND LATCH ASSEMBLIES In the following detailed descriptions of the bi-fold doors equipped with latch assemblies, reference is made to the accompanying drawing that form a part hereof, and in which are shown, by way of illustration, specific embodiments in which the invention may be practiced.", "It is to be understood that other embodiments may be utilized and structure changes may be made or other method steps and sequence thereof may be used without departing from the scope of the present invention.", "The latch assemblies are herein described as used in building environment.", "The latch assemblies can have uses in other environments when securing doors used to close an opening is desired.", "A building 20, shown in FIGS.", "1 and 2, has a doorway 21 that is open and closed with a bi-fold door 22.Examples of building 20 include aviation hangers, automotive shops, farm shops, commercial buildings, warehouses and commercial plants.", "Building 20 has a horizontal header 23 attached to upright end columns 24 and 26 located around doorway 21 open to the interior of building 20.Bi-fold door 22 has an upper panel 27 and a lower panel 28 movable between upright aligned positions to close doorway 21 and folded horizontal position to open doorway 21.Examples of bi-fold doors are disclosed in U.S. Pat.", "Nos.", "4,637,446; 5,168,914 and 6,199,617.Bi-fold door 22 has a metal frame 29 supporting upper and lower panels 27 and 28.Upper panel frame has top and bottom horizontal members 31 and 32 and a middle member 33 located between members 31 and 32.Upright end members 38 and 39 and upright middle members 41, 42, 43 and 44 are joined to horizontal members 31 and 32.Bottom panel 28 has top and bottom horizontal members 34 and 36 joined to end members 35.Frame members are elongated tubular beams welded to provide one-piece frames for panels 27 and 28.Sheathing 51 secured to frame 29 covers the outside of frame 29.A plurality of hinges 52 connected to frame members 32 and 34 pivotally join panels 27 and 28 for movement between an upright aligned closed position and a horizontal folded open position.", "A plurality of hinges 53 connected to frame member 31 and header 23 pivotally mount door 22 on header 23 for swinging movement between an upright closed position and a generally horizontal folded open position.", "A door lift mechanism 54 functions to lift door 22 from the upright closed position to the generally horizontal folded open position.", "Lift mechanism 54 also retains door 22 in the open position.", "When lift mechanism 54 releases door 22 the weight of door 22 and lift mechanism 54 returns door 22 to a nearly closed position.", "Automatic latch assemblies 94 and 96 hereinafter described move panels 27 and 28 in upright aligned positions closing doorway 21 and retaining opposite sides of panels 27 and 28 in engagement with columns 24 and 26.Door lift mechanism 54 comprises a horizontal shaft 56 rotatably mounted on supports 57, 58, 59 and 60 secured to bottom member 36 of the lower panel frame.", "Supports 57, 58, 59 and 60 have bearings accommodating shaft 56 for rotation about a generally horizontal axis.", "A plurality of elongated flexible members, such as webs or straps 61, 62, 63 and 64 operatively connect shaft 56 to upper panel 27 whereby rotation of shaft 56 in one direction moves door 22 from the closed position to the open position and releases the automatic latch assemblies 94 and 96 and rotation of shaft 56 in an opposite direction to the one direction allows door 22 to move from the open position to the closed position and operating automatic latch assemblies 94 and 96 to retain panels 27 and 28 in upright aligned positions in engagement with columns 24 and 26 of building 20.As shown in FIG.", "4, member 61 is an elongated flexible flat web or strap, such as a nylon or polyester web.", "The upper section of member 61 is trained over a support 66 secured to upper frame member 31 and terminating on an anchor 67 secured to frame member 33.As shown in FIG.", "5, support 66 has an inverted U-shaped bracket 68 secured with welds to the bottom of frame member 31.A roller or sleeve 69 is rotatably mounted on a bolt 71 attached to bracket 68.Strap 61 trained over roller 69 can move with roller 69 thereby reducing wear and strain on member 61.Anchor 67 has a U-shaped bracket 72 rotatably supporting a sleeve 73.Member 61 is wrapped around and secured to sleeve 73 to connect member 61 to sleeve 73 thereby anchoring member 61 to door frame 29.The slack or tension of strap 61 is adjusted with a ratchet ring 74 secured to sleeve 73.A holding panel 76 is held with a cotter pin 77 in engagement with the teeth on ring 74.Other devices can be used to adjust the slack or tension on strap 61.Flexible straps 62, 63 and 64 are trained over rollers operatively connected to frame member 31 and anchored to frame member 33 according to member 61 as shown in FIGS.", "4, 5 and 6.The lower end of member 61 is connected to lift drum 78 secured to shaft 56.As shown in FIG.", "7, disks 79 and 81 attached to opposite ends of drum 78 maintain member 61 in wrapping relation around drum 78 during winding of strap 61 of drum 78 and unwinding of member 61 from drum 78.A reversible electric motor 82 drives a power transmission 83 operable to transmit power through chain drives 84 and 86 coupled to sprockets 87 and 88 to shaft 56 whereby shaft 56 is selectively rotated in opposite directions to move bi-fold door 22 to a folded open position and allow door 22 to move to a closed position.", "Shaft 56 is rotated in a counterclockwise direction, shown by arrow 89, to wind strap 61 around drum 78 to move door 22 to the open folded position.", "Lift drums 91, 92 and 93, shown in FIG.", "3, secured to shaft 56 accommodates flexible straps 62, 63 and 64 and concurrently wind and unwind flexible straps 62, 63 and 64 on drums 91, 92 and 93 as flexible straps 61 winds and unwinds on drum 78.Limit switches (not shown) associated with electric motor 82 and transmission 83 control the duration or timing of the operation of electric motor 82.Latch assemblies 94 and 96 mounted on opposite ends of frame 29 are operable to move panels 27 and 28 in upright or vertically aligned positions and retain panels 27 and 28 in engagement with columns 24 and 26 when door 22 is in the closed position.", "As shown in FIGS.", "8, 9 and 10, latch assembly 94 secured to door frame 29 adjacent column 24 comprises an upright U-shaped frame 97.Frame 97 is fastened with welds to frame member 38 and adjacent frame members.", "Other types of fasteners can be used to attach frame 97 to door frame 29.A pair of horizontal rollers 98 and 99 are mounted on the upper end of frame 97.Rollers 98 and 99 are parallel and laterally spaced apart to accommodate a roller bracket assembly 101.As shown in FIG.", "12, roller bracket assembly 101 has upright flat side members 102 and 103 connected to an upper pin or rod 104 and a lower pin or rod 106.A latch drum 109 located below frame 97 is rotatably mounted on supports 111 secured to door frame member 36.Shaft 56 is drivably connected to drum 109 to rotate drum 109 when driven by electric motor 82.Rotation of shaft 56 concurrently rotates lift drums 78, 91, 92 and 93 and latch drums 109 and 112 to move bi-fold door 22 to the upright closed position and retain bi-fold door 22 in the upright closed position.", "A latch strap 113 attached to latch drum 109 extends upward into U-shaped frame 97 between a roller 114 and back wall of frame 97.The upper section of strap 113 extends horizontally over rollers 98 and 99 to a strap anchor 116.As shown in FIG.", "13, anchor 116 has a bracket 117 secured with fasteners 118 to column 24.A U-shaped support 119 secured to bracket 117 rotatably accommodates a sleeve 121.Strap 113 is secured to sleeve 121 as shown in FIG.", "11.Strap 113 is wound around sleeve 121 to adjust the effective length of strap 113 to retain bi-fold door 22 in the upright closed position.", "A ratchet wheel 122 secured to sleeve 121 is used with a tool (not shown) to rotate sleeve 121 to wind strap 113 on sleeve 121.A pin 123 fixed to support 119 engages ratchet wheel 122 to hold ratchet wheel 122 and sleeve 121 in an adjusted position with strap 113 wound on sleeve 121.A tension spring 124 has a lower end connected to a bolt 126 extended through holes 127 in latch frame 97.As shown in FIG.", "10, latch frame 97 has a plurality of vertically arranged holes 127 accommodating bolt 126 for adjusting the biasing force of spring 124 on strap 113, shown by arrow 127 in FIG.", "11.The upper end of spring 124 is connected to pin 106 of roller bracket assembly 101.Pin 104 located on top of strap 113 between rollers 98 and 99 applies the biasing force of spring 124 to pull strap 113 down between rollers 98 and 99 to take up slack of strap 113 and maintain the tension on strap 113 during the opening and closing of bi-fold door 22.Latch assembly 96 mounted on the right end of door 22, as shown in FIG.", "3, has the same structure and operation as latch assembly 96.Shaft 56 is drivably connected to latch drum 112 to rotate latch drum 112 whereby strap 128 is wound on and unwound from latch drum 112 during the opening and closing of bi-fold door 22 and the latching and unlatching functions of latch assembly 96.As shown in FIG.", "14, when shaft 56 is rotated counterclockwise, shown by arrow 89, strap 61 is wound on drum 78.Straps 62, 63 and 64 are also wound on drums 91, 92 and 93.The combined straps 61, 62, 63 and 64 move down, shown by arrow 129, thereby moving bi-fold door 22 from the closed position to the folded open position.", "During counterclockwise rotation of shaft 56 to latch drum 109 unwinds strap 113 from drum 109 whereby strap 113 moves upward releasing the horizontal force holding bi-fold door 22 in the closed position and allowing the bi-fold door to move upwardly to the open position, as shown by arrows 132 in FIG.", "15.Strap 113 rides on roller 132 on the bottom of frame member 36 during movement of bi-fold door 22 between open and closed positions.", "Spring 124 connected to roller bracket assembly 101 maintains a continuous biasing force on strap 113 during the opening and closing of bi-fold door 22.Shaft 56 is rotated in a clockwise direction by reversing the drive of electric motor 82.Straps 61, 62, 63 and 64 unwind from lift drums 78, 91, 92 and 93 allowing bi-fold door 22 to unfold from the open position to an upright closed position.", "The weight of bi-fold door 22 caused by-fold door 22 to move down as straps 61-64 unwind from their respective drums.", "Strap 113 as it winds onto drum 109 pulls bi-fold door 22 to an upright closed position, as shown in FIG.", "10.When bi-fold door 22 is in the closed position, spring 124 acting on strap 113 biases bi-fold door 22 against column 24 and maintains bi-fold door 22 in the upright closed position.", "An alternative embodiment of the bi-fold door having lift mechanism and automatic latch assemblies is shown in FIGS.", "16 to 22.Bi-fold door 200 has an upper panel 221 and a lower panel 222 located in general vertical orientation closing doorway passage or opening in an exterior wall of building 20.Panels 221 and 222 are rectangular framed structures having exterior sheeting, such as wood, plastic, metal and the like.", "Bi-fold door 200 has a plurality of center hinges 227 pivotally connecting adjacent horizontal frame members of panels 221 and 222 to allow panels 221 and 222 to fold upwardly, as shown in FIG.", "2, to an open side-by-side position adjacent the top of the doorway opening.", "Top frame member 228 of upper panel 221 is secured to a header 230 of the building wall with a plurality of hinges 229.As shown in FIG.", "16, bi-fold door 200 is selectively moved to its open and closed positions with door lift devices indicated generally in FIG.", "17 at 233, 234, 235 and 236 spaced along the length of bi-fold door 200.Door lift devices 233, 234, 235 and 236 have elongated flexible members, such as webs or straps 237, 238, 239 and 240 attached to anchors 241, 242, 243 and 244 mounted on bottom frame member 246 of lower panel 222 and lift drums 247, 248, 249 and 250 mounted on a frame member 253 of upper panel 221.Upper panel 221 and lower panel 222 of bi-fold door 200 are maintained in the vertically aligned closed position with door latch assemblies indicated generally at 271 and 272 located on opposite ends of bi-fold door 200.Door latch assemblies 271 and 272 have elongated flexible webs or straps 273 and 274 attached to anchors 276 and 277 mounted on the top portion of lower panel 222 and secured to latch drums 278 and 279 mounted on upper frame 253.Straps 273 and 274 are wrapped on latch drums 278 and 279 in an opposite direction from the direction straps 237-240 are wrapped on lift drums 247-250.Straps 237-240, 273 and 274 are elongated flexible, generally flat and substantially non-elastic members having a width between two and six inches and being made of plastic and fiber materials, such as nylon webs, polyester webs and the life.", "Other types of materials can be used to make straps 237-240, 273 and 274.Straps 237-240, 273 and 274 can have other sizes and widths to accommodate different loads and latching requirements of bi-fold door 200.As shown in FIG.", "17, a drive shaft 251 mounted on frame 253 is rotated with a power unit 252 to concurrently rotate lift drums 247-250 and latch drums 278 and 279 to wind straps 237-240 on lift drums 247-250 and allow straps 273 and 274 to unwind from latch drums 278 and 279 to unlatch and move bi-fold door 200 to is open position and wind straps 273 and 274 on latch drums 278 and 279 and allow straps 237-240 to unwind from lift drums 247-250 to move door 200 to its upright closed position and latch door 200 secure to the building columns.", "Drive shaft 251 extends the length of frame 253.Latch drums 278 and 279 are mounted on opposite ends of frame 253 outwardly from lift drums 247 and 250.Frame 253 is attached to the building structure 250 and upright columns 245 and 255 above header 230.Door lift devices 231-236 are identical in structure and function.", "The details of lift device 233, shown in FIGS.", "17 to 22, are included in lift devices 234-237.The following description is directed to lift device 233.Power unit 252 mounted on frame 253, shown in FIGS.", "16 and 18, includes a power transmission or gear box 266 driven with a reversible electric motor 269.An electric brake unit 267 locks gear box 266 when the electric power to motor 269 is turned off thereby preventing rotation of drive shaft 251.Motor 269 rotates drive shaft 251 connected to lift drums 247-250 and latch drums 278 and 279 to concurrently rotate lift drums 247-250 and latch drums 278 and 279 in opposite rotations directions to open and close bi-fold door 200 and latch bi-fold door 200 to the doorway columns.", "Gear box 266 is drivably connected to drive shaft 251 to selectively rotate drive shaft 251 in clockwise and counterclockwise directions thereby concurrently rotating lift drums 247-250 and latch drums 278 and 279 in clockwise and counterclockwise directions to open bi-fold door 200 and close and latch bi-fold door 200 secure to the doorway columns.", "A jack shaft chain and sprocket drive 268 is used to operatively connect gear box 266 with drive shaft 251.A manually operated switch (not shown) wired to motor 269 is used by an operator to control the electric power supplied to motor 269 and the opening, closing and latching of bi-fold door 200.As shown in FIG.", "16, strap 237 extends downwardly from lift drum 247 to anchor 241 mounted on bottom frame member 246 of lower panel 222.The free end of strap 237 is attached to anchor 241.Anchor 241 can be adjusted to take up slack of strap 237 to adjust the operating or working length of strap 237 as needed.", "As seen in FIG.", "19, lift drum 247 is mounted on frame member 253.Lift drum 247 has a generally cylindrical outer surface providing a drum surface for strap 237.Door latch devices 271 and 272 are identical in structure and function.", "The details of door latch device 271, shown in FIGS.", "19 to 22, are included in door latch device 272.The following description is directed to door latch device 271.As shown in FIGS.", "16 and 19, strap 273 extends downwardly from latch drum 278 through a kick out arm assembly 281 mounted on header 230.Kick out arm assembly 281 comprises a downward extending arm 286 having a lower end with a straight eye 287.Strap 273 extends through the opening of eye 287 downwardly to a roller 283, shown in FIGS.", "20 and 21, mounted on support 285 attached to a bracket 288 secured to column 245 of the building.", "Strap 273 is trained over roller 283 and extends laterally to anchor 276 mounted on the frame of lower panel 222.Returning to FIG.", "19, arm assembly 281 has a spring member 289 that engages a horizontal bar member 291 to bias arm member 286 upwardly and inwardly away from the inside of bi-fold door 200, as shown by arrow 299 in FIG.", "19, thereby maintaining tension on strap 273 during opening and closing of bi-fold door 200 and biasing the panels into engagement with the column 245 of the building.", "As seen in FIG.", "22, anchor 276 has a sleeve 292 mounted on a support 293 secured to lower panel 221.A ratchet wheel 294 and pawl 296 operate to hold sleeve 292 in a fixed position on support 293.In use, when bi-fold door 200 is moved from an open position to the closed latched position lift drums 247-250 are releasing straps 237-240 and latch drums 278 and 279 are taking up straps 273 and 274, as shown by arrows 301 and 302 in FIG.", "17.When bi-fold door 200 is moved from the closed latched position to the open position latch drums 278 and 279 are releasing straps 273 and 274 and lift drums 247-250 are taking up straps 237-240.As bi-fold door 200 is moved from the closed latched position to the open position slack in straps 273 and 274 is taken up with kick out arm assemblies 281 and 282.When bi-fold door 200 is fully closed latch drums 278 and 279 are filled with straps 273 and 274 and lift drums 247-250 are left with a small amount of straps 237-240.Brake unit 267 locks gear box 266 when motor 269 is turned off whereby latch drums 278 and 279 are prevented from rotating and releasing straps 273 and 274 to maintain upper and lower panels 221 and 222 in a vertically aligned closed latched position in engagement with upright columns 245 and 255 of the building.", "A bi-fold door 200 is unlatching and opening straps 237-240 are wrapped onto lift drums 247-250 while straps 273 and 274 are unwound off latch drums 278 and 279.Straps 273 and 274 add support to bi-fold door 200 preventing flipping of door 200 over the roof of the building in windy conditions.", "The following drawing and description of the bi-fold door having latch assemblies is two embodiments of the invention.", "Persons skilled in the art of bi-fold doors can make changes and modifications in structures and materials without departing from the invention defined in the claims." ] ]
Patent_15875776
[ [ "GARDEN FOUNTAIN", "The garden fountain includes a lower container, a middle container and an upper container.", "A water pump positioned in the lower container pumps water up into the middle container.", "The upper container rests in the middle container.", "Using a combination of the water level in the middle container and the spacing of the bottom of the upper container with respect to the water level in the middle container, the user can accurately control the water flow to the upper container." ], [ "1.A garden fountain comprising: a first container for retaining a first volume of water, the first container having a base portion configured for support on a stable surface; a second container disposed above the first container, the second container having an enclosed bottom configured to retain a second volume of water less than the first volume of water, the second container having waterspouts formed therein, wherein the waterspouts release water back into the first container; a third container supported on the enclosed bottom of the second container, the third container configured for retaining a third volume of water or material less than the first and second volumes, the third container further comprising inlets for passing water through a bottom thereof; and a pump disposed in the first container, the pump configured to pump water into the second container, wherein water pumped from the first container into the second container maintains a specific water level in the second container; wherein the third container further comprises at least one spacer configured to support the third container at a predetermined spacing above the enclosed bottom of the second container, wherein a water level in the second container determines an amount of water provided to the third container.", "2.The garden fountain of claim 1, wherein the pump is battery-powered, and wherein the base portion further includes an openable battery compartment configured for retaining a battery for powering the pump.", "3.The garden fountain of claim 2, wherein the battery is a rechargeable battery.", "4.The garden fountain of claim 1, further comprising a timing system for controlling activation of the pump for predetermined time periods.", "5.The garden fountain of claim 1, wherein the inlets comprise one or more openings through the bottom surface of the third container.", "6.The garden fountain of claim 1, wherein the waterspouts are configured to return water to the first container only when the water level within the second container exceeds a predetermined level.", "8.The garden fountain of claim 1, wherein the base portion comprises a pedestal.", "9.The garden fountain of claim 1, further comprising a wireless speaker disposed within the base portion.", "10.The garden fountain of claim 1, wherein the base portion further comprises a weight chamber configured to receive a weighting material." ], [ "<SOH> BACKGROUND <EOH>Currently there are no known fountains that operate as both planters and fountains.", "Such concept provides both functionality to a planter while also providing for an aesthetically pleasing landscape design element." ], [ "<SOH> SUMMARY <EOH>According to one implementation, the garden fountain includes an upper bowl, a middle bowl and a lower bowl.", "The upper bowl has a base configured to rest within the middle bowl.", "A pump is disposed in the lower bowl and configured to pump water up into the middle bowl.", "The water pumped from the lower bowl to the middle bowl maintains a specific water level in the middle bowl.", "The upper bowl is configured to hold soil and an associated plant and includes means for passing water from a bottom thereof into the soil.", "The positioning of the bottom of the upper bowl in the middle bowl and the water level in the middle bowl determines the amount of water provided to the soil of the upper bowl and associated plant.", "According to another implementation, the garden fountain includes an upper bowl, a middle bowl having waterspouts, and a lower bowl.", "The upper bowl has a base configured to rest within the middle bowl.", "A base is configured to support the lower bowl on the ground or other stable surface, and includes a battery compartment contained therein.", "A pump is disposed in the lower bowl and is configured to pump water up into the middle bowl.", "Water pumped from the lower bowl to the middle bowl maintains a specific water level in the middle bowl.", "The pump is electrically connected to the battery contained the base battery compartment.", "The upper bowl is configured to hold soil and an associated plant, and includes means for passing water from a bottom thereof into the soil.", "The position of the upper bowl in the middle bowl and the water level in the middle bowl determines the amount of water provided to the soil of the upper bowl and associated plant.", "Other aspects and features of the present principles will become apparent from the following detailed description considered in conjunction with the accompanying drawings.", "It is to be understood, however, that the drawings are designed solely for purposes of illustration and not as a definition of the limits of the present principles, for which reference should be made to the appended claims.", "It should be further understood that the drawings are not necessarily drawn to scale and that, unless otherwise indicated, they are merely intended to conceptually illustrate the structures and procedures described herein." ], [ "RELATED APPLICATIONS This is a continuation of application Ser.", "No.", "15/457,704, filed Mar.", "13, 2017, issued as U.S. Pat.", "No.", "9,873,134, which is a continuation of application Ser.", "No.", "14/806,792, filed Jul.", "23, 2015, issued as U.S. Pat.", "No.", "9,592,525, which is a continuation of application Ser.", "No.", "13/908,722, filed Jun.", "3, 2013, issued as U.S. Pat.", "No.", "9,108,212, which claims the priority of U.S.", "Provisional Application No.", "61/655,334, filed Jun.", "4, 2012, each of which is incorporated herein by reference.", "FIELD OF THE INVENTION The present invention relates to fountains, and more particularly, to a garden fountain that is capable of containing and watering one or more plants.", "BACKGROUND Currently there are no known fountains that operate as both planters and fountains.", "Such concept provides both functionality to a planter while also providing for an aesthetically pleasing landscape design element.", "SUMMARY According to one implementation, the garden fountain includes an upper bowl, a middle bowl and a lower bowl.", "The upper bowl has a base configured to rest within the middle bowl.", "A pump is disposed in the lower bowl and configured to pump water up into the middle bowl.", "The water pumped from the lower bowl to the middle bowl maintains a specific water level in the middle bowl.", "The upper bowl is configured to hold soil and an associated plant and includes means for passing water from a bottom thereof into the soil.", "The positioning of the bottom of the upper bowl in the middle bowl and the water level in the middle bowl determines the amount of water provided to the soil of the upper bowl and associated plant.", "According to another implementation, the garden fountain includes an upper bowl, a middle bowl having waterspouts, and a lower bowl.", "The upper bowl has a base configured to rest within the middle bowl.", "A base is configured to support the lower bowl on the ground or other stable surface, and includes a battery compartment contained therein.", "A pump is disposed in the lower bowl and is configured to pump water up into the middle bowl.", "Water pumped from the lower bowl to the middle bowl maintains a specific water level in the middle bowl.", "The pump is electrically connected to the battery contained the base battery compartment.", "The upper bowl is configured to hold soil and an associated plant, and includes means for passing water from a bottom thereof into the soil.", "The position of the upper bowl in the middle bowl and the water level in the middle bowl determines the amount of water provided to the soil of the upper bowl and associated plant.", "Other aspects and features of the present principles will become apparent from the following detailed description considered in conjunction with the accompanying drawings.", "It is to be understood, however, that the drawings are designed solely for purposes of illustration and not as a definition of the limits of the present principles, for which reference should be made to the appended claims.", "It should be further understood that the drawings are not necessarily drawn to scale and that, unless otherwise indicated, they are merely intended to conceptually illustrate the structures and procedures described herein.", "BRIEF DESCRIPTION OF THE DRAWINGS In the drawings wherein like reference numerals denote similar components throughout the views: FIG.", "1 is perspective view of the garden fountain according to an implementation of the present principles; FIG.", "2A is a perspective view of the garden fountain showing the battery compartment in the base, according to an implementation of the present principles; FIG.", "2B is an exploded view of the battery compartment shown in FIG.", "2A; FIG.", "3 is a perspective view of the garden fountain, shown partially in phantom, according to an implementation of the present principles; FIG.", "4 is an exploded view of the planter portion of the garden fountain, according to an implementation of the present principles; FIG.", "5 is a cross-sectional view of the taken down the center and showing the plant watering feed system, according to an implementation of the invention; FIGS.", "6A and 6B are a cross-sectional view and perspective view, respectively, of the base of the garden fountain according to another implementation of the invention; FIGS.", "7A and 7b is a perspective view and a cross-sectional view, respective, of the second water tier distribution system according to a further implementation of the invention; and FIG.", "8 is perspective view of the base of the garden fountain according to yet a further implementation of the present principles.", "DETAILED DESCRIPTION Referring to FIG.", "1, there is shown a garden fountain 10 according to an implementation of the present principles.", "The garden fountain 10 includes a base 12, a lower or reservoir bowl 24, a middle bowl 26 and an upper or planter bowl 28.The base 12 can be made up of one or more parts and is shown in the present example having a footing 14, a central shaft 16 and a bowl support 18.A power button 20 can be disposed in any suitable location and is shown here integrated into the bowl support 18.A battery compartment 22 is preferably disposed in the base of the central shaft 16, or the footing 14 (if of suitable size) The connection of the lower bowl to the footing can be achieved in any suitable known manner.", "For example, a slot and groove mechanical engagement where the parts are fitted together and rotated to secure the connection could be used.", "Such slot and groove configuration could also be used to connect the middle bowl 26 to the lower bowl 24.O-rings or other water sealing means can be used in any relevant application for the connection of the various parts of the fountain.", "In addition, those of skill in the art will appreciated that each of the parts of the garden fountain 10 can be made of any suitable known material, which may include, but is not limited to, molded plastics.", "FIGS.", "2A and 2B show the battery compartment 22 having a battery 30 contained therein.", "In this preferred implementation the battery is rechargeable and the battery compartment is preferably sealed from water such that the user may easily access the same without any concerns relating to the water contained within the lower and middle bowls.", "Ideally, the battery compartment should have at least one opening to the outside air so as to prevent the formation of condensation in the compartment and thereby any negative effects to the battery as a result of the same.", "Those of skill in the art will appreciate that the access panel for the battery compartment can be configured in any suitable manner, such as for example, a sliding panel, a hinged panel, and may also include a locking mechanism.", "In one implementation, the rechargeable battery or batteries 30 can be recharged using a solar system (not shown), and/or using household electric power.", "Alternatively, the batteries can be made to be disposable.", "In addition to rechargeable power means, timing system 31 can be implemented where individual buttons are preset for predetermined time periods, or adjustable timing means are implemented.", "In other implementations, the power source for the fountain can be solar powered, A/C powered using 110 v, 210 v and/or DC powered using a 12 v battery.", "It is further contemplated that the garden fountain system may include a lighting system that provides accent lighting the fountain and/or the plant in the upper bowl planter.", "The positioning of the lights cans be within or out of the water.", "FIGS.", "3 and 5 illustrate one example of the disposition of the pump 32 within the shaft 23 positioned within the lower/reservoir bowl 24 and configured to support the middle bowl 26.The pump 32 includes a power connection 34 which is connected to the battery 30 positioned in the base 16.The pump 32 sits in the bottom of the shaft 23 and is configured to draw water from the lower/reservoir bowl 24 and the pump feeds the same to the middle bowl 26 via a tube 36 connected to a water input connection 40 in the center of the middle bowl 26.Referring to FIGS.", "3 and 4, the upper bowl 28, positioned siting within the middle bowl 26.In a preferred implementation, the upper bowl 28 is configured as planter.", "As shown in FIG.", "5, the upper bowl 28 includes a number of openings 42 at the bottom thereof.", "The openings 42 allow the transfer of water from a middle bowl 26, into upper bowl 28 when the water level rises in bowl 26 to a predetermined level.", "Bowl 26 has water introduced via a pump 32, (FIG.", "3), drawing water from bottom of a lower bowl 24, (i.e., a reservoir bowl).", "As shown in FIG.", "3, the pump can be located in the lower reservoir bowl 24, and as shown in the present example, the pump 32 is positioned within the shaft supporting the middle bowl 26.Those of skill in the art will appreciate that any suitable known type of pump 32 with an appropriate water intake can be used.", "According to one implementation, the pump 32 uses a 6-volt dc pump powered by the rechargeable battery 30 located in the base of the fountain.", "When the pump is turned on, the water is pumped from lower bowl 24 into the middle bowl 26.The water continues to rise until the water level reaches the pour spouts 25 of middle bowl 26, at which point the water level 5 reaches its maximum height or level in middle bowl 26 and the water pours over back into lower bowl 24.", "(See FIG.", "5) As shown above, upper bowl 28 sits in middle bowl 26.The distance between the base of middle bowl 26 and base of bowl 28, specifically the water inlets 42 of upper bowl 28 are crucial to the operation.", "The height of the water level in middle bowl 26 reaches at least to the bottom of the water inlets of upper bowl 28.Discs or spaces 43 can be added or subtracted to achieve the desired position of the base of the upper bowl 28 within the middle bowl 26.As will be evident from the above, height of the upper bowl 26 can be infinitely adjusted using the discs 43 depending on the water level desired/required for the plant in the upper bowl 28.According to one preferred implementation, a minimum height must at least reach the bottom of the water inlets 42 in upper bowl 28 in order for the soil 29 located in upper bowl 28 to be able to draw or wick the water up from middle bowl 26.Although shown in the form of openings, water inlets 42 can include a porous material 41 of any kind so as to prevent any soil from being undesirably being dispelled from the upper bowl 28 into middle bowl 26.As mentioned above, the height of upper bowl 28 in relation to middle bowl 26 will be adjustable.", "The purpose of an adjustable height is such that you can raise or lower the water level in upper bowl 28.This is beneficial since plants require different amounts of water for growing.", "In the current configuration one or more spacers 43 are used in between upper bowl 28 and middle bowl 26.Any number of or no spacers can be used.", "In the configuration of FIG.", "4, three (3) spacers 43 are shown.", "This gives a total of four (4) water level settings.", "The thickness of the spacers 43 can also be changed to accommodate other spacing options.", "In one preferred implementation, no spacer present allows upper bowl 28 to sit at its lowest possible setting, providing the highest water level in upper bowl 28.As you add a spacer between the upper bowl 28 and middle bowl 26, bowl 28 will increase in height over middle bowl 26 thus raising upper bowl 28 proportionally higher above middle bowl 26 lowering the water level or line in upper bowl 28.As you add another spacer, this water level will continue to lower in bowl 28 until you can add spacer so that upper bowl 28 water inlets 42 can be completely above the water level in middle bowl 26.The above description is referring to the water level in the active or pump on mode.", "This is when the water level is at its highest, but at no time does the water level rise above the maximum level provided by the waterspouts 25 in middle bowl 26.When in the active mode, or pump on mode and the maximum spacer 43 or height of upper bowl 28 is in use, the water level is raised in middle bowl 26 to the maximum height determined by the waterspouts 25.Upper bowl 28 being in its highest setting will only, when in active mode, have water coming in contact with the water inlets 42 in upper bowl.", "When the fountain is inactive, pump off, the water level will lower to a point just below the maximum water level in middle bowl 26.At this point the water level is lower than the bottom of the water inlets 42 in upper bowl 28.This then provides an air gap necessary for healthy plant growth allowing the soil to aerate, from the bottom up.", "In this same setting, when the fountain is in active mode, the water level in middle bowl 26 will rise to the maximum height provided by waterspouts 25 in bowl number 26.At such point, the water level will rise to allow the water inlets 42 in upper bowl 28 to come into contact with the water 5 in middle bowl 26.The resulting wicking effect allows the soil 29 in upper bowl 28 to wick the water up into bowl 28, providing water for the plant.", "The water level in upper bowl 28 can be adjusted through raising and lowering the bowl in relation to middle bowl 26 with any number of methods, fixed and mechanical.", "In addition, the water level in upper bowl 28 can be affected or adjusted to provide the proper amount of water to the plant in upper bowl 28 depending on if the fountain is active or inactive.", "The above description relates to the adjusting of the water level in upper bowl 28 in the active mode.", "If you adjust for the inactive mode, upper bowl 28 can be moved to, for example, the lowest setting.", "This position will place the water inlets 42 of upper bowl 28 to below the maximum water level of middle bowl 26.If the fountain is first active and thus raising the water level in bowl 26 to the maximum level and then turned inactive, the water level will lower slightly to just below the spout level 25.However, upper bowl 28 water inlets 42 can be set so that they are lower than middle bowl 28's maximum level.", "Therefore, the soil 29 in bowl 28 would continue to wick water up into bowl 28, even in the inactive mode.", "This provides additional water for different type of plants or weather conditions.", "This allows middle bowl 26 to act as a reservoir for upper bowl 28 until such time that the soil 29 in bowl 28 has wicked up the maximum amount of water it is capable of and or water has evaporated out of middle bowl 26.When either occurs, this will then lower the water level in middle bowl 26 to below the water inlets 42 in upper bowl 28, thus providing the necessary air gap 12 between upper bowl 28 and middle bowl number 26 to provide healthy conditions for good plant growth.", "The shape of the bottom of upper bowl 28 and the bottom of middle bowl 26 is also critical for proper water flow.", "Although there are numerous ways to introduce water into middle bowl 26, this description is for the current example being shown.", "The outlet of a water distribution tube 40 coming from the pump 32 located, for example, in lower bowl number 24, in a preferred embodiment needs to be just slightly higher than the maximum water level provided by the waterspouts 25 in middle bowl 26.This prevents the water from middle bowl 26 from siphoning back into lower bowl 24, thus maintaining the inactive water level in middle bowl 26 once initially filled to maximum water level when active.", "However, should the water siphon back into bowl 24, this can also be a benefit.", "In the current embodiment, there is a fill chamber 46 located in the bottom of upper bowl 28, which is female in shape, which matches to the male water distribution pipe 40 in middle bowl 26.This allows the water distribution pipe 40 or tube to be higher than the maximum water level in middle bowl 26 while allowing the water inlet ports 42, located in the corresponding female shape of the bottom of upper bowl 28 to operate below the maximum water level in middle bowl 26.In a preferred embodiment, water is only introduced into upper bowl 28 through the water inlet ports 42.This allows for a non-pressurized or turbulent water introduction into the soil.", "If the water from the distribution port in middle bowl 26 was directed straight directly into the soil 29 in upper bowl 28, it would erode the soil and causing excess soil to be introduced into the water system and erode the soil exposing the roots in the plant located in upper bowl 28.Although this system could have the water distributed to upper bowl 28 on in various manners, direct port from bowl number two into bowl number one.", "Another is a water distribution pipe pouring water into the top of bowl 28 from bowl 24.The preferred embodiment is to wick the water from the bottom up from bowl number 26 into upper bowl 28 initially when in the active mode then also in the inactive mode as described above.", "In the preferred embodiment, it has been found that the water distribution chamber 46 located in upper bowl 28, in relation to the air channels at the bottom of bowl 28, in relation to the water distribution port in middle bowl 26 can create a siphon effect after the fountain is inactive, thus siphoning all the water out of middle bowl 26 into lower bowl 24.This can be considered a positive effect if for example the plant in upper bowl 28 requires less water.", "To reduce this effect if a small hole 48 is introduced into the top of the water distribution chamber 46, it has been found to break the effect of the siphon, allowing the water to maintain minimum or inactive water height in middle bowl 26.Those of skill in the art will appreciate that there are numerous ways to affect the siphon occurring between middle bowl 26 and lower bowl 24, this is just one of example of the same.", "This anti-siphon hole 48 in the top of the water distribution chamber located in bowl 28 can also have a positive effect in providing water to the soil in bowl number one.", "When in the active mode it can create a method of positive pressure water introduction into upper bowl 28.Although it is considered preferred to allow the water to wick up from bowl number 26 into bowl 28, it could be beneficial in certain situations in which more water is required for a specific plant and the addition water introduction into the soil has a positive effect.", "However, as this positive pressure water inlet increases in size in relation the water flow or pressure created from the pump, located in bowl 24, it is possible to meter this flow by increasing or decreasing the diameter of this positive water pressure inlet.", "FIGS.", "6A and 6B show the base 12 of the fountain according to another implementation of the invention.", "FIG.", "6A shows the bottom 14 of the base being additionally, and adjustably, weighted with a suitable weighting material 68 (e.g., sand) Typical fountains made of stone, concrete, and any other heavy medium, do not require the ability have an adjustable weighted base as they very nature of their design is heavy.", "However, with the recent use of polyresin as the construction medium for the fountain of the present invention, which allows them to be light weight enough to ship for mass merchandising and for easy installation by the end user, they are too light to withstand any type of weather and will fall over with small winds.", "Simply making the fountain heavier is counter-productive since shipping costs will go up and the installation by the customer becomes more difficult.", "According to the present design the fountain can ship in its lightweight format and the end user can then add sand or heavy material 68 to the bottom of the fountain to provide the weight necessary to withstand weather conditions.", "In this embodiment (as described above), the battery location, combined with the weight chamber is unique.", "By placing the battery 30 in the lowest possible location to establish a low center of gravity and then adding a chamber 67 below the battery compartment to be filled with a removable weighted material 68 will provide the best center of gravity for the fountain.", "By placing the weighting material compartment 67 and battery compartment 22 close to each other, the issue or adding subtracting the weighted material becomes an issue for the end user and for methods of manufacturing.", "First the method of manufacturing a poly resin fountain utilizes an outer shape for the exterior of the fountain and at the bottom base a panel is glued into place to seal the bottom of the fountain base to the outer shape thus providing rigidity to the outer structure and to finish the cosmetics of the bottom of the fountain.", "This allows for a hollow inner structure which is both a cost and weight saving and the simplest method of manufacturing.", "However, this method makes it difficult to make a separated chamber 67 to add material to since there is no inner structure to the fountain.", "In the current design a male protrusion 66 which extends from the bottom base cap up into the inner body of the hollow fountain structure has been added.", "The top of this protrusion 66 becomes the base in which the battery 30 can sit.", "The diameter of the protrusion 66 is smaller than the inner diameter of the outer structure of the fountain.", "The distance between the protrusion 66 and the outer structure provides for a conduit allowing the end user to add weighted material into the bottom of the fountain, the weight chamber.", "The distance between the outer structure and the inner protrusion 66 is critical in that if it is too small it is difficult for the end user to add or remove weighted material and if it is too large, the base of the top of the protrusion 66 is not large enough to support the battery and any other object being stored in the battery compartment.", "To help in providing the added room from the placement or removal of the weighted material 68, a small indent of any particular shape can be added the protrusion 66, thus maintaining adequate base area to support the battery while increasing the space between the outer structure and the protrusion 66 for ease of adding or removing the weighted material.", "This method is preferred over other methods for adding or removing weight.", "For example, an opening formed in the bottom base panel, with, for example, a plug to add or remove the weighted material, would require that the fountain be turned upside down to add the material.", "This, in turn, would require that the hollow interior of the fountain have a separate panel molded and attached to the inner wall of the fountain.", "This panel would have to be sealed to prevent the weighted material from leaking back into the body of the fountain when it is turned upside down to add the weighted material.", "This added panel would significantly increase the manufacturing cost.", "The battery base 16/compartment 22 is also unique to the poly resin fountains for manufacturing reasons as mentioned above, even without the ability to add weighted material.", "By extending the outer edge of the battery base 16 to the inner dimension of the outer shape, which eliminates the ability to add weighted material, however provides a cost-effective method for production to establish a base for the battery.", "FIG.", "6B shows a clear view of the top 60 of the base 12.The top 60 includes a keyed slot 62 having keys 64.The keys 64 are configured to receive correspondingly shaped portions of the bottom of lower bowl 24 such that the same is received and rotated in the slot 62 and thereby secured to the top of the base during assembly.", "FIGS.", "7A and 7B show the configuration of the middle bowl 26 (2.sup.nd water tier) according to an implementation of the invention.", "The 2.sup.nd water tier water distribution tube in a poly-resin fountain is typically fixed to a molded plastic or resin tube with is the union from the tube exiting the water pump located inside the fountain thru the wall of the resin allowing the water to be distributed to the exterior of the fountain.", "This method does not allow for the ability to easily change or add new water features by the end user.", "According to an implementation, the middle bowl 26 is molded with a large passageway 76 having an inner dimension configured to receive the water distribution plug 72 with hose 74 that can be inserted into the resin.", "This removable plug 72 allows the end user to pull the tube 74 off of the water feature to be removed and re-attach a new water feature by pressing the water distribution plug in place on the new feature.", "FIG.", "8 shows another implementation of the base pedestal 16 having a wireless, e.g., Bluetooth®, speaker 80 positioned therein.", "The speaker is connected to power source via plug 84 and wire 82.The power source connected to plug 82 can be any suitable battery source, and may, depending on the configuration, be connected to the water fountain's primary battery 30.Alternatively, a separate battery can be provided to power the wireless (Bluetooth®) speaker 80.As will be appreciated, the addition of the speaker 80 allows a user to wirelessly transmit audio content to the speaker via their own compatible Bluetooth® audio player or system.", "The above description above is for a three-tier fountain.", "This self-watering garden fountain can be constructed in various configurations.", "It can have two tiers, with a reservoir located inside or in the base of the bottom tier.", "Those of skill in the art will appreciate that the fountain of the present invention can be constructed with multiple tiers, with essentially no limit.", "It can also be constructed with multiple planter bowls, in parallel to each other, vertically stacked to each other, and various other combinations and configurations.", "While there have been shown, described and pointed out fundamental novel features of the present principles, it will be understood that various omissions, substitutions and changes in the form and details of the methods described and devices illustrated, and in their operation, may be made by those skilled in the art without departing from the spirit of the same.", "For example, it is expressly intended that all combinations of those elements and/or method steps which perform substantially the same function in substantially the same way to achieve the same results are within the scope of the present principles.", "Moreover, it should be recognized that structures and/or elements and/or method steps shown and/or described in connection with any disclosed form or implementation of the present principles may be incorporated in any other disclosed, described or suggested form or implementation as a general matter of design choice.", "It is the intention, therefore, to be limited only as indicated by the scope of the claims appended hereto." ] ]
Patent_15875778
[ [ "METHODS AND DEVICES FOR STIMULATING CELL PROLIFERATION", "Embodiments of the present disclosure are directed to devices and methods for stimulating cell proliferation.", "In one implementation, a method for increasing cell proliferation is provided.", "The method includes providing a vibrational dental device configured to vibrate at a frequency higher than about 60 Hz.", "The method also includes mechanically stimulating, using the vibrational dental device, cells for a treatment period of less than about 10 to 20 minutes daily.", "The method may further include generating a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "The number of the cells at the end of the period of time is increased.", "The cells may include at least one of human osteoblasts and fibroblasts." ], [ "1.A method for increasing periodontal cell proliferation, the method comprising: providing a vibrational dental device configured to vibrate at a frequency higher than about 60 Hz, wherein a peak acceleration magnitude generated by the vibrational dental device in the horizontal direction is substantially greater than that in the vertical direction; mechanically stimulating, using the vibrational dental device, periodontal cells, including at least one of human osteoblasts and fibroblasts, for a treatment period of less than about 10 minutes daily; and wherein the number of the periodontal cells at the end of the period of time is increased.", "2.The method of claim 1, wherein the vibrational dental device is configured to vibrate at a total acceleration magnitude ranging between about 0.001 G and about 3 G. 3.The method of claim 1, wherein the peak acceleration magnitude produced by the vibrational dental device in the horizontal direction is about five times greater than that in the vertical direction.", "4.The method of claim 1, further comprising mechanically stimulating the cells at a frequency between about 60 Hz and about 300 Hz.", "5.The method of claim 1, further comprising mechanically stimulating the cells at a g-force between about 0.001 G and about 3 G. 6.The method of claim 1, wherein the vibrational dental device comprises a mouthpiece and a motor connected to and configured to vibrate the mouthpiece.", "7.The method of claim 5, further comprising providing the mouthpiece between the occlusal surfaces of a user's teeth to be clamped by the user's teeth.", "8.The method of claim 6, further comprising applying an axial vibratory force on the occlusal surfaces by the vibrational dental device.", "9.The method of claim 1, further comprising detecting vibration characteristics of the mouthpiece produced by the vibrational dental device.", "10.The method of claim 1, further comprising adjusting the frequency and/or g-force of the vibration of the vibrational dental device.", "11.The method of claim 1, wherein the period of time ranges from a couple of days to a few months.", "12.The method of claim 10, wherein the period of time ranges from a couple of days to a few days.", "13.The method of claim 10, wherein the period of time ranges from a few days to couple of weeks.", "14.The method of claim 10, wherein the period of time ranges from a month to a couple of months.", "15.The method of claim 10, wherein the period of time ranges from a couple of months to a few months.", "16.The method of claim 1, wherein the human osteoblasts comprise human osteoblasts in alveolar bone.", "17.The method of claim 1, wherein the fibroblasts comprise periodontal ligament fibroblasts.", "18.The method of claim 1, wherein the treatment period comprises one or more treatment sessions that range from about 1 minute to about 10 minutes.", "19.A method for accelerating orthodontic tooth movement, the method comprising: providing a mouthpiece of a vibrational dental device to be clamped by the user's teeth; and mechanically stimulating, using the vibrational dental device, cells of the user, including at least one of osteoblasts in alveolar bone and periodontal ligament fibroblasts, for a treatment period of less than about 10 minutes daily at a frequency higher than about 60 Hz, wherein a peak acceleration magnitude of the mouthpiece in the horizontal direction is substantially greater than that in the vertical direction.", "20.The method of claim 19, further comprising providing the mouthpiece between the occlusal surfaces of a user's teeth.", "21.The method of claim 19, further comprising mechanically stimulating the cells of the user at a g-force between about 0.001 G and about 3 G. 22.The method of claim 18, further comprising mechanically stimulating the cells of the user at a frequency between about 60 Hz and about 300 Hz.", "23.A dental device for increasing cell proliferation, the dental device comprising means for mechanically stimulating cells, including at least one of human osteoblasts and periodontal ligament fibroblasts, at a frequency higher than about 60 Hz for a treatment period of less than about 10 minutes daily, wherein a peak acceleration magnitude generated by the vibrational dental device in the horizontal direction is substantially greater than that in the vertical direction." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>The embodiments of the present disclosure include systems, devices, and methods for stimulating cell proliferation in periodontal tissues, including the alveolar processes and periodontal ligament.", "Advantageously, the exemplary embodiments allow cells belonging to the connective-tissue cell family, such as human osteoblasts in alveolar bone and periodontal ligament fibroblasts, to proliferate after relatively short vibration treatments, thereby improving the efficiency and effectiveness of orthodontic treatments.", "According to an exemplary embodiment of the present disclosure, a method for increasing cell proliferation is described.", "The method includes providing a vibrational dental device that is capable of vibrating at a frequency higher than about 80 Hz.", "The method also includes mechanically stimulating, using the vibrational dental device, cells for a treatment period of less than about 20 minutes daily over a period of time.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The cells may include human osteoblasts and/or human fibroblasts.", "The method further includes increasing the number of the cells at the end of the period of time.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the method further includes generating a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the vibrational dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. According to a further exemplary embodiment of the present disclosure, a method for accelerating orthodontic tooth movement is described.", "The method includes providing a mouthpiece of a vibrational dental device to be clamped by the user's teeth, for example, between the occlusal surfaces of a user's teeth.", "The method further includes mechanically stimulating, using the vibrational dental device, cells of the user for a treatment period of less than about 20 minutes daily at a frequency higher than 80 Hz over a period of time.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The cells may include at least one of osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the method further includes producing a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the vibrational dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. According to a yet further exemplary embodiment of the present disclosure, a dental device for increasing cell proliferation is described.", "The dental device includes means for mechanically stimulating cells at a frequency higher than 80 Hz for a treatment period of less than about 20 minutes daily over a period of time, and further increasing the number of the cells at the end of the period of time.", "The cells may include human osteoblasts and/or human fibroblasts.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the dental device is capable of mechanically vibrating with a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. Additional features and advantages of the disclosed embodiments will be set forth in part in the description that follows, and in part will be obvious from the description, or may be learned by practice of the disclosed embodiments.", "The features and advantages of the disclosed embodiments will be realized and attained by the elements and combinations particularly pointed out in the appended claims.", "It is to be understood that both the foregoing general description and the following detailed description are examples and explanatory only and are not restrictive of the disclosed embodiments as claimed.", "The accompanying drawings constitute a part of this specification.", "The drawings illustrate several embodiments of the present disclosure and, together with the description, serve to explain the principles of the disclosed embodiments as set forth in the accompanying claims." ], [ "BACKGROUND Technical Field The present disclosure generally relates to dental devices and methods of use.", "More particularly, and without limitation, the disclosed embodiments relate to systems, devices, and methods for stimulating cell proliferation in the region of the alveolar process of the maxilla and mandible, including the periodontal ligament, using vibration.", "Background Description Mechanical vibration may enhance musculoskeletal properties.", "For example, some studies suggested that low-intensity mechanical vibrations may stimulate bone formation or mitigate the degradation of the intervertebral disc in rats.", "However, the biomolecular mechanisms for such enhancement effects have not yet been elucidated.", "Some studies suggested that mechanical vibration may enhance differentiation of human bone marrow mesenchymal stem cells or periodontal ligament stem cells.", "But discrepancies and unpredictability exist in literature as to the effects of mechanical vibration on cell proliferation.", "For example, previous studies have demonstrated no effects or either increased or decreased proliferation after cyclic vibration treatment.", "See Zhang, C., et al.", "(2012).", "Effects of mechanical vibration on proliferation and osteogenic differentiation of human periodontal ligament stem cells.", "Archives of Oral Biology, 57(10), 1395-1407.The unpredictability in the art has manifested itself in the experimental data in the prior art.", "Some studies have suggested vibrations at frequencies ranging from 15 Hz to 90 Hz may be more anabolic to bone formation.", "See Zhang, C., et al.", "(2012).", "Most of the previous studies subjected cells or animals to vibration treatments for a certain number of vibration bouts per day, each bout lasting for a duration ranging from 15 minutes to 60 minutes.", "See Judex, S., et al.", "(2015).", "Modulation of bone's sensitivity to low-intensity vibrations by acceleration magnitude, vibration duration, and number of bouts.", "Osteoporosis International, 26(4), 1417-1428; see also Pongkitwitoon, S., et al.", "(2016).", "A recent study further suggested that increasing the bout duration to an even longer period (30 or 60 minutes) would positively influence bone formation rates in mice.", "Cytoskeletal Configuration Modulates Mechanically Induced Changes in Mesenchymal Stem Cell Osteogenesis, Morphology, and Stiffness.", "Scientific Reports, 6(1).", "doi:10.1038/srep34791.It is recently hypothesized that mechanical vibration may promote periodontal regeneration and periodontal tissue remodeling during and following orthodontic tooth movement.", "However, variables of mechanical vibration to be used for modulating bone biology so as to effectively accelerate orthodontic tooth movement remain to be determined.", "SUMMARY The embodiments of the present disclosure include systems, devices, and methods for stimulating cell proliferation in periodontal tissues, including the alveolar processes and periodontal ligament.", "Advantageously, the exemplary embodiments allow cells belonging to the connective-tissue cell family, such as human osteoblasts in alveolar bone and periodontal ligament fibroblasts, to proliferate after relatively short vibration treatments, thereby improving the efficiency and effectiveness of orthodontic treatments.", "According to an exemplary embodiment of the present disclosure, a method for increasing cell proliferation is described.", "The method includes providing a vibrational dental device that is capable of vibrating at a frequency higher than about 80 Hz.", "The method also includes mechanically stimulating, using the vibrational dental device, cells for a treatment period of less than about 20 minutes daily over a period of time.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The cells may include human osteoblasts and/or human fibroblasts.", "The method further includes increasing the number of the cells at the end of the period of time.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the method further includes generating a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the vibrational dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. According to a further exemplary embodiment of the present disclosure, a method for accelerating orthodontic tooth movement is described.", "The method includes providing a mouthpiece of a vibrational dental device to be clamped by the user's teeth, for example, between the occlusal surfaces of a user's teeth.", "The method further includes mechanically stimulating, using the vibrational dental device, cells of the user for a treatment period of less than about 20 minutes daily at a frequency higher than 80 Hz over a period of time.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The cells may include at least one of osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the method further includes producing a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the vibrational dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. According to a yet further exemplary embodiment of the present disclosure, a dental device for increasing cell proliferation is described.", "The dental device includes means for mechanically stimulating cells at a frequency higher than 80 Hz for a treatment period of less than about 20 minutes daily over a period of time, and further increasing the number of the cells at the end of the period of time.", "The cells may include human osteoblasts and/or human fibroblasts.", "The treatment period can be, for example, less than about 20 minutes, 15 minutes, 10 minutes, 6 minutes, 5 minutes, 4 minutes, 2 minutes, 1 minute, or less.", "It is contemplated that in other embodiments the treatment period could be any value within the range of about 1 minute and 19 minutes daily, and that the daily total treatment period could be formed of a plurality of treatment sessions contributing to the daily total treatment period.", "In one exemplary embodiment, the daily total treatment period is about 5 minutes.", "The vibration frequency can be less than about 300 Hz, for example, from about 60 Hz to about 300 Hz, from about 60 Hz to about 200 Hz, from about 60 Hz to about 130 Hz, from about 80 Hz to about 120 Hz, from about 110 Hz to about 120 Hz, from about 100 Hz to about 110 Hz, from about 90 Hz to about 100 Hz, or from about from about 80 Hz to about 90 Hz.", "It is contemplated that in other embodiments the frequency could be any value within the range of about 80 Hz and about 120 Hz, and that the vibration frequency could be adjusted during a treatment period.", "In one exemplary embodiment, the vibration frequency is about 100 Hz.", "In some embodiments, the dental device is capable of mechanically vibrating with a peak acceleration magnitude in the horizontal direction substantially greater than that in the vertical direction.", "For example, the peak acceleration magnitude of the vibration generated by the dental device in the horizontal direction can be about 1 to 5 times greater than that in the vertical direction.", "In some embodiments, the peak acceleration magnitude of the vibration in the horizontal direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In some embodiments, the peak acceleration magnitude of the vibration in the vertical direction may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. In other embodiments, the total peak acceleration magnitude of the vibration may range between about 0.001 G and about 3 G, such as between about 0.01 G and about 1 G. Additional features and advantages of the disclosed embodiments will be set forth in part in the description that follows, and in part will be obvious from the description, or may be learned by practice of the disclosed embodiments.", "The features and advantages of the disclosed embodiments will be realized and attained by the elements and combinations particularly pointed out in the appended claims.", "It is to be understood that both the foregoing general description and the following detailed description are examples and explanatory only and are not restrictive of the disclosed embodiments as claimed.", "The accompanying drawings constitute a part of this specification.", "The drawings illustrate several embodiments of the present disclosure and, together with the description, serve to explain the principles of the disclosed embodiments as set forth in the accompanying claims.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1A is a perspective view of an exemplary vibrational dental device, according to embodiments of the present disclosure.", "FIG.", "1B is a partial perspective view of the exemplary vibrational dental device of FIG.", "1A, according to embodiments of the present disclosure.", "FIG.", "1C is a partial component view of the exemplary vibrational dental device of FIG.", "1, according to embodiments of the present disclosure.", "FIG.", "2 illustrates the operation of the exemplary oral vibrational device of FIG.", "1.FIGS.", "3A-3P each show the measurement of vibration of an exemplary typodont subject to vibration treatment by the exemplary vibrational dental device of FIG.", "1 under different testing conditions.", "FIGS.", "4A-4P each show the measurement of vibration of an exemplary typodont subject to vibration treatment by a commercially available dental device under different testing conditions.", "FIG.", "5A graphically compares g-force measurements of a typodont with an aligner subject to vibration treatment by the exemplary vibrational dental device of FIG.", "1 and an exemplary commercially available dental device.", "FIG.", "5B graphically compares g-force measurements of a typodont without an aligner subject to vibration treatment by the exemplary vibrational dental device of FIG.", "1 and an exemplary commercially available dental device.", "FIG.", "6 graphically compares peak acceleration magnitudes between the average peak acceleration magnitudes between an exemplary commercially available dental device and the exemplary vibrational dental device of FIG.", "1.FIG.", "7 graphically compares average total displacement of the vibratory motion produced by an exemplary commercially available dental device and by the exemplary vibrational dental device of FIG.", "1.FIG.", "8 graphically compares mean numbers of osteoblasts over three days of a control group, a first group subject to mechanical vibration by an exemplary commercially available dental device, and a second group subject to mechanical vibration by the exemplary vibrational dental device of FIG.", "1.FIG.", "9 graphically compares mean numbers of periodontal ligament fibroblasts over three days of a control group, a first group subject to mechanical vibration by an exemplary commercially available dental device, and a second group subject to mechanical vibration by the exemplary vibrational dental device of FIG.", "1.FIG.", "10 graphically compares mean activity of osteoclasts over three days of a control group, a first group subject to mechanical vibration by an exemplary commercially available dental device, and a second group subject to mechanical vibration by the exemplary vibrational dental device of FIG.", "1.FIG.", "11 is a flowchart of an exemplary method for increasing cell proliferation, according to embodiments of the present disclosure.", "FIG.", "12 is a flowchart of an exemplary method for accelerating orthodontic tooth movement, according to embodiments of the present disclosure.", "DETAILED DESCRIPTION The disclosed embodiments relate to devices, systems, and methods for stimulating cell proliferation.", "Advantageously, embodiments of the present disclosure can be implemented in an orthodontic treatment for accelerating orthodontic tooth movement.", "Osteoblasts and fibroblasts are intimately involved in facilitating tooth movement and thus are typically targeted by techniques that aim at accelerating tooth movement in orthodontic treatments.", "Different approaches, both pre-clinically and clinically, have been attempted to achieve quicker results, but there are still many uncertainties and undetermined variables towards most of these techniques.", "For example, some commercially available dental devices, such as AcceleDent Aura™, developed by OrthoAccel® Technologies, Inc., are offered to accelerate orthodontic treatment.", "Patients are advised to wear such dental devices that mechanically stimulate teeth and braces in the form of micro-vibrations at a frequency of 30 Hz for 20 min per day.", "To get the maximum desired results of accelerated orthodontic treatment, further studies are still needed to identify the variables of mechanical vibrational stimulation, such as frequency, strength, and duration.", "It was discovered that treating cells, such as human osteoblasts and fibroblasts, with mechanical vibration for less than about 20 minutes, for example for about 5 minutes, daily at a frequency higher than about 80 Hz and with anisotropic acceleration magnitudes increases the number of cells over a period of time.", "That a marked improvement of cell proliferation over prior-art treatment methods using a shorter treatment interval is surprising and not suggested by the prior art.", "Various aspects of the present disclosure are developed based on such discovery.", "According to an aspect of the present disclosure, a vibrational dental device that vibrates at a frequency higher than about 80 Hz is provided.", "The vibrational dental device includes a mouthpiece and a motor connected to the mouthpiece.", "The mouthpiece is configured to be provided between the occlusal surfaces of a user's teeth so as to be clamped by the user's teeth.", "The motor is configured to vibrate the mouthpiece at a frequency higher than about 80 Hz, such as at a frequency between about 100 Hz to about 120 Hz, and with an acceleration magnitude ranging between about 0.03 G and about 0.4 G. When the motor is in operation and when the mouthpiece is clamped between the occlusal surfaces of a user's teeth, the vibrational dental device applies an axial vibratory force on the occlusal surfaces.", "Clamping the teeth onto the mouthpiece will apply a load to the vibrator, which may have the effect of lowering the vibrational frequency of the mouthpiece measured in free air, as described below.", "In some embodiments, the vibrational dental device may further include a sensor configured to detect the vibration variables of the device, such as frequency and acceleration magnitude.", "When the mouthpiece of the vibrational dental device is clamped between the occlusal surfaces of a user's teeth, the sensor may detect the vibration variables proximate to the occlusal surfaces of the user's teeth.", "In some embodiments, the sensor is a piezoelectric sensor.", "According to another aspect of the present disclosure, a method for increasing cell proliferation is provided.", "The method includes mechanically stimulating, using an exemplary vibrational dental device, cells for less than about 20 minutes, for example for about 5 minutes, daily at a frequency higher than about 80 Hz over a period of time.", "The cells may include at least one of human osteoblasts and fibroblasts.", "The period of time may extend for a couple of days up to a number of months, at the end of which, the number of the cells increases.", "As described herein, an increase of cell proliferation or an increase of the number of cells can be represented by an increase of cell density.", "According to another aspect of the present disclosure, a method for accelerating orthodontic tooth movement is described.", "The method includes providing the mouthpiece of the vibrational dental device between the occlusal surfaces of a user's teeth to be clamped by the user's teeth.", "The method further includes mechanically stimulating, using the vibrational dental device, cells of the user, including at least one of osteoblasts in alveolar bone and periodontal ligament fibroblasts, for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than 80 Hz daily over a period of time.", "In some embodiments, the method further includes applying an axial vibratory force on the occlusal surfaces by the vibrational dental device.", "In some embodiments, the vibrational frequency and/or the acceleration magnitude generated by the vibrational dental device may be adjusted.", "Such adjustment may depend on one or more factors, such as the speed of tooth movement and/or user's reported comfort level.", "Reference will now be made in detail to embodiments and aspects of the present disclosure, examples of which are illustrated in the accompanying drawings.", "Where possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.", "FIG.", "1A is a perspective view of an exemplary vibrational dental device 100.FIG.", "1B is a partial perspective view of vibrational dental device 100.FIG.", "1C is a partial component view of vibrational dental device 100.As shown in FIGS.", "1A-1C, vibrational dental device 100 includes a mouthpiece 102, a base 104, and a motor 106.Mouthpiece 102 is removably attached to base 104.Mouthpiece 102 includes a biteplate 114 and a mouthpiece extension 110 configured to connect with base 104.In some embodiments, mouthpiece 102 and/or biteplate 114 can be configured to engage some or all of a user's teeth.", "For example, in the exemplary embodiments shown in FIGS.", "1A-2, mouthpiece 102 and/or biteplate 114 are shaped to engage some or all of a user's teeth.", "As described herein, the shape of mouthpiece 102 and/or biteplate 114 shown in FIGS.", "1A-2 is only exemplary.", "Mouthpiece 102 and/or biteplate 114 may have a C-shape as depicted, or a customized shape suitable for safe application of vibrational treatment to all or some of a user's teeth.", "The mouthpiece can be made to apply vibration directly to a user's teeth, or to aligners or other appliances applied to the teeth.", "Extension 110 may further include contacts 108 that electrically connect base 104 with motor 106.As shown in FIGS.", "1B and 1C, motor 106 is installed in extension 110 of mouthpiece 102.When mouthpiece 102 is attached to base 104, motor 106 resides in base 104.Base 104 further includes electronic circuitries (not shown), including a control circuitry and a power circuitry, for operating motor 106.Motor 106 may be any type of motor that can cause mouthpiece 102 or biteplate 114 to vibrate.", "For example, motor 106 could be a vibration motor, piezoelectric motor, a linear motor, or an electromagnetic motor.", "The frequency and/or strength of vibration caused by motor 106 can be adjusted by changing the voltage or current supplied to motor 106 by the electronic circuitries in base 104.For example, the voltage used for operating motor 106 may range from about 0.5 volt to about 4 volts.", "The current supplied to an exemplary motor 106 may range from about 65 mA to about 100 mA.", "Motor 106 may have any suitable mechanical configurations to cause mouthpiece 102 or biteplate 114 to vibrate axially.", "FIG.", "2 illustrates exemplary operation of vibrational dental device 100.As shown in FIG.", "2, in one embodiment, motor 106 is a counter-weighted motor with a longitudinal axis parallel to the longitudinal axis of extension 110.Motor 106 may include a counterweight 212 that is off-axis from the longitudinal axis of motor 106.When the motor 106 rotates, as shown by the arrow 111 in FIG.", "2, counterweight 212 moves up and down, causing the mouthpiece 102 to vibrate up and down, as shown by the arrows 113a-113d in FIG.", "2.Accordingly, when the exemplary mouthpiece 102 is placed in a typodont or between a user's teeth, and when exemplary vibrational dental device 100 is turned on, the vibration of mouthpiece 102 will apply an axial vibratory force on the occlusal surfaces of the teeth.", "For example, biteplate 114 of mouthpiece 102 may cyclically move axially between the occlusal surfaces of the teeth.", "In some embodiments, vibrational dental device 100 may further include one or more sensors (not shown), such as piezoelectric sensors, configured to detect the acceleration magnitude and/or frequency of the vibration of mouthpiece 102.For example, sensors may be placed on the outside or the inside edge of biteplate 114, proximate to the occlusal surfaces of the teeth when mouthpiece 102 is clamped between the occlusal surfaces.", "The sensors can be electrically connected to the electronic circuitries in base 104.Measurements of the sensors can be fed back to the control circuitry of motor 106 to adjust the acceleration magnitude and/or frequency of motor 106.For example, the detected acceleration magnitude and/or frequency may be compared to a desired acceleration magnitude and/or frequency, and the voltage and/or current supplied to motor 106 can be adjusted based on the comparison.", "In some embodiments, motor 106 is configured to vibrate mouthpiece 102 at a frequency higher than about 80 Hz, such as at a frequency between about 100 Hz to about 120 Hz.", "Motor 106 may be further configured to vibrate mouthpiece 102 at an acceleration magnitude ranging between about 0.03 G and about 0.2 G. As described herein, the vibrational frequency of mouthpiece 120 may vary from the rated “free-air” vibrational frequency of motor 106 due to the amount of biting force or load applied to mouthpiece 102, such as the force used to clamp vibrational dental device 100 in place.", "For example, when motor 106 is configured to vibrate at a frequency of about 120 Hz, adding biting force or load to mouthpiece 102 may result in a lower vibrational frequency of mouthpiece 102 ranging from about 100 Hz to about 120 Hz.", "Examples 1-11 described below illustrate the use of vibrational dental device 100 operating under these variables and its clinically relevant effects.", "Example 1 A simulation was conducted to test and compare the vibration characteristics of a typodont caused by an exemplary embodiment of vibrational dental device 100 and a commercially available dental device, the AcceleDent Aura™.", "In the simulation setup, the typodont was secured to a metal table.", "The upper jaw of the typodont was hinged to the lower jaw and capable of opening and closing.", "Each device was placed in the typodont (between the occlusal surfaces) and held in position by securely mounting a weight of about 0 to about 4 pounds on the upper jaw.", "The weight simulates the biting force typically applied by a user to clamp the devices in place.", "The simulation setup further included electronic instruments, including accelerometers, for measuring vibration characteristics of the typodont.", "The accelerometers were placed directly on the devices and on the typodont.", "FIGS.", "3A-4P each show the measurement dataset of the accelerometer for two channels, channel 1 (“Ch1”) for detecting the vibration characteristics of the typodont and channel 2 (“Ch2”) for detecting the vibration characteristics of the device.", "As shown in FIGS.", "3A-4P, measurements of the accelerometers over the operation time of each device recorded increasing and decreasing accelerations of the devices and the typodont.", "The measurement dataset of the accelerometers resembles a sinusoidal curve.", "The distance from the bottom to the top of the sinusoidal curve is called the peak-to-peak G value or g-force (Gp-p).", "In this simulation, the operation time of vibrational dental device 100 was about 5 minutes.", "The operation time of AcceleDent Aura™ was about 20 minutes.", "The maximum Gp-p values of the vibration of the typodont actuated by these two devices under different simulated biting forces (different weights) were measured using the accelerometers and other associated electronic instruments about one minute before the end of the operation time.", "Therefore, measurement of the frequency and g-force for each channel was performed at the time point of about 4 minutes for vibrational dental device 100 and at the time point of about 19 minutes for AcceleDent Aura™.", "The simulation was repeated for a second testing device of vibrational dental device 100 and a second testing device of AcceleDent Aura™.", "Therefore, the first and second vibration dental devices 100 tested are shown as vibrational dental device 100 (1) and vibrational dental device 100 (2) respectively in FIGS.", "3A-3P.", "Also, the first and second AcceleDent Aura™ devices are shown as AcceleDent Aura™ (1) and AcceleDent Aura™ (2) respectively in FIGS.", "4A-4P.", "The simulation was also repeated where the typodont was installed with and without an aligner, as indicated in the captions of FIGS.", "3A-4P.", "All measurement data was summarized in Table 1 as shown below.", "TABLE 1 G-force values (Gp-p) measured under different testing conditions.", "g-force g-force on on Frequency typodont device Device Weight Aligner Time (Hz) (G) (G) AcceleDent Aura ™ (1) 4 Yes End-1 Min 29.8 0.002 0.074 AcceleDent Aura ™ (1) 4 No End-1 Min 30.46 0.002 0.072 Vibrational dental device 100 (1) 4 Yes End-1 Min 96.69 0.061 0.230 Vibrational dental device 100 (1) 4 No End-1 Min 98.02 0.048 0.210 AcceleDent Aura ™ (2) 4 Yes End-1 Min 29.8 0.002 0.054 AcceleDent Aura ™ (2) 4 No End-1 Min 29.8 0.002 0.061 Vibrational dental device 100 (2) 4 Yes End-1 Min 100 0.045 0.150 Vibrational dental device 100 (2) 4 No End-1 Min 98.02 0.025 0.210 AcceleDent Aura ™ (1) 2 Yes End-1 Min 29.8 0.016 0.084 AcceleDent Aura ™ (1) 2 No End-1 Min 29.8 0.016 0.076 Vibrational dental device 100 (1) 2 Yes End-1 Min 104 0.045 0.150 Vibrational dental device 100 (1) 2 No End-1 Min 112.6 0.081 0.150 AcceleDent Aura ™ (2) 2 Yes End-1 Min 29.8 0.011 0.082 AcceleDent Aura ™ (2) 2 No End-1 Min 29.8 0.014 0.079 Vibrational dental device 100 (2) 2 Yes End-1 Min 100.7 0.033 0.125 Vibrational dental device 100 (2) 2 No End-1 Min 111.3 0.046 0.118 AcceleDent Aura ™ (1) 1 Yes End-1 Min 29.8 0.008 0.094 AcceleDent Aura ™ (1) 1 No End-1 Min 29.8 0.031 0.086 Vibrational dental device 100 (1) 1 Yes End-1 Min 109.3 0.052 0.210 Vibrational dental device 100 (1) 1 No End-1 Min 109.9 0.175 0.120 AcceleDent Aura ™ (2) 1 Yes End-1 Min 29.8 0.014 0.084 AcceleDent Aura ™ (2) 1 No End-1 Min 29.8 0.038 0.092 Vibrational dental device 100 (2) 1 Yes End-1 Min 97.35 0.100 0.130 Vibrational dental device 100 (2) 1 No End-1 Min 106 0.126 0.108 In exemplary embodiments, the vibrational dental device can be configured to deliver g-forces above those found in prior art devices indicated for use with aligners or without aligners.", "FIG.", "5A and Table 2 show the measured g-force values (Gp-p) of the typodont mounted with different weights while subject to vibration by vibrational dental device 100 and by the AcceleDent Aura™ with the aligner.", "FIG.", "5B and Table 3 shows the measured g-force values (Gp-p) of the typodont mounted with different weights while subject to vibration by vibrational dental device 100 and by the AcceleDent Aura™ without the aligner.", "As described herein, results shown in FIGS.", "5A and 5B and Tables 1 and 2 were average values and standard deviations of the measured g-force values (Gp-p) on the typodont caused by the two testing devices of vibrational dental device 100 and the two testing devices of AcceleDent Aura™.", "As shown in FIGS.", "5A and 5B, vibrational dental device 100 produced greater acceleration than the AcceleDent Aura™ at various simulated biting forces (under various weights).", "When the typodont was fitted an aligner (as shown in FIG.", "5A and Table 1), depending on the simulated biting force, the AcceleDent Aura™ caused very low acceleration levels of the typodont with g-force values from less than 0.01 G to no greater than 0.02 G. In contrast, vibrational dental device 100 resulted in higher acceleration levels of the typodont with g-force values ranging from about 0.04 G to about 0.076 G. In particular, the two-pound and four-pound weights (or simulated biting force) caused the AcceleDent Aura™'s measured average g-force values to drop to very low levels of 0.0135 G and 0.002 G, respectively.", "When the typodont was without an aligner (as shown in FIG.", "5B and Table 2), depending on the simulated biting force, the AcceleDent Aura™ similarly caused very low acceleration levels with g-force values from less than 0.01 G to no greater than 0.04 G. Again, in contrast, vibrational dental device 100 resulted in multi-fold higher acceleration levels with g-force values ranging from about 0.04 G to about 0.15 G. These results suggest that vibrational dental device 100 can produce greater acceleration magnitude of the typodont under different simulated biting forces than the AcceleDent Aura™ with or without aligners.", "TABLE 2 Average g-force values (Gp-p) of the typodont mounted with different weights while subject to vibration by vibrational dental device 100 and by the AcceleDent Aura ™ with the aligner.", "4 lb 2 lb 1 lb Device Average SD Average SD Average SD AcceleDent 0.002 0.0000 0.0135 0.0035 0.011 0.0042 Aura ™ Vibrational 0.053 0.0113 0.0390 0.0085 0.076 0.0339 dental device 100 TABLE 3 Average g-force values (Gp-p) of the typodont mounted with different weights while subject to vibration by vibrational dental device 100 and by the AcceleDent Aura ™ without the aligner.", "4 lb 2 lb 1 lb Device Average SD Average SD Average SD AcceleDent 0.0020 0.0000 0.0150 0.0014 0.0345 0.0049 Aura ™ Vibrational 0.0365 0.0163 0.0635 0.0247 0.1505 0.0346 dental device 100 Example 2 Vibrational dental device 100 and AcceleDent Aura™ produce distinct vibration frequencies, and accelerations, and are used for different treatment duration, but they produce about the same number of oscillations per treatment.", "The different vibration variables of the AcceleDent Aura™ and vibrational dental device 100 may be perceived differently by cells residing in the alveolar bone and the periodontal tissue.", "In this example, acceleration profiles of the two devices are quantified and compared, and the efficacy of the mechanical vibration generated by these two devices to stimulate cells, including osteoblasts, periodontal ligament fibroblasts, and osteoclasts was quantitatively evaluated and compared.", "To measure the acceleration profiles of both vibrational dental device 100 and AcceleDent Aura™, an accelerometer Slamstick C (Mide Technology Corp, MA) was attached to the top surface of a mouthpiece and inserted into the mouth cavity of a volunteer as instructed by the manual of the manufacturer.", "All data were recorded for 20 second intervals at a recording frequency of 800 Hz.", "During recording, the mouthpiece was kept in the horizontal plane.", "Fast Fourier Transform (FFT) was applied to determine the frequency content of the recordings.", "For both vibrational dental device 100 and AcceleDent Aura™, three devices of each were tested and average peak acceleration magnitudes of the three devices in three orthogonal directions, including X-horizontal, Y-horizontal, and vertical dimensions were obtained.", "Commercially available cells, including human osteoblasts, human periodontal ligament fibroblasts, and human osteoclasts (Lonza, Inc., Walkersville, Md.)", "were cultured according to the manufacturer's instructions.", "For all experiments, the cells were plated in multi-well tissue culture plates at a cell density of 7,500 cells/cm2 and placed in an incubator prior to vibration treatment.", "The cells were taken out from the incubator immediately prior to vibration treatment.", "The human osteoblasts, human periodontal ligament fibroblasts, and human osteoclasts samples were respectively separated into three groups, a first group subject to vibration treatment by AcceleDent Aura™, a second group subject to vibration treatment by an exemplary embodiment of vibrational dental device 100, and a control group handled identically to the other two groups but not subject to vibration treatment.", "Vibration treatment was applied by placing the device between the cell culture plates and a plastic box.", "The cell culture plates, the device, and the plastic box were securely fastened together by industrial elastic bands.", "The plastic box and the industrial elastic bands isolated the vibrations generated by the devices from being transmitted to the experimental countertop.", "A tri-axial accelerometer was directly attached to the top of the cell culture plates to verify the applied vibration frequency and acceleration magnitude.", "Vibration treatment of the cells was applied daily at room temperature for a period of three days.", "Each day, the first groups of osteoblasts, fibroblasts, and osteoclasts were subject to vibration treatment by AcceleDent Aura™ at a frequency of 30 Hz for 20 minutes.", "The second groups of osteoblasts, fibroblasts, and osteoclasts were subject to vibration treatment by vibrational dental device 100 at a frequency from about 100 Hz to about 120 Hz for 5 minutes and subsequently left at room temperature for 15 minutes to match the 20 minutes room temperature exposure of the first groups.", "The control groups were left at room temperate for 20 minutes without any vibration treatment.", "For osteoblasts and periodontal ligament fibroblasts, cell proliferation or cell density (cells/cm2) over a three-day period was used as a marker for the cells' responsivity to the vibration stimuli.", "A standard spectrophotometric MTS assay was used for determining cell density according to the manufacturer's instructions (XTT Assay, ATCC™).", "The cell sample size for each group of osteoblasts and periodontal ligament fibroblasts was 5.Mean cell numbers of each group were calculated based on the measured cell densities of the cell samples of each group.", "To quantify osteoclasts' responsivity to the vibration stimuli, TRAP optical density was measured over a three-day period.", "The cell sample size for each group of osteoclast was 12.Datasets were presented as means and standard deviations.", "The three groups were statistically compared to each other via Fisher tests.", "A significance value of 0.05 was used for all datasets.", "FIG.", "6 and Table 4 show the average peak acceleration magnitudes of AcceleDent Aura™ and vibrational dental device 100 recorded with the accelerometer in three orthogonal directions.", "As shown in FIG.", "6 and Table 4, the average peak accelerations of the three sample devices of AcceleDent Aura™ were measured about 0.12 G in the medial-lateral or X-horizontal direction, about 0.15 G in the anterior-posterior or Y-horizontal direction, and about 0.15 G in the vertical direction.", "The average peak accelerations of the three sample devices of vibrational dental device 100 were measured about 0.23 G in the medial-lateral or X-horizontal direction, about 0.33 G in the anterior-posterior Y-horizontal direction, and about 0.07 G in the vertical direction.", "Combining the average acceleration magnitudes in the two horizontal directions resulted in an average peak acceleration in the horizontal dimension of about 0.18 G for the AcceleDent Aura™ devices and about 0.41 G for the vibrational dental devices 100.Combining the average acceleration magnitudes in all three directions resulted in an average Total Resultant acceleration magnitude of about 0.24 G for the AcceleDent Aura™ devices and about 0.41 G for the vibrational dental devices 100.As shown in FIG.", "6, for both AcceleDent Aura™ and vibrational dental devices 100, variability across the three sample devices was low.", "TABLE 4 Average peak acceleration magnitudes of vibrational dental device 100 and the AcceleDent Aura ™ AcceleDent Aura ™ Vibrational dental device 100 X 0.12 G 0.23 G Y 0.15 G 0.33 G Horizontal 0.18 G 0.41 G Vertical 0.15 G 0.07 G Total Resultant 0.24 G 0.41 G FIG.", "7 graphically compares average total displacement of the vibratory motion produced by AcceleDent Aura™ and by vibrational dental device 100.As shown in FIG.", "7, the average total displacement of the vibratory motion produced by AcceleDent Aura™ was about 140 μm and about 14 μm by vibrational dental device 100.Thus, as shown in FIGS.", "6 and 7, vibrational dental device 100 achieved smaller displacements in spite of greater peak acceleration magnitudes by using a vibration frequency that is about four times greater than that of AcceleDent Aura™.", "FIG.", "8 shows mean cell numbers of three groups of osteoblasts.", "FIG.", "9 shows mean cell numbers of three groups of fibroblasts.", "The cell numbers are presented in an arbitrary unit for the purpose of comparison.", "FIG.", "10 shows mean activity of three groups of osteoclasts presented in optical density (OD) measurements.", "Daggers in FIGS.", "8-10 mark statistically significant differences between control samples and samples subject to vibration treatment.", "Asterisks mark statistically significant differences samples subject to vibration treatment AcceleDent Aura™ and samples subject to vibration treatment by vibrational dental device 100.Surprisingly, as shown in FIG.", "8, the group of osteoblasts subject to vibration treatment by vibrational dental device 100 had significantly greater (p<0.05) cell proliferation than the group of osteoblasts subject to vibration treatment by AcceleDent Aura™ on day 2 and day 3.Similarly, as shown in FIG.", "9, the group of fibroblasts subject to vibration treatment by vibrational dental device 100 had significantly greater (p<0.05) cell proliferation than the group of fibroblasts subject to vibration treatment by AcceleDent Aura™ on day 2 and day 3.As shown in FIG.", "10, both AcceleDent Aura™ and vibrational dental device 100 were capable of moderately increasing the activity of osteoclasts as compared with the control group.", "This example demonstrates the surprising results that vibration treatment by vibrational dental device 100 enhanced proliferation of human osteoblasts and periodontal ligament fibroblasts to a significantly greater level than AcceleDent Aura™ The in vitro experimental results presented in this example suggest that different cell types and tissues, such as the human osteoblasts and human periodontal ligament fibroblasts, can be more responsive to the vibration variables used by vibrational dental device 100.For example, vibrational dental device 100 produces vibratory motions at a frequency from about 100 Hz to about 120 Hz, about four times of that of AcceleDent Aura™, with greater peak acceleration magnitudes That superior results could be obtained in one-fourth the time required by the AcceleDent Aura™ could result in superior clinical results in orthodontics, as shorter treatment periods generally correlate to better user compliance and adherence.", "Additionally, the difference in the peak acceleration magnitude produced by AcceleDent Aura™ and vibrational dental device 100 was significant.", "As shown in Table 1, while AcceleDent Aura™ produced peak accelerations on the order of 0.15 G in all three directions, the peak accelerations produced by vibrational dental device 100 in the horizontal direction was about six times of that in the vertical direction.", "This spatial anisotropy in acceleration magnitudes generated by vibrational dental device 100 may have directly contributed to the greater proliferation rates of the periodontal ligament fibroblast and osteoblast samples as cells may sense vibrations preferentially in the horizontal direction.", "The Total Resultant peak acceleration magnitude of vibrational dental device 100 was about 70% greater than that of AcceleDent Aura™ As shown in FIGS.", "6 and 7, the greater peak acceleration magnitude of vibrational dental device 100 was achieved via a greater vibration frequency and not a greater displacement magnitude.", "In fact, as shown in FIG.", "7, the displacement magnitude produced by vibrational dental device 100 was an order of magnitude smaller than that produced by AcceleDent Aura™.", "This may be clinically important because small displacements induced by vibrations could reduce discomfort to the patients, thereby correlating with better user compliance.", "Example 3 An exemplary embodiment of vibrational dental device 100 is used to subject periodontal cells for a period of vibrational treatment.", "The periodontal cells include human osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The periodontal cells are treated for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily over a period of time, which lasts for about a few days to a couple of weeks.", "At the end of the period of time, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, is increased.", "Example 4 An exemplary embodiment of vibrational dental device 100 is used to subject periodontal cells for a period of vibrational treatment.", "The periodontal cells include human osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The periodontal cells are treated for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily over a period of time, which lasts for about a couple of weeks to about a month.", "At the end of the period of time, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, is increased.", "Example 5 An exemplary embodiment of vibrational dental device 100 is used to subject periodontal cells for a period of vibrational treatment.", "The periodontal cells include human osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The periodontal cells are treated for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily over a period of time, which lasts for about a month to a couple of months.", "At the end of the period of time, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, is increased.", "Example 6 An exemplary embodiment of vibrational dental device 100 is used to subject periodontal cells for a period of vibrational treatment.", "The periodontal cells include human osteoblasts in alveolar bone and periodontal ligament fibroblasts.", "The periodontal cells are treated for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily over a period of time, which lasts for about a couple of months to about a few months.", "At the end of the period of time, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, is increased.", "Example 7 An exemplary embodiment of vibrational dental device 100 is provided to a user for a period of vibrational treatment.", "While wearing an orthodontic aligner, the user uses vibrational dental device 100 by clamping the mouthpiece 102 between his or her teeth for less than about, for example about 5 minutes at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz daily, during the period of vibrational treatment.", "The period of vibrational treatment lasts for about 2 days to about a few days.", "At the end of the period of vibrational treatment, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, of the teeth that are subject to the vibrational treatment by vibrational dental device 100 is increased.", "Additionally, a desired movement of all or some of the teeth subject to vibrational treatment by vibrational dental device 100 is achieved at the end of the period of vibrational treatment.", "Example 8 An exemplary embodiment of vibrational dental device 100 is provided to a user for a period of vibrational treatment.", "While wearing an orthodontic aligner, the user uses vibrational dental device 100 by clamping the mouthpiece 102 between his or her teeth for less than about 20 minutes, for example for about 5 minutes, at a frequency from higher than about 80 Hz, for example about 100 Hz to about 120 Hz, daily during the period of vibrational treatment.", "The period of vibrational treatment lasts for about a few days to a couple of weeks.", "At the end of the period of vibrational treatment, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, of the teeth that are subject to the vibrational treatment by vibrational dental device 100 is increased.", "Additionally, a desired movement of all or some of the teeth subject to vibrational treatment by vibrational dental device 100 is achieved at the end of the period of vibrational treatment.", "Example 9 An exemplary embodiment of vibrational dental device 100 is provided to a user for a period of vibrational treatment.", "While wearing an orthodontic aligner, the user uses vibrational dental device 100 by clamping the mouthpiece 102 between his or her teeth for less than about 80 Hz, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily during the period of vibrational treatment.", "The period of vibrational treatment lasts for about a couple of weeks to about a month.", "At the end of the period of vibrational treatment, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, of the teeth that are subject to the vibrational treatment by vibrational dental device 100 is increased.", "Additionally, a desired movement of all or some of the teeth subject to vibrational treatment by vibrational dental device 100 is achieved at the end of the period of vibrational treatment.", "Example 10 An exemplary embodiment of vibrational dental device 100 is provided to a user for a period of vibrational treatment.", "While wearing an orthodontic aligner, the user uses vibrational dental device 100 by clamping the mouthpiece 102 between his or her teeth for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz daily to about 120 Hz during the period of vibrational treatment.", "The period of vibrational treatment lasts for about a month to a couple of months.", "At the end of the period of vibrational treatment, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, of the teeth that are subject to the vibrational treatment by vibrational dental device 100 is increased.", "Additionally, a desired movement of all or some of the teeth subject to vibrational treatment by vibrational dental device 100 is achieved at the end of the period of vibrational treatment.", "Example 11 An exemplary embodiment of vibrational dental device 100 is provided to a user for a period of vibrational treatment.", "While wearing an orthodontic aligner, the user uses vibrational dental device 100 by clamping the mouthpiece 102 between his or her teeth for less than about 20 minutes, for example for about 5 minutes, at a frequency higher than about 80 Hz, for example from about 100 Hz to about 120 Hz, daily during the period of vibrational treatment.", "The period of vibrational treatment lasts for about a couple of months to a few months.", "At the end of the period of vibrational treatment, the number of periodontal cells, including osteoblasts in alveolar bone and periodontal ligament fibroblasts, of the teeth that are subject to the vibrational treatment by vibrational dental device 100 is increased.", "Additionally, a desired movement of all or some of the teeth subject to vibrational treatment by vibrational dental device 100 is achieved at the end of the period of vibrational treatment.", "The above-described examples suggest that vibrational dental device 100 described herein may be utilized in a variety of procedures and methods for increasing cell proliferation.", "An exemplary method 200 for increasing cell proliferation may use one or more features of the embodiments of vibrational dental device 100, described above in reference to FIGS.", "1-5.Exemplary embodiments of method 200 are described below with reference to FIG.", "8.As shown in FIG.", "8, method 200 may include steps 210-230.Step 210 may include providing vibrational dental device 100.As described above, while in operation, vibrational dental device 100 can be configured to vibrate at a frequency higher than about 80 Hz and an acceleration magnitude ranging between about 0.03 G and about 0.2 G. For example, vibrational dental device 100 may vibrate at a frequency between about 100 Hz and about 120 Hz.", "Step 220 may include mechanically stimulating, using vibrational dental device 100, cells for about less than 20 minutes, for example for about 5 minutes, daily over a period of time.", "The cells may include one of human osteoblasts and fibroblasts.", "As described herein, the period of time may last until a desirable result has achieved.", "In some embodiments, the period of time may last for a couple of days up to a few months.", "Step 230 may include increasing the number of the cells at the end of the period of time.", "As described herein, an increase of the number of cells may be represented by an increase of the cell density, which indicates cell proliferation.", "Step 230 may further include quantitatively evaluating the cell number and/or cell density daily.", "As described herein, additional steps may be added to method 200.For example, method 200 may include increasing or decreasing the frequency and/or acceleration magnitude of vibrational dental device 100 based on the response of the cells to vibration treatment.", "Method 200 may also include detecting characteristics of the vibration produced by vibrational dental device 100 and/or characteristics of the vibration applied to the cells by vibrational dental device 100.Also, some steps may be omitted or repeated, and/or may be performed simultaneously.", "The above-described examples may further suggest that vibrational dental device 100 may stimulate more response of human osteoblasts and periodontal ligament fibroblasts during orthodontic treatment than AcceleDent Aura™.", "Therefore, vibrational dental device 100 described herein may be utilized in a variety of procedures and methods for accelerating orthodontic tooth movement in orthodontic treatments.", "An exemplary method 300 for accelerating orthodontic tooth movement may use one or more features of the embodiments of vibrational dental device 100, described above in reference to FIGS.", "1-5.Exemplary embodiments of method 300 are described below with reference to FIG.", "9.As shown in FIG.", "9, method 300 may include steps 310 and 320.Step 310 may include providing a mouthpiece of vibrational dental device 100 between the occlusal surfaces of a user's teeth to be clamped by the user's teeth.", "As described above, while in operation, vibrational dental device 100 is capable of vibrating at a frequency higher than about 80 Hz and an acceleration magnitude ranging between about 0.03 G and about 0.2 G. For example, vibrational dental device 100 may vibrate at a frequency between about 100 Hz and 120 Hz.", "Step 320 may include mechanically stimulating, using vibrational dental device 100, cells of the user for less than about 20 minutes, for example for about 5 minutes, daily at a frequency higher than 80 Hz over a period of time.", "The cells may include one of human osteoblasts and periodontal ligament fibroblasts.", "As described herein, the period of time may last until a desirable result has been achieved, such as a desired amount of tooth movement.", "In some embodiments, the period of time may last for a few of days up to a few months.", "As described herein, additional steps may be added to method 300.For example, method 300 may include increasing or decreasing the frequency and/or acceleration magnitude of vibrational dental device 100 based on the response of vibration treatment, such as the speed or amount of tooth movement.", "Method 300 may also include detecting characteristics of the vibration applied proximate to the occlusal surfaces, such as frequency and acceleration magnitude, by sensors of vibrational dental device 100.Also, some steps may be omitted or repeated, and/or may be performed simultaneously.", "The foregoing description has been presented for purposes of illustration.", "It is not exhaustive and is not limited to precise forms or embodiments disclosed.", "Modifications and adaptations of the embodiments will be apparent from consideration of the specification and practice of the disclosed embodiments.", "For example, the described implementations include hardware and software, but systems and methods consistent with the present disclosure can be implemented as hardware alone.", "In addition, while certain components have been described as being coupled to one another, such components may be integrated with one another or distributed in any suitable fashion.", "Moreover, while illustrative embodiments have been described herein, the scope includes any and all embodiments having equivalent elements, modifications, omissions, combinations (e.g., of aspects across various embodiments), adaptations and/or alterations based on the present disclosure.", "The elements in the claims are to be interpreted broadly based on the language employed in the claims and not limited to examples described in the present specification or during the prosecution of the application, which examples are to be construed as nonexclusive.", "Further, the steps of the disclosed methods can be modified in any manner, including reordering steps and/or inserting or deleting steps.", "The features and advantages of the disclosure are apparent from the detailed specification, and thus, it is intended that the appended claims cover all systems and methods falling within the true spirit and scope of the disclosure.", "As used herein, the indefinite articles “a” and “an” mean “one or more.” Similarly, the use of a plural term does not necessarily denote a plurality unless it is unambiguous in the given context.", "Words such as “and” or “or” mean “and/or” unless specifically directed otherwise.", "Further, since numerous modifications and variations will readily occur from studying the present disclosure, it is not desired to limit the disclosure to the exact construction and operation illustrated and described, and accordingly, all suitable modifications and equivalents may be resorted to, falling within the scope of the disclosure.", "Other embodiments will be apparent from consideration of the specification and practice of the embodiments disclosed herein.", "It is intended that the specification and examples be considered as example only, with a true scope and spirit of the disclosed embodiments being indicated by the following claims." ] ]
Patent_15875779
[ [ "TRANSFORMABLE SPEECH PROCESSOR MODULE FOR A HEARING PROSTHESIS", "A method for operating an external component of a cochlear implant hearing system.", "The external component includes a speech processor module operable in a stand-alone mode of operation and a body-worn mode of operation, and a protective case.", "The method includes operating the speech processor module in the stand-alone mode, determining when the speech processor module is mounted in the case, and operating the speech processor module in the body-worn mode in response to determining that the speech processor module is mounted in the case." ], [ "1-14.", "(canceled) 15.An external component of a cochlear implant system, comprising: a speech processor module including processing circuitry configured to receive signals output by a microphone and including a plurality of connectors establishing a power supply interface and at least one of a clinic interface or an accessory interface; and a base member, wherein the speech processor module is operable in a first configuration whereby a cover member is mechanically mated with said base member to establish an enclosure around said speech processor module, thereby substantially preventing any potential fluid ingress that could adversely impact the speech processor module, and wherein said speech processor module is operable in at least a second configuration whereby the cover member is not mechanically mated to the base member.", "16.The external component of claim 15, wherein: the at least one of the clinic interface or the accessory interface comprises electrical contacts, and the cover creates a battier between the electrical contacts and fluid in an ambient environment when the speech processor module is operated in the first configuration.", "17.The external component of claim 15, wherein: the speech processor module includes the accessory interface, and wherein an accessory device is configured to be placed into signal communication with the speech processor via the accessory interface.", "18.The external component of claim 15, wherein: the speech processor module includes the accessory interface, the accessory interface is part of an accessory connector, and wherein an accessory device is configured to connect to the accessory connector when the speech processor module is operated in the second configuration.", "19.The external component of claim 18, further comprising: the accessory device, wherein the speech processor module is in the second configuration and the accessory device is connected to the accessory connector.", "20.The external component of claim 18, wherein: the speech processor module includes the accessory interface, the accessory interface is part of an accessory connector; and the external component is configured to prevent connection of the accessory device to the accessory connector when the cover is mechanically connected to the base.", "21.The external component of claim 15, wherein: the speech processor module includes the accessory interface, the accessory interface is part of an accessory connector; and the external component is configured to enable connection of the accessory device to the accessory connector only when the cover is mechanically disconnected from the base.", "22.The external component of claim 15, wherein: the speech processor module includes the clinic interface; and the external component is configured to prevent input into the clinic interface when the cover is mechanically connected to the base.", "23.The external component of claim 15, wherein: the speech processor module includes the clinic interface; and the external component is configured to enable data input into the clinic interface only when the cover is mechanically disconnected from the base.", "24.A method of utilizing an external component of a cochlear implant hearing system, the external component comprising a speech processor module operable in a stand-alone mode of operation and an external device controlled mode of operation; the method comprising: operating the speech processor module in the stand-alone mode; removably mounting the speech processor module to an apparatus configured to have said speech processor module removably mounted thereto and including external device user controls; determining whether or not to utilize an inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module; and operating the speech processor in the external device controlled mode.", "25.The method of claim 24, wherein: the action of determining whether or not to utilize an inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module includes determining to utilize the inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module; and the action of operating the speech processor in the external device controlled mode includes operating the speech processor in the external mode after the determination to use the inputted external volume control setting.", "26.The method of claim 24, wherein: the action of determining whether or not to utilize an inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module includes determining not to utilize the inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module; and separate from the action of operating the speech processor in the external device controlled mode, preventing operation of the speech processor in the external device controlled mode after the determination not to use the inputted external volume control setting.", "27.The method of claim 26, further comprising: separate from determining not to utilize an inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module, determining to utilize a second inputted external volume control setting inputted by a user to the external device user controls of the external device to control the speech processor module; and separate from the action of operating the speech processor in the external device controlled mode, operating the speech processor in the external device controlled mode after the determination to use the second inputted external volume control setting based on the second inputted external volume control setting.", "28.The method of claim 24, wherein when the speech processor is operated in the stand alone mode, it is protected from water at elevated atmospheric pressures.", "29.The method of claim 24, the method further comprising: placing a transcutaneous transfer coil assembly into signal communication with the speech processor, wherein the transcutaneous transfer coil assembly includes a transcutaneous transfer coil and a microphone, wherein the transcutaneous transfer coil assembly is configured to protect the microphone and transfer coil from water at elevated atmospheric pressures.", "30.A method, comprising: using a first external component assembly of a hearing prosthesis to evoke a first hearing percept by transcutaneously communicating electrical signals from the first external component assembly to an implanted component of the hearing prosthesis such that the implanted component stimulates tissue to evoke the first hearing percept; and after evoking the first hearing percept, replacing the first external component assembly with a second external component assembly to evoke a second hearing percept by transcutaneously communicating electrical signals from the second external component assembly to the implanted component of the hearing prosthesis such that the implanted component stimulates tissue to evoke the second hearing percept, wherein the first external component assembly is one that is readily damaged by water and the second external component assembly is one that is protected from water.", "31.The method, of claim 30, wherein: the first external component assembly uses a first transcutaneous transfer coil assembly including a first transcutaneous transfer coil and a first cable to transcutaneously communicate with the implanted component; and the second external component uses a second transcutaneous transfer coil assembly including a second transcutaneous transfer coil and a second cable to transcutaneously communicate with the implanted component.", "32.The method, of claim 30, wherein: the second transcutaneous transfer coil assembly also includes a microphone.", "33.The method, of claim 30, further comprising: after evoking the first and second hearing percepts, using the first external component assembly to evoke a third hearing percept by transcutaneously communicating electrical signals from the first external component assembly to the implanted component of the hearing prosthesis such that the implanted component stimulates tissue to evoke the third hearing percept.", "34.The method, of claim 30, wherein: the action of evoking the first hearing percept is executed while the first external component is adjustable via the recipient via a control input device of the first external component; and the action of evoking the second hearing percept is executed while the second external component is unadjustable via the recipient.", "35.The method, of claim 30, further comprising: prior to evoking the second hearing percept, adjusting a functional operating parameter of the second external component; and after adjusting the functional operating parameter and before evoking the second hearing precept, placing the second external component into a water ingress protection state that results in the functional operating parameter being non-adjustable by a recipient of the hearing prosthesis, and then evoking the second hearing percept.", "36.The method, of claim 30, wherein: the first external component is in the form of a component that can be worn as a behind-the-ear (BTE) device when evoking the first hearing percept; and the second external component is in the form of a body-worn component different from a BTE device when evoking the second hearing percept.", "37.The method, of claim 30, wherein: the first external component is in the form of a component that can be worn as a behind-the-ear (BTE) device when evoking the first hearing percept; and the second external component is in the form of a body-worn component that cannot be worn as a BTE device.", "38.The method, of claim 30, further comprising: prior to evoking the second hearing percept, adjusting a functional operating parameter of a sound processor of the second external component; and after adjusting the functional operating parameter and before evoking the second hearing precept, placing a cover over the sound processor of the second external component such that electrical contacts of the sound processor that would otherwise be exposed to the ambient environment are covered.", "39.A method, comprising: setting an operating parameter of a speech processor of an external component of a hearing prosthesis, wherein the external component is in a first state; and covering at least a portion of the speech processor with a cover, wherein the external component of the hearing prosthesis is in a second state with the cover on the speech processor, and wherein the operating parameter cannot be changed when the external component is in the second state.", "40.The method of claim 39, wherein: the first state is a state in which the external component can be damaged by water, and the second state is a state in which the external component is protected from damage by water.", "41.The method of claim 39, wherein: the external component has no control input apparatuses that are accessible by the recipient of the hearing prosthesis when the cover is on the speech processor.", "42.The method of claim 39, further comprising: uncovering the at least a portion of the speech processor such that the operating parameter can be changed.", "43.The method of claim 39, wherein: the operating parameter is a volume.", "44.The method of claim 39, wherein: user controls that enable the operating parameter to be set are not provided to the recipient of the hearing prosthesis when in the second state.", "45.The method of claim 39, wherein: the operating parameter is set using user controls available to the recipient of the hearing prosthesis when in the first state; and the user controls that were used to set the operating parameter not provided to the recipient of the hearing prosthesis when in the second state.", "46.The method of claim 39, further comprising: placing a transcutaneous transfer coil and a microphone into signal communication with the speech processor, wherein the transcutaneous transfer coil assembly includes a housing that provides protection to the microphone from water.", "47.The external component of claim 15, wherein: a device is configured to connect to the speech processor module when the module is operated in the second configuration; and the external component further comprises the device, wherein the speech processor module is in the second configuration and the device is connected to the module." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>In one aspect of the invention, a method for operating an external component of a cochlear implant hearing system is disclosed.", "The external component comprises a speech processor module is operable in a stand-alone mode of operation and a body-worn mode of operation, and a protective case.", "The method comprises operating the speech processor module in the stand-alone mode, determining when the speech processor module is mounted in the case, and operating the speech processor module in the body-worn mode in response to determining that the speech processor module is mounted in the case.", "In another aspect of the invention, a method for operating a cochlear implant hearing system is disclosed.", "The method comprises operating, in a stand-alone mode of operation, a speech processor module having processing circuitry disposed in a housing, determining, via an operational mode controller, when the speech processor module is mounted in a protective case, and operating the speech processor module in a body-worn mode of operation in response to determining that the speech processor module is mounted in the case." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation application of U.S. application Ser.", "No.", "13/729,485 filed Dec. 28, 2012, which is a continuation application of U.S. application Ser.", "No.", "12/703,160 filed Mar.", "18, 2012, now U.S. Pat.", "No.", "8,352,037, which is a divisional of U.S. application Ser.", "No.", "10/586,524, filed Oct. 23, 2006, which is a National Stage application of International Application No.", "PCT/US04/43288, filed Dec. 27, 2004, and which claims the benefit of Australian Provisional Patent Application No.", "2003907138, filed Dec. 24, 2003.The content of these applications is hereby incorporated by reference herein.", "BACKGROUND Field of the Invention The present invention relates to a hearing prosthesis and, more particularly, a transformable speech processor module for a hearing prosthesis.", "Related Art The use of medical devices to provide therapy to individuals for various medical conditions has become more widespread as the advantages and benefits of such devices become more widely appreciated and accepted throughout the population.", "In particular, devices such as implantable pacemakers, defibrillators, functional electrical stimulation devices such as hearing prostheses, organ assist or replacement devices, and other medical devices, have been successful in performing life saving and/or lifestyle enhancement functions for a number of individuals.", "Many such medical devices often include one or more sensors, processors, controllers or other functional electrical components that are permanently or temporarily implanted in a patient.", "Many such implantable devices require the transcutaneous transfer of power and/or information with external components that are part of, or operate in conjunction with, the implanted components of the medical device.", "Such external components are typically removably attached to the body of the patient.", "One such type of medical device is a cochlear implant system, also commonly referred to as a cochlear prosthesis.", "Cochlear implant systems provide the benefit of hear to individuals suffering from severe to profound hearing loss.", "Hearing loss in such individuals is due to the absence or destruction of the hair cells in the cochlea which transduce acoustic signals into nerve impulses.", "Cochlear implants essentially simulate the cochlear hair cells by directly delivering electrical stimulation to the auditory nerve fibers.", "This causes the brain to perceive a hearing sensation resembling the natural hearing sensation normally delivered to the auditory nerve.", "Conventional cochlear implant systems primarily include an external assembly directly or indirectly attached to the body of the patient (referred to herein as the recipient), and an internal assembly which is implanted in the patient.", "The external assembly typically comprises one or more microphones for detecting sound, a speech processing unit that converts detected sound, particularly speech, into an electrical coded signal, a power source, and an external transcutaneous transfer coil.", "The internal assembly typically comprises an internal transcutaneous transfer coil, a stimulator unit located within a recess of the temporal bone of the recipient, and an electrode array positioned in the recipient's cochlear.", "Collectively, the external coil and the internal coil form an inductively-coupled transcutaneous transfer system.", "The transfer of energy via this system is controlled to effect the transmission of the electrical coded signals, referred to herein as stimulation signals, and power signals from the external speech processing unit, to the implanted stimulator unit.", "Similarly, the transcutaneous transfer system may be used to effect the transmission of telemetry data from the implanted stimulator unit to the exterior speech processing unit.", "Conventionally, the communications link has been in the form of a radio frequency (RF) link, although other such links have been proposed and implemented.", "Once a stimulation signal has been transmitted to the implanted transcutaneous transfer coil, it is provided to the implanted stimulator unit which processes the signal and outputs one or more signals to the intra-cochlear electrode assembly which applies the electrical stimulation directly to the auditory nerve of the recipient.", "The speech processor unit has traditionally been worn on the body, such as by being attached to clothing, or by being supported on the ear of the recipient.", "This latter configuration is commonly referred to as a BTE (behind the ear) configuration.", "The speech processor unit is relatively expensive and susceptible to damage, especially in the hands of infants or small children, or when used in an unsuitable environment.", "SUMMARY In one aspect of the invention, a method for operating an external component of a cochlear implant hearing system is disclosed.", "The external component comprises a speech processor module is operable in a stand-alone mode of operation and a body-worn mode of operation, and a protective case.", "The method comprises operating the speech processor module in the stand-alone mode, determining when the speech processor module is mounted in the case, and operating the speech processor module in the body-worn mode in response to determining that the speech processor module is mounted in the case.", "In another aspect of the invention, a method for operating a cochlear implant hearing system is disclosed.", "The method comprises operating, in a stand-alone mode of operation, a speech processor module having processing circuitry disposed in a housing, determining, via an operational mode controller, when the speech processor module is mounted in a protective case, and operating the speech processor module in a body-worn mode of operation in response to determining that the speech processor module is mounted in the case.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1A is a perspective view of one embodiment of a hearing prosthesis in which embodiments of the present invention may be advantageously implemented; FIG.", "1B is a perspective view of one embodiment of a dual mode speech processing unit in accordance with one embodiment of the present invention; FIG.", "2 is a perspective view of an external component system of a hearing prosthesis according to one embodiment of the present invention suitable for use with the speech processing unit illustrated in FIG.", "1B; FIG.", "3 is an exploded perspective view of the embodiment of illustrated in FIG.", "2; FIG.", "4 is an interface diagram of one embodiment of the speech processor module illustrated in FIG.", "1B; FIG.", "5 is a functional block of one embodiment of an aspect of the present invention; FIG.", "6A is a schematic diagram of the relevant signal and electrical interfaces when the speech processor module shown in FIG.", "1B is implemented in a stand-alone operational mode; and FIG.", "6B is a schematic of the relevant signal and electrical interfaces when the speech processor module shown in FIG.", "1B is implemented in a body-worn operational mode.", "DETAILED DESCRIPTION Embodiments of the present invention are directed to a speech processor module which may be implemented in more than one mode of operation of a hearing prosthesis.", "Specifically, certain embodiments of the present invention are directed to a speech processor module that can be implemented as a component of in a behind-the-ear (BTE) speech processing unit, and as a component of a body-worn speech processing unit.", "The present invention is also directed to an external component system for a hearing prosthesis that enables the dual-mode speech processor module to be worn on the body of a recipient rather than behind the recipient's ear.", "Advantageously, certain embodiments of certain aspects of the present invention can be implemented such that the dual-mode speech processor module is protected from adverse environmental conditions, including certain recipients.", "For example, in some embodiments described herein, the external component system includes a protective case which protects the speech processor module from infants, small children, mentally handicapped, etc., and/or allows the use of the speech processor module in environments traditionally considered to be unsuitable for prosthetic hearing devices.", "As a result, embodiments of the present invention enable hearing prostheses to be worn by a wide range of recipients in a wide range of environments.", "Embodiments of the present invention are described below in connection with one embodiment of an exemplary hearing prosthesis, a cochlear prosthesis (also referred to as a cochlear implant system, cochlear prosthetic device and the like; “cochlear implant system” herein).", "Cochlear implant systems use direct electrical stimulation of auditory nerve cells to bypass absent or defective hair cells that normally transducer acoustic vibrations into neural activity.", "Such devices generally use multi-contact electrodes inserted into the scala tympani of the cochlea so that the electrodes may differentially activate auditory neurons that normally encode differential pitches of sound.", "Such devices are also used to treat a smaller number of patients with bilateral degeneration of the auditory nerve.", "For such patients, a cochlear implant system provides stimulation of the cochlear nucleus in the brainstem.", "FIG.", "1A is a schematic diagram of an exemplary cochlear implant system 100 in which embodiments of the present invention may advantageously be implemented.", "Cochlear implant system 100 comprises external component assembly 142 which is directly or indirectly attached to the recipient, and an internal component assembly 144 which is temporarily or permanently implanted in the recipient.", "External component assembly 142 typically comprises a microphone 120 for detecting sound, a speech processing unit 116, a power source (not shown), and an external transmitter unit 106.External transmitter unit 106 comprises an external coil 108, and preferably, an alignment magnet 110 secured directly or indirectly to external coil 108.Speech processing unit 116 processes the output of microphone 120 that are positioned, in the depicted example, by the ear 122 of the recipient.", "Speech processing unit 116 generates coded signals, referred to herein as a stimulation data signals, which are provided to external transmitter unit 106 via cable 118.Internal components 144 comprise an internal receiver unit 112, a stimulator unit 126, and an electrode array 134.Internal receiver unit 112 comprises an internal transcutaneous transfer coil 124, and preferably, an alignment magnet 140 fixed relative to internal coil 124.Internal receiver unit 112 and stimulator unit 126 are hermetically sealed within a housing 128.Internal coil 124 receives power and data from external coil 108.A cable 130 extends from stimulator unit 126 to cochlea 132 and terminates in electrode array 134.Signals generated by stimulator unit 126 are applied by array 134 to the basilar membrane 136, thereby stimulating the auditory nerve 138.Collectively, external coil 108 and internal coil 124 form an inductively-coupled coil system of a transcutaneous transfer apparatus 102.In one embodiment, external coil 108 transmits and receives electrical signals to/from internal coil 124 via a radio frequency (RF) link 114.In use, implantable receiver unit 112 may be positioned in a recess of the temporal bone adjacent ear 122 of the recipient.", "As noted, embodiments of the present invention are directed to a speech processor module which may be implemented in more than one mode of operation in a hearing prosthesis such as cochlear implant system 100.FIG.", "1B is a perspective view of one embodiment of a dual-mode speech processor module of the present invention.", "In this embodiment, the dual-mode speech processor module of the present invention is configured to be used in a behind-the-ear (BTE) speech processing unit 178, similar to speech processing unit 116 illustrated in FIG.", "1A.", "Behind the ear (BTE) speech processing unit 178 comprises a speech processor module 180 that contains signal processing circuitry (not shown).", "A detachable ear hook 182 is provided at one end of speech processor module 180 so as to allow the speech processor to be supported behind the outer ear of the recipient of the cochlear implant system.", "A detachable power supply 184 comprising a rechargeable battery or other power source (not shown) is attached to speech processor module 180 to provide power to the module.", "Speech processor module 180 utilizes a built-in microphone 186 that generates signals to the signal processing circuitry housed within the speech processor module.", "Built-in microphone 186 is also referred to as internal microphone 186 herein.", "Speech processor module 180 includes a headpiece connector 188 and an accessories connector 190 to connect to other components of the implementing cochlear implant system or other hearing prosthesis.", "In operation, cables with an appropriately configured connector extends from speech processing module 180 to a headpiece and, possible, an accessory device, as described in detail below.", "Speech processing unit 178 is configured to operate in a stand-alone manner; that is, as a behind-the-ear (BTE) speech processing unit.", "However, speech processing unit 178 is susceptible to damage from rough handling, such as might be experienced when used by infants or small children.", "It also may not be suitable for use in adverse environments, such as environments having airborne particulates or significant moisture.", "To operate under such conditions, speech processor module 180 can operate in a body-worn mode of operation in an external component system.", "One embodiment of an external component system 200 of a hearing prosthesis according to the present invention is depicted in FIGS.", "2 and 3.External component system 200 operationally replaces external component assembly 142 of cochlear implant system 100 illustrated in FIG.", "1A to convert the cochlear implant system from a prosthesis which may be readily damaged by the recipient and/or environment, to one which is more robust or rugged and, therefore, suitable for use by infants, children, mentally handicapped and other recipients, and/or worn by any recipient in one or more adverse environmental conditions.", "In certain embodiments, external component system 200 provides protection for speech processor module 180.In the embodiment shown in FIG.", "2, for example, a protective case 202 is included in external component system 200 to house speech processor module 180 and an on-board power supply 216.In certain embodiments, such protection includes protecting these components from ingress of fluid such as water.", "In one embodiment, for example, protective case 202 is fluid resistant.", "In another embodiment, protective case 202 prevents substantially all fluid ingress, even at elevated atmospheric pressure.", "Similarly, in certain embodiments, protective case 202 is at least resistant to the ingress of dust or other particulates such as airborne fumes.", "In other embodiments, protective case 202 is capable of providing protection against shocks and vibration, electromagnetic interference (EMI) and other environmental conditions which may adversely affect the operational performance, integrity, and/or lifespan of speech processor module 180.External component system 200 also comprises a one-piece headpiece 208 communicably coupled to speech processor module 180 via a cable 210.As will be described in greater detail below, headpiece 208 comprises the above-noted external transmitter unit 106 including external coil 108, and alignment magnet 110 mounted in a protective housing 220 that provides the same or similar protection of its components as protective case 202.Protective case 202 comprises a base member 204 and a cover member 206 which mechanically mate with each other to form a protective enclosure in which speech processor module 180 is secured.", "Protective case 202 also comprises a tray 324 on which speech processor module 180 is removably mounted.", "In addition, tray 324 is configured to have on-board power supply 216 mounted adjacent to speech processor module 180 to provide power to the speech processor module as described herein.", "These and other components of protective case 202 are described in further detail below.", "Referring again to FIG.", "1B, speech processor module 180 is configured to be connected to a detachable power supply 184 when implemented in a stand-alone mode; here, as part of a behind the ear speech processing unit 178.When the recipient or their carer wishes speech processor module 180 to be protected by case 202, ear hook 182 and power supply 184 are detached from speech processor module 180, and the speech processor module is mounted on tray 324 of protective case 202.On-board power supply 216 is also mounted on tray 324.Cover member 206 is then secured to base member 204 to provide a sealed enclosure in which speech processor module 180 and on-board power supply 216 are protected.", "The resulting external component system 200 is then used in place of the external component assembly 142 illustrated in FIG.", "1A.", "Protective case 202 is secured to the recipient using, for example, strap(s) threaded through brackets 218.As noted, in one embodiment, an on-board power supply 216 is included in protective case 202 to provide power to speech processor module 180 when the speech processor module is installed in protective case 202.Power supply 216 may be any suitable power supply now or later developed including but not limited to rechargeable batteries.", "In one embodiment, on-board power supply 216 implements batteries which are conventional, commercially-available batteries, making them significantly less expensive than detachable power supply 184.Appropriate electrical connections are provided to transfer power from on-board power supply 216 to speech processor module 180.Referring to FIG.", "3, speech processor module 180 has one or more connector pins 322 for connecting speech processor module 180 to detachable power supply 184 when implementing the stand-alone operational mode.", "It should be appreciated that detachable power supply 184 has a connector (not shown) constructed and arranged to mate with the appropriate pin(s) 322 of speech processor module 180 to transfer power.", "As shown in FIGS.", "2 and 3, when speech processor module 180 is installed in protective case 202, on-board power supply 216 may not directly contact speech processor module 180.As a result, a connector similar to that implemented in detachable power supply 184 is not used in such embodiments to directly connect on-board power supply 216 to speech processor module 180.Rather, in the illustrative embodiment, a bridge connection is provided in protective case 202 to connect the appropriate pin(s) 322 of speech processor module 180 to terminals 328 of on-board power supply 216.In one embodiment, tray 324 provides the means to electrically connect on-board power supply 216 and speech processor module 180.Tray 324 also comprises apparatus to removably secure an installed power supply 216 and speech processor module 180 to prevent damage to themselves or the other components housed in protective case 202.In the embodiment shown in FIGS.", "2 and 3, on-board power supply 216 is retained between a pair of vertical posts 326.Tray 324 also includes appropriately arranged contacts to electrically mate with terminals 328 on power supply 216 when the power supply is mounted on tray 324.In the embodiment shown, power supply 216 has terminals 328 disposed along a corner of the power supply.", "Accordingly, tray 324 comprises contacts disposed at the junction of vertical columns 326 and the top surface of tray 324.It should be apparent to those of ordinary skill in the art that the contacts provided in tray 324 to electrically mate with terminals 328 of on-board power supply 216 may be located at any appropriate location and be configured in any way which will achieve a desired electrical connection.", "As one of ordinary skill in the art would appreciate, the manner in which on-board power supply 216 is removably mounted on tray 324 can vary depending on a variety of factors including but not limited to the anticipated environment to which external component 200 and, in particular, protective case 202, will be exposed, the weight and mass of power supply 216, the weight distribution of the power supply, etc.", "As noted, speech processor module 180 is removably mounted on tray 324.In the embodiment shown in FIG.", "3 speech processor module 180 is shown detached from power supply 184 and ear hook 182, exposing pins 322 and raised guide surface 330.Raised guide surface 330 is received by a complementary channel in detachable power supply 184 to cause the power supply to properly mate with pins 322 when the power supply is attached to speech processor module 180.Tray 324 comprises a connector block 332 having in one embodiment, a mechanical and electrical interface similar to that of detachable power supply 184.That is, connector block 332 comprises a connector (not shown constructed and arranged to mate with pins 322 when speech processor 180 is mounted on tray 324.In addition, connector block 332 has an integrated channel 320 configured to slidingly receive raised guide surface 330 of speech processor module 180.To mount speech processor module 180 on tray 324, the speech processor module is positioned such that raised guide surface 330 is aligned with an open end of channel 320.As speech processor module 180 is slid into position, channel 320 and raised guide surface 330 cooperate to facilitate the mating of speech processor module 180 and the connector of connector block 332.In addition, raised guide surface 330 and channel 320 cooperate to securely retain speech processor module 180 to tray 324 when the speech processor module is mounted in protective case 202.It should be appreciated, however, that additional or alternative means may be employed to removably secure speech processor module 180 to tray 324.Embodiments of tray 324 may also include other components to facilitate the removable mounting of speech processor 180.For example, in the embodiment illustrated in FIG.", "3, a brace 318 which is curved to abut or be adjacent with a curved surface of speech processor module 180 is provided.", "In alternative embodiments, speech processor 180 is securely retained in protective case 324 using other techniques now or later developed.", "When speech processor module 180 and on-board power supply 216 are mounted on tray 324, they are electrically connected to each other.", "In the embodiment shown in FIGS.", "2 and 3, for example, such electrical connection is provided by tray 324 so that additional components need not be included in protective case 202, and additional steps beyond mounting speech processor 180 and power supply 216 are not necessary to attain an electrical connection.", "Specifically, wiring connects the power supply contacts (not shown) on tray 324 and the connector (not shown) on connector block 332 which mates with speech processor module 180.Such wiring may be integrated, for example, into platform 336 of tray 324, or may extend below platform 336.In the latter embodiment, tray 324 preferably does not rest in the bottom surface of base member 204; rather, it rests on support members to provide a space between platform 336 and base member 204 when tray 324 is secured to the base member.", "As one of ordinary skill in the art would appreciate, the type of electrical connection provided can vary depending on the particular application.", "For example, the pins and sockets used to establish the battery connection can be replaced with any other type of electrical connectors.", "Also, one pin 322 can provide both the positive and ground connections for transferring power to speech processor module 180.As noted, base member 204 and cover member 206 are attached to each other to provide a protective enclosure for speech processor module 180 and on-board power supply 216.Cover member 206 and base member 204 are configured also to provide the recipient or carer access the enclosure to install or remove speech processor module 180 and power supply 216.In the embodiment shown in FIGS.", "2 and 3, for example, cover member 206 is removable; that is, cover member 206 and base member 204 separate from each other to expose the interior of base member 204.It should be appreciated, however, that a portion or all of cover member 206 may be configured, for example, to rotate away from base member 204 to create an aperture through which speech processor module 180 and on-board power supply 216 can be passed.", "Such rotation can be provided, for example, with mechanical hinges.", "There are, of course, a myriad of other arrangements that may be implemented to provide the noted access.", "For example, one or more rotating, sliding, removable, or other types of doors, panels, sides, etc., may be implemented in either or both, cover member 206 and base member 204.Cover member 206 and base member 204 may be formed of any material or combination of materials suitable for the intended recipient and environment.", "For example, cover and base members 206, 204 may be formed of metallic material, ceramic material, polymeric material, composites or any combination thereof.", "In addition, cover and base members 206, 204 may be opaque, translucent, transparent, etc., to provide a desired view of a desired portion of the interior of protective case 202 from the recipient, carer or third party.", "In the exemplary embodiment shown in FIG.", "3, protective case 202 contains a gasket 302 to seal protective case 202 when cover member 206 is joined with base member 204.As noted, embodiments of protective case 202 protect components mounted therein from a variety of environmental elements such as fluids, dust, etc.", "Gasket 302 is appropriately configured and formed of the appropriate material to provide the desired degree of protection from at least the environmental elements of concern.", "In the embodiment shown in FIGS.", "2 and 3, gasket 302 is shaped to be disposed between the mating surfaces of cover member 206 and base member 204 when the cover and base are brought together to form protective case 202.Accordingly, gasket 302 takes the shape of the perimeter of protective case 202 at the location that the cover and base members meet.", "In one particular embodiment, gasket 302 provides additional protection.", "As shown in FIGS.", "2 and 3, gasket 302 includes a contiguous sheath 334 of fluid-impermeable, resiliently flexible material extending across the interior of the gasket.", "When installed in protective case 202, this embodiment of gasket 302 seals with the perimeter wall of base member 204.This causes sheath 334 to cover speech processor module 180 and power supply 216 mounted in base member 204.Thus, in addition to preventing ingress of at least selected environmental elements, gasket 302 and sheath 334 also protect components from those environmental elements which manage to penetrate protective casing 202.Sheath 334 can be manufactured from polymeric, elastomeric or other suitable materials.", "In one embodiment, sheath 334 is transparent.", "Protective case 202 comprises a fixation device to removably secure cover member 206 to base member 204.In the embodiment illustrated in FIGS.", "2 and 3, such a fixation device comprises a combination of an appropriately located screw and threaded hole.", "Specifically, sheath 334 has an orifice 304 formed therein that is adapted to allow passage of a threaded shaft of fixation screw 214 through sheath 334.Similarly, cover member 206 has an orifice 308 adapted to allow passage of the threaded shaft of screw 214.When cover member 206 and sheath 334 are assembled with based member 204, orifice 308 and orifice 304 are aligned with each other.", "Screw 214 may then pass through orifice 308 in cover member 206, and orifice 304 in sheath 334 to threadingly engage a threaded hole 310 of a post 312 on tray 324.It should be appreciated by those of ordinary skill in the art that the implemented fixation device should not degrade the integrity of the protective features implemented in protective case 202.For example, in the above embodiment in which a fixation screw 214 is implemented, at the location of screw 214, an elastomeric grommet 314 is provided to help prevent ingress of fluid into the protective case at the site of screw 214.Additionally washers, o-rings and the like may also be used as appropriate.", "External component system 200 also comprises a one-piece headpiece 208 communicably coupled to speech processor module 180 via a cable 210.As noted, headpiece 208 comprises the above-noted external transmitter unit 106 including external coil 108, and magnet 110 mounted in a housing 220 that provides the same or similar protection of its components as protective case 202.In accordance with one embodiment of the present invention, headpiece 208 also comprises a second microphone 212 mounted thereon which is operable when speech processor module 180 is installed in protective case 202.When speech processor module 180 is installed in protective case 202, microphone 186 cannot be used since it will be enclosed with protective case 202.As such, external component system 200 provides an alternate or replacement microphone 212, referred to as external microphone 212.Protective case 202 has an additional orifice (not shown) provided in base member 204 to permit cable 210 to be inserted into the case.", "Cable 210 extends from headpiece 208 into case 202 to mate with connector 188 of speech processor module 180.The orifice may be sealed, for example, by a grommet 316 provided around the distal end of cable 210.For example, in one embodiment, grommet 316 and orifice are constructed and arranged to prevent water from entering protective case 202.As noted, protective case 202 provides protection for speech processor module 180 and on-board power supply 216.In the embodiments described above, such protection includes protecting the components from ingress of fluid, dust or other particulates such as airborne fumes.", "In other embodiments, protective case 202 is capable of providing protection against electromagnetic interference (EMI).", "In such embodiments, the interior surfaces of cover member 206 and base member 204 are coated with a conformal EMI coating such as that used in cellular phones, computer systems and other electronic devices that emit or are sensitive to electromagnetic radiation.", "In addition, in such embodiments gasket 302 is an EMI gasket suitable for preventing EMI from escaping through the joined surfaces of cover member 206 and base member 204.In other embodiments, protective case 202 protects the components mounted therein from vibration and/or shock.", "In such embodiments, tray 324 may be suspended on a shock- and vibration-absorbing material or elements such as resilient posts or extensions disposed in or integrated with base member 204.In addition, the dimensions of tray 324 may be somewhat less than the interior dimensions of protective case 202 to reduce the likelihood of shocks to tray 324 and the components mounted thereon.", "FIG.", "4 is a schematic interface diagram of one embodiment of speech processor module 180 illustrated in FIGS.", "2 and 3.In the embodiment shown in FIG.", "4, speech processor module 180 comprises four (4) interfaces, a headpiece interface 402, an accessories interface 404, a power supply interface 406, and a clinical/diagnostic interface 408.Each of the interfaces 402-408 is supported by one or more connectors as described above with references to FIGS.", "2 and 3.It should be appreciated by those of ordinary skill in the art, however, that interfaces 402-408 may be implemented in one or more connectors configured differently than that described herein.", "Headpiece interface 402 comprises a microphone signal line 410, an RF/telemetry signal line 411, a voltage signal line 412 and a ground signal line 414.In the embodiment shown in FIGS.", "2 and 3, these signal lines are included in cable 210 connecting headpiece 208 and speech processor module 180 via connector 188.Accessories interface 404 comprises an input audio signal line 418, an auxiliary voltage input signal line 420, an output audio signal line 422 and a ground signal line 424.These signal lines are transmitted between an accessory device (not shown) and accessories connector 190 of speech processor module 180.Power supply interface 406 comprises a power supply identifier (ID) signal e 426, a voltage signal line 428, and a ground signal line 430.These signal lines are transmitted between one or more pins 322 of speech processor module 180 and either power supply 184 or power supply 216.Clinical/diagnostic interface 408 comprises an alarm signal line 432, data in and out signal lines 434, 436, and a ground signal line 438.These signal lines are transmitted between one or more pins 322 of speech processor module 180 and a diagnostic device or display (not shown).", "It should be appreciated that in the embodiment of external component system 200 illustrated in FIGS.", "2 and 3, the apertures in protective case 202 which provide access by cables that connect to accessory connector 190 (providing accessory interface 404), and pin(s) 322 (providing clinical interface 408) are not shown.", "Such apertures, however, are the same or similar to those described above.", "In an alternative embodiment, such interfaces are available only when speech processor module 180 implements the stand-alone mode of operation.", "FIG.", "5 is a functional block diagram of these components of the present invention which enable speech processor module 180 to transition between stand-alone and a body-worn operational modes.", "In FIG.", "5 an operational mode controller 500 is implemented in speech processor module 180 to determine which operational mode speech processor module 180 is to implement, and to select the appropriate internal inputs and outputs (that is, provided as part of speech processor module 180) and external inputs and outputs (that is, provided as part of external component system 200) to enable speech processor module 180 to function in the desired operational mode.", "FIGS.", "6A and 6B are schematic diagrams showing a portion of the interfaces utilized by one embodiment of speech processor module 180 when implemented in both modes of operation.", "In FIG.", "6A, speech processor module 180 has ear hook 182 and power supply 184 attached to facilitate, in this embodiment, use as a stand-alone behind-the-ear (BTE) speech processing unit 178.Detachable power supply 184 is shown schematically, and contains a rechargeable battery 606 and an identifier resistor 604.Detachable power supply 184 is connected to speech processor module 180 via power supply interface 406.External coil 208, also shown schematically, is connected to speech processor module 180 via headpiece interface 402.It should be appreciated, however, that when in the BTE operating mode, speech processor module 180 does not utilize external microphone 212, and external transmitter unit 106 (FIG.", "1A) may be used with speech processor module 180 rather than exterior component system 200.In FIG.", "6B, speech processor module 180 is not attached to ear hook 182 and power supply 184.Rather, it is coupled to on-board power supply 216 and an alarm system 524.As will be described in detail below, speech processor module 180 may be connected to a variety of external components implemented in protective case 202 or other components of external component system 200 depending on the implemented functionality.", "The embodiment shown in FIG.", "6B is just one exemplary implementation.", "In addition, external coil 208, also shown schematically, is connected to speech processor module 180 via headpiece interface 402 in the arrangement shown in FIG.", "6B.", "Returning to FIG.", "5, in accordance with one embodiment of the present invention, operation mode controller 500 comprises an operational mode selector 502 that determines which operational mode speech processor module 180 is to implement.", "As noted, in the exemplary embodiment described herein, speech processor module 180 may be implemented in a stand-alone mode as illustrated in FIG.", "1B, or a body-worn mode of operation as illustrated in FIGS.", "2 and 3.Operational mode selector 502 makes the noted determination based on settings or conditions sensed or received by either an external operational mode sensor 504 implemented in protective case 202, or an internal operational mode sensor 506 implemented in speech processor module 180.In one embodiment, the operational mode of speech processor module 180 is determined based on the identification of the power supply that is connected to the speech processor module.", "In such an embodiment, each power supply which may be utilized in connection with speech processor module 180 includes some identifying feature.", "Information regarding the identifying feature is communicated to speech processor module 180 via power supply identification (ID) signal line 426.In such embodiments, an internal operational mode sensor 506 is implemented to detect the particular identifying feature.", "For example, in the embodiment illustrated in FIGS.", "6A and 6B, the identifying feature is the value of a resistor included in each power supply.", "Referring to FIG.", "6A, detachable power supply 184 comprises a resistor 604 and, in this example, a rechargeable battery 606.Resistor 604 identifies power supply 184 as a type of detachable power supply suitable for use when speech processor module 180 implements the stand-alone mode of operation.", "Referring to FIG.", "6B, on-board power supply 216 comprises a resistor 654 and, in this example, a rechargeable battery 656.Resistor 654 identifies power supply 216 as a type of on-board power supply suitable for use when speech processor module 180 implements the body-worn mode of operation.", "In such embodiments, internal operational mode sensor 506 measures the resistance between ID signal line 426 and ground signal line 430 to determine the resistance of resistor 604 or 654.Based on this information, the type of power supply (i.e.", "one suitable for use in stand-alone mode verse one suitable for use in body-worn mode), and the desired mode of operation is determined by operational mode selector 502.The operational mode 501 is then distributed to other functional components of controller 500 as described herein.", "It should also be appreciated that operational mode sensors 504, 506 may utilize other information to determine the operational mode of speech processor module 180.For example, an externally-accessible switch may be provided on speech processor unit 180 (as part of internal sensor 506) or protective case 202 (as part of external sensor 504) to enable the recipient or another to manually select the operational mode.", "When implemented as part of external sensor 504, such a switch is preferably configured to prevent infants and children from changing the operational mode, as well as to prevent accidental changing of the operational mode during the intended use of the body-worn external component system 200.When implemented as part of internal sensor 506, such a switch is inaccessible once speech processor module 180 is mounted in protective case 202 making such safeguards optional.", "In another embodiment, external operational mode sensor 504 includes an infrared or other sensor responsive to signals transmitted by a remote control device.", "Such an embodiment allows the recipient or their carer to transmit appropriate signals to select the desired operational mode of speech processor module 180.Based on the selected mode of operation 501 generated by operational mode selector 502, a microphone selector 510 of controller 500 selects which microphone to use.", "When in the stand-alone mode of operation, internal microphone 186 is utilized, as shown in FIG.", "6A.", "When implementing the body-worn mode of operation, microphone 212 on headpiece 208 is utilized, as shown in FIG.", "6B.", "Microphone selector 510 forwards, connects, routes or otherwise provides the selected microphone 511 to other components of speech processor module 180.As one of ordinary skill in the art would appreciate, external microphone 212 may be located elsewhere; however, it is preferable that the external microphone be integrated into headpiece 208 as described herein.", "Such an embodiment reduces the quantity of components while also protecting the external microphone.", "In the embodiment shown in FIG.", "5, speech processor module 180 comprises internal alarm(s) 526 to provide the recipient or another with visual and/or audible indications of predetermined conditions occurring in the speech processor module when the speech processor module implements the stand-alone mode of operations.", "Exterior alarm(s) 524 are provided in protective case 202 to provide the same or similar alarms to the recipient or another when speech processor module 180 implements the body-worn mode of operation.", "In accordance with one embodiment, operational mode controller 500 comprises an alarm selector 520 that selects whether alarm conditions are to be broadcast using internal alarms 526 or external alarms 524 based on the selected operational mode 501.Referring to FIG.", "6B, external alarm(s) 524 is are implemented in protective case 202 and comprises a buzzer 662 that is actuated when an alarm condition 523 is detected.", "External alarm(s) 524 incorporate a switch 658 that can be thrown by the recipient or another to generate a deactivate signal 521 to disable the alarm function.", "Such a switch is preferably configured to prevent the unintended changing of the state of the alarm.", "In one embodiment, external alarm 524 is implemented as part of connector block 332 on tray 324.In an alternative embodiment, external alarm 524 is implemented elsewhere on tray 324 or in protective case 202.It should be understood that the above embodiment of external alarm 524 is a buzzer.", "Such an audible alarm may be located at the exterior surface of protective case 202, or may be located internal to protective case 202 with an adjacent aperture in the protective case to permit the sound to travel out of the case and be heard h the recipient.", "In such alternative embodiments, such an aperture is constructed and arranged to provide a degree of protection analogous to that implemented in the other components and aspects of body-worn external components 200.It should also be appreciated that the type of alarm may include visual indicators in addition to or instead of the audible alarm noted above.", "Alternatively, an audible sound may be injected into the audio path to be heard by only the recipient.", "In one embodiment, the type of external alarm (indicator, enunciator, internal audio, etc.", "), may be selected by the recipient or another, depending on the recipient and intended use of external components 200.As one of ordinary skill in the art would find apparent, any condition may be selected to be one which qualifies as an alarm condition.", "For example, in one embodiment, alarm conditions include when the transcutaneous RF link fails such as by displacement of the external antenna.", "In another embodiment, alarm conditions include when protective case 202 is opened.", "It should also be appreciated that a hierarchical arrangement of alarm conditions may be established, each having a unique identifying alarm.", "Speech processor module 180 comprises an internal display 536 comprising, for example, a liquid crystal display (LCD) and/or one or more LEDs that display performance criteria 531 of the module.", "In one embodiment, performance criteria 531 comprises battery charge state, memory state, and/or other criteria.", "In one embodiment, such performance criteria is not provided to the recipient when speech processor module 180 is mounted in protective case 202.In an alternative embodiment, a portion of cover member 206 and/or base member 204 are transparent to provide a view into a protective case 202 such that internal display 536 can be seen from a position external to protective case 202.In a further embodiment, illustrated in FIG.", "5, protective case 202 provide an external display 534, for example, an LCD display located in cover member 206.A display selector 530 determines whether internal display 536 implemented in speech processor module 180 or external display 534 implemented in protective case 202 is to be used to provide the recipient or another with an indication of the noted performance criteria.", "Such a determination is based on selected operational mode 501 generated by operational mode selector 501.Such information may be provided to external display 534 via, for example, data out signal line 436.Speech processor module 180 also comprises internal user controls 546 through which a recipient or another can program or otherwise select functional operating parameters in speech processor module 180.For example, in one embodiment, speech processor module 180 comprises internal user controls 546 which enable, for example, program selection, volume control, etc.", "In one embodiment, such user controls are not provided to the recipient when speech processor module 180 is mounted in protective case 202.In a further embodiment, illustrated in FIG.", "5, protective case 202 provides external user controls 544 located, for example, in cover member 206 and/or base member 204.A user controls selector 540 determines whether internal user controls 546 of speech processor module 180 or external user controls 544 in protective case 202 are to be utilized to provide the recipient or another with the ability to control speech processor module 180.Such a determination is made based on the operational mode 501 provided by operational mode selector 502.When external user controls 544 is selected (i.e.", "speech processor module 180 implements the body-worn mode of operation), the transfer of information from the external interface is provided to selector 540 via, for example, data in signal line 434 (FIG.", "4).", "The user control inputs 541 generated by the selected user controls 544, 546 are forwarded, routed or otherwise provided to speech processor module 180 by selector 540.Speech processor module 180 includes internal on/off control 556, typically implemented as a manual switch in the housing of the speech processor module which can be manipulated by the recipient or another.", "When speech processor module 180 is mounted in protective case 202, internal on/off control 556 is no longer accessible to control the power state of speech processor module 180.In one embodiment, no additional controls are provided.", "In such an embodiment, protective case 202 must be opened to provide access to the above-noted on/off switch 556 on speech processor module 180.However, such an embodiment is inconvenient and time consuming to manage.", "In addition, repeated access to protective case 202 will accelerate the wearing of gasket 302 or other seal implemented in protective case 202 to provide the above-noted protection.", "In another embodiment, shown in FIG.", "5, an external on/off control 554 is included in protective case 202 which can be activated without having to access the internal enclosure of the protective case.", "In one embodiment, external on/off control 554 includes a reed switch or other magnetically-responsive component implemented in protective case 202.In the illustrated embodiment, the external on/off control 554 generates a signal which is forwarded, routed, passed, regenerated or otherwise provided to a power relay or other component speech processor module 180 to interrupt power to the module.", "In an alternate embodiment, the magnetically-responsive component is electrically interposed between on-board power supply 216 and speech processor module 180.In this embodiment, speech processor module 180 is disconnected from the power supply when the magnetic field of a magnet such as alignment magnet 110 within headpiece 208 is brought to a location adjacent to the magnetically-responsive switch.", "Other embodiments are also configured to cease operation when transcutaneous RF link has been broken.", "For example, in one embodiment, internal on/off control 556 causes speech processor module 180 to periodically transmit an RF signal to implantable components 144, and wait for a response.", "When no response is present, speech processor module 180 enters a standby mode or turns off.", "If a standby mode is implemented, speech processor module 180 periodically transmits an RF signal while in the standby mode.", "If a response is received, speech processor module 180 then fully activates, starts processing sound and retransmits signals to implantable component 144.In another embodiment, external on/off control module 554 can include a manually-activated switch located on the exterior of protective case 202.Such a switch is preferably configured to prevent children from changing the power state, and from accidental changing of the power state during the intended use of the body-worn external components 200.In addition to one or more of the above embodiments, speech processor module 180 can also be adapted to shut down whenever on-board power supply 216 is being charged.", "In such an embodiment, protective case 202 also comprises charging circuitry (not shown) to allow power supply 216 to be recharged when case 202 is not in use.", "In another embodiment, external on/off control 554 includes an infrared or other sensor responsive to signals transmitted by a remote device operated by the recipient or their carer.", "In the case of adults, the present invention provides a recipient with the flexibility of using their speech processor module 180 in a moist environment.", "For infants and small children, the present invention also can be used in the comfort that the speech processor is less likely to be damaged than would be the case where the speech processor unit is used in the stand-alone mode.", "The case 202 is also potentially advantageous in that it can serve to assist in preventing tampering of speech processor module 180 by a child recipient or a third party." ] ]
Patent_15875780
[ [ "Wide Swath Offset Concrete Screed", "Methods and systems for making and using a wide swath offset concrete screed apparatus for screeding wet concrete slurry.", "The apparatus includes a cross support bar, an attachment mechanism for attaching the cross support bar to a liftable arm of a motorized vehicle, and a roller screed attached to the cross support bar.", "The roller screed is positioned offset from the motorized vehicle used to operate the screed, allowing the motorized vehicle to drive outside the forms." ], [ "1.An offset concrete screed apparatus for screeding wet concrete slurry, comprising: a cross support bar; means for attaching the cross support bar of the offset concrete screed apparatus to a liftable arm of a motorized vehicle; a roller screed attached to the offset concrete screed apparatus, the roller screed being configured to come in contact with the wet concrete slurry in response to the liftable arm of the motorized vehicle being lowered; and a power unit attached to the offset concrete screed apparatus, the power unit being configured to controllably rotate the roller screed; wherein the roller screed is positioned offset from the motorized vehicle allowing the motorized vehicle to be driven outside forms containing the wet concrete slurry during the screeding.", "2.The offset concrete screed apparatus of claim 1, further comprising: a roller support structure configured to attach the roller screed to the offset concrete screed apparatus; and means for reducing mechanical friction between the roller support structure and the roller screed.", "3.The offset concrete screed apparatus of claim 1, further comprising: one or more lateral support bars attached between the roller screed and the cross support bar; wherein the one or more lateral support bars hinge downward by no more than a predetermined amount from horizontal, defined as a support bar angle, wherein the support bar angle is no less than 3 degrees and no greater than 45 degrees; and wherein the roller support structure is positioned above the roller screed.", "4.The offset concrete screed apparatus of claim 3, wherein the support bar angle is no less than 5 degrees and no greater than 25 degrees.", "5.The offset concrete screed apparatus of claim 1, wherein the power unit is an electric motor.", "6.The offset concrete screed apparatus of claim 1, further comprising: a screed bar attached to one or more lateral support bars; wherein the roller screed is attached to the screed bar.", "7.The offset concrete screed apparatus of claim 6, further comprising: a screed bar spacer configured to be affixed to an end of the screed bar adjacent a surface of a previously poured concrete swath, the previously poured concrete swath being used as one of the forms for containing the wet concrete slurry during the screeding; wherein the screed bar spacer has a predetermined thickness of at least ⅛ inch but no great than ⅜ inch to compensate for the screeding process delta.", "8.The offset concrete screed apparatus of claim 6 for screeding the wet concrete slurry into a concrete pad of a predetermined thickness, further comprising: a subgrade screeder attachment configured to be removably attached to the screed bar; wherein the subgrade screeder attachment extends below the underside of the screed bar by a depth equal to the predetermined thickness.", "9.A method of screeding wet concrete slurry with an offset concrete screed apparatus, the method comprising: attaching a cross support bar of the offset concrete screed apparatus to a liftable arm of a motorized vehicle; attaching a roller screed to the offset concrete screed apparatus; attaching a power unit to the roller screed; controlling the power unit to rotate the roller screed; lowering the liftable arm of the motorized vehicle until the roller screed comes in contact with the wet concrete slurry; and driving the motorized vehicle forward to screed the wet concrete slurry; wherein the roller screed is positioned offset from the motorized vehicle allowing the motorized vehicle to be driven outside forms containing the wet concrete slurry during the screeding.", "10.The method of claim 9, further comprising: providing a roller support structure to attach the roller screed to the offset concrete screed apparatus; and providing mechanical structure for reducing mechanical friction between the roller support structure and the roller screed.", "11.The method of claim 9, further comprising: hinging one or more lateral support bars downward by no more than a predetermined amount from horizontal, defined as a support bar angle, wherein the support bar angle is no less than 5degrees and no greater than 25 degrees; and attaching the roller screed to the offset concrete screed apparatus with the one or more lateral support bars.", "12.The method of claim 9, wherein the power unit is an electric motor.", "13.The method of claim 9, further comprising: attaching a screed bar to one or more lateral support bars; wherein the roller screed is attached to the screed bar.", "14.The method of claim 13, further comprising: using a previously poured concrete swath as one of the forms for containing the wet concrete slurry during the screeding; affixing a screed bar spacer to an end of the screed bar adjacent a surface of the previously poured concrete swath; and wherein the screed bar spacer has a predetermined thickness to compensate for the screeding process delta, the predetermined thickness being at least ⅛ inch but no great than ⅜ inch.", "15.The method of claim 13, further comprising: removably attaching a subgrade screeder attachment to the screed bar, wherein the subgrade screeder attachment extends below an underside of the screed bar by a depth equal to a predetermined thickness; and smoothing subgrade material between the forms with the subgrade screeder attachment to a level the predetermined thickness below top edges of the forms." ], [ "<SOH> BACKGROUND <EOH>" ], [ "<SOH> SUMMARY <EOH>Embodiments disclosed herein address drawbacks of the conventional mechanical concrete screeds.", "The presently disclosed embodiments save considerable labor in the process or leveling wet concrete.", "For example, a conventional screed device requires a crew of six or more workers to pour and finish the concrete surface.", "Using the various embodiments disclosed herein a similarly sized pour of concrete could easily be handled by three workers—a savings of at least 50% in labor costs.", "Various embodiment disclosed herein provide methods and systems for making and using a wide swath offset concrete screed apparatus for screeding wet concrete slurry.", "The apparatus includes a cross support bar, an attachment mechanism for attaching the cross support bar to a liftable arm of a motorized vehicle, and lateral support bars for attaching a screed bar to the cross support bar.", "The screed bar is positioned offset from the motorized vehicle used to operate the screed, allowing the motorized vehicle to drive outside the forms." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation-in-part of U.S. patent application Ser.", "No.", "15/621,804 filed on Jun.", "13, 2017, the disclosure of which is entirely incorporated herein by reference; and this application claims the benefit of the filing date of the Ser.", "No.", "15/621,804 application.", "BACKGROUND Field of the Invention The present invention relates to a wide swath offset concrete screed for leveling poured concrete within a form, and more specifically systems and methods of making and using a wide swath concrete screed that doesn't require mechanical vibration.", "Description of Related Art Wet concrete generally arrives on-site in a concrete truck for pouring into the forms to define the desired level when the concrete dries.", "When the concrete is poured from the chute of the concrete truck the result is generally mounds of wet concrete—often called mud or slurry—piled above the level defined by the top edges of the forms.", "The slurry must be promptly leveled as it is poured, before it hardens or sets.", "Typically, the leveling is performed by a screed—a specialized tool that traverses the forms.", "Smaller pours such as a sidewalk can be leveled with a hand screed that one or more workers drag along the forms to level the mounds of wet concrete.", "It is not feasible to use hand screeds for larger pours such as parking lots, road surfaces, the floors of buildings or other such large, flat concrete surfaces.", "The weight of the concrete being pulled off is generally too great for workers to use hand screeds.", "Larger concrete projects must be poured in strips that may be ten to twenty feet wide, but can even be thirty or more feet wide.", "Conventional mechanized concrete screeds are used to level the strips of concrete.", "One such type of conventional mechanized screed involves the use of a vibrating screed.", "A small gasoline engine is mounted on the screed with a rotating offset weight designed to impart vibration to the screed as it is dragged across the wet mud.", "Some conventional vibrating screed implementations require one or more workers just outside the forms to push and guide the screed along the top of the forms as the engine vibrates the screed.", "The vibration is required to prevent small pebbles from momentarily catching on the front edge of the screed and dragging small holes in the surface of the slurry before the pebble finally passes under the screed.", "The vibration aids in pushing the small pebbles down into the slurry, allowing the conventional vibrating screed to pass over the pebbles with minimal perturbation to the surface of the wet concrete.", "A gasoline or diesel engine is required for this conventional solution, thus requiring one or more workers to attend to the engine as the device is started and stopped many times during the course of a day's pouring.", "Due to the dirt and dust present at the work site it can be difficult to keep the conventional vibrating screed from breaking down during a pour, often necessitating emergency repairs to keep pouring while concrete trucks are standing by ready to unload their wet concrete.", "Published U.S. Patent Application 2009/0092444A1 to Schoen (hereinafter “Schoen”) describes a conventional wide swath motorized screeds.", "The Schoen screed features a screed mechanism attached to a skid loader that a worker operates to pull the mounds of wet concrete and create a level surface.", "Another implementation of a conventional mechanical screed involves attaching a conventional vibrating screed to a front end loader or skid loader.", "Mounting a conventional vibrating screed on a front end loader eliminates the need for concrete workers to push the screed along as it vibrates.", "SUMMARY Embodiments disclosed herein address drawbacks of the conventional mechanical concrete screeds.", "The presently disclosed embodiments save considerable labor in the process or leveling wet concrete.", "For example, a conventional screed device requires a crew of six or more workers to pour and finish the concrete surface.", "Using the various embodiments disclosed herein a similarly sized pour of concrete could easily be handled by three workers—a savings of at least 50% in labor costs.", "Various embodiment disclosed herein provide methods and systems for making and using a wide swath offset concrete screed apparatus for screeding wet concrete slurry.", "The apparatus includes a cross support bar, an attachment mechanism for attaching the cross support bar to a liftable arm of a motorized vehicle, and lateral support bars for attaching a screed bar to the cross support bar.", "The screed bar is positioned offset from the motorized vehicle used to operate the screed, allowing the motorized vehicle to drive outside the forms.", "BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and constitute part of the specification, illustrate various embodiments of the invention.", "Together with the general description, the drawings serve to explain the principles of the invention.", "In the drawings: FIG.", "1 is an oblique view of a wide swath offset concrete screed according to various embodiments disclosed herein; FIG.", "2 is an oblique view depicting wide swath offset concrete screed in use as wet concrete is being poured; FIG.", "3 is a close up view depicting details of the hinge assembly between the lateral support bar and the cross support bar; FIG.", "4 depicts the wide swath concrete screed being used to level wet concrete using a previously poured swath of concrete in lieu of a form; FIG.", "5 depicts embodiments of an optional screed bar spacer and subgrade screeder attachments that may be affixed to the screed bar; FIG.", "6 depicts the wide swath offset concrete screed being raised; FIG.", "7 depicts a lateral support bar configured to have a slight amount of curve; and FIG.", "8 is a flowchart depicting the use of the concrete screed 100 according to various embodiments of the invention; FIGS.", "9-10 are oblique views depicting embodiments of an up-down offset concrete screed; FIG.", "11A depicts an embodiment of a vibrating float assembly; and FIG.", "11B depicts an embodiment of a rotating float assembly.", "DETAILED DESCRIPTION Typically, to pour a swath of concrete a pair of longitudinal forms is assembled at the desired level of the concrete.", "The longitudinal forms run along the sides of the swath, and an end form may be positioned between the longitudinal forms, defining the end of the swath.", "Once the wet concrete slurry is poured within the longitudinal forms—generally, one truckload at a time—the leveling is performed by running a screed along the top of the longitudinal forms to smooth the swath of concrete between the forms.", "The term “leveling” is used to describe the smoothing process using a screed.", "The result of “leveling” the wet concrete slurry with a screed produces a relatively flat surface between the forms.", "This flat concrete surface that results from leveling with a screed may, or may not, be level with respect to the earth's surface.", "For example, the floors of buildings, parking lots and other concrete surfaces are often designed to have a slight degree of slope in order to allow water to run off.", "Concrete surfaces are often poured to slope between ⅛ inch per foot to up to ⅝ inch per foot, with ¼ inch per foot being a common value.", "Therefore, the term “leveling” as it is used herein implies that the surface of the concrete is smoothed to conform to a flat surface between the top edges of the forms, and may include a built in amount of slope rather than being perfectly level relative to the earth's surface.", "That is, leveling wet concrete means to smooth the surface to be relatively flat across the tops of the two forms the concrete was poured into.", "In situations where multiple swaths are being poured to form a wide expanse of concrete, it is often the case that the previously poured swath of concrete, now hardened, is used in place of the forms on one side of the next swath to be poured.", "In such cases where a swath is being poured beside another, previously poured swatch, a spacer may be used to compensate for the level of freshly screeded concrete being slightly lower than the level of the underside of the screed, as discussed further in conjunction with FIG.", "5.Motorized screeds—that is, a screed mechanism attached to a skid loader or other motorized vehicle—are often used to save time and labor in pouring swaths of concrete.", "The present inventor recognized several drawbacks inherent in the designs of conventional mechanized screeds, for example, the Schoen screed of Published U.S. Patent Application 20090092444A1.One major drawback of it is that the front end loader of the conventional Schoen screed must be driven within the forms directly ahead of the wet concrete being leveled.", "Nearly all concrete is poured over one or more layers of iron rebar lying on a surface of sand which acts to strengthen and reinforce the concrete.", "Using the conventional Schoen motorized screed requires the skid loader to be driven over the rebar, pushing it into the layer of sand beneath the concrete and often causing deformities in the rebar.", "This would render the rebar useless unless remedied before the concrete dries.", "Thus, workers must be positioned between the conventional Schoen screed and the wet concrete being leveled to pull the rebar out of the sand.", "Another disadvantage of the Schoen device that the present inventor recognized involves the end form for the pour.", "An end form is the form at the end of the swath being poured, for example, to define the edge of a building pad or parking lot.", "A skid loader cannot be driven over the end form without destroying it.", "So, in order to use the Schoen device the end form must be assembled as soon as the front end loader of the conventional Schoen screed passes that point.", "Alternatively, some sort of makeshift removable bridge or ramps could be constructed over the end form, allowing the front end loader of the conventional Schoen screed to be driven up over the end forms without damaging them.", "These, and other drawbacks of the conventional screeds recognized by the present inventor, are overcome by various embodiments disclosed herein.", "FIG.", "1 is an oblique view of a wide swath offset concrete screed 100 according to various embodiments disclosed herein.", "The wide swath concrete screed is mounted on a motorized vehicle 101 such as a skid loader, an extension loader, a front end loader, a tractor, a backhoe, a truck, a tractor, a tracked loader, or other such motorized vehicle.", "The wheeled vehicle 101 has a liftable mechanical arm 119 of sufficient strength to hold the screed assembly with the capability of lifting it up and down.", "The offset wide swath concrete screed 100 affords the advantage of being mounted to the side of motorized vehicle 101—that is, the concrete screed 100 is mounted such that the screed bar 107 is offset to the side of the motorized vehicle 101.To be considered “offset” the screed bar 107 must be positioned outside the wheels (or track, if a tracked vehicle) in the direction of an axel of the motorized vehicle 101.This offset mounting configuration allows the motorized vehicle 101 to be driven along the outside of concrete forms 197.This is a significant advantage over conventional mechanized screeds that drive within the concrete forms.", "In this way the various embodiments disclosed herein do not push the rebar 199 into the sand as the concrete is being screeded.", "Moreover, the various embodiments of the wide swath concrete screed disclosed herein are able to screed concrete right to the end of the longitudinal forms without damaging the end form.", "Various embodiments of screeds disclosed herein are also capable of being mounted directly in front of the motorized vehicle 101 for those situations when there is insufficient room alongside the forms 197 to drive the motorized vehicle 101, e.g., when the last swath being poured is up against a fence, wall or building.", "The liftable arm 119 of the motorized vehicle 101 allows a user to lift the concrete screed 100 up and down as needed during the pour.", "Since the concrete screed 100 may weigh 300 pounds or more, with an outer end that extends beyond the motorized vehicle 101 by several feet more the width of the longitudinal forms, the liftable arm 119 must have sufficient strength to withstand the rotational force due to the weight of the concrete screed 100 hanging out to the side.", "The offset concrete screed 100 includes a connection mechanism 143 or structure for attaching the cross support bar 103 to the motorized vehicle 101.In some embodiments the connection mechanism 143 includes two metal plates bolted together to clamp down on the cross support bar 103 and hold it securely to the liftable arm 119.In some embodiments the connection mechanism 143 includes U-bolts, or metal cables, to secure the cross support bar 103 to the liftable arm 119.In other embodiments the connection mechanism 143 includes an adapter to fasten the cross support bar 103 to a fork lift attachment, or a three-point hitch, of the liftable arm 119.In yet other embodiments the connection mechanism 143 attaches to a hydraulic cylinder to affix the cross support bar 103 to the motorized vehicle 101.Regardless of the configuration, the various embodiments of the connection mechanism 143 includes structural means for attaching the cross support bar 103 to the liftable arm 119 of the motorized vehicle 101, either in a stationary position or in a manner capable of hinging.", "A screed bar 107 is configured to pull the mounds of wet concrete slurry deposited within the forms by a concrete truck.", "In this way the slurry is leveled during a pour by the action of the motorized vehicle driving back and forth on the outside of forms 197.The screed bar 107 is pulled by lateral support bars 105, which in turn, are connected to cross support bar 103.The motorized vehicle 101 may be positioned to push the cross support bar 103 in the direction of screeding movement 173, as shown in FIG.", "1.Alternatively, the motorized vehicle 101 may be positioned on the other side of the cross support bar 103 so as to pull the cross support bar 103 in the direction of movement 173.In either case, the screed bar 107 is dragged behind the cross support bar 103 as the wet concrete slurry is being screeded.", "This dragging motion prevents the screed bar 107 from jamming down or catching on the forms as it is moved along.", "The screed bar 107 is of sufficient length for both ends to rest on the longitudinal forms 197.Typically the screed bar 107 is slightly wider than the distance between the longitudinal forms 197 so that the screed bar 107 extends beyond the longitudinal forms 197 by a few inches.", "In a typical implementation the screed bar 107 may be from 6 to 24 inches longer than the distance between the longitudinal forms 197.In other implementations the screed bar 107 may be any length from the same width as the outer width of the forms up to ten or more feet wider than the width of the forms.", "There is no set limit as to how much wider the screed bar 107 is as compared to the width of the forms 197.However, since workers often walk or stand just outside the forms it tends to be more safe and convenient for the width of the screed bar 107 to extend beyond the forms by no more than a few inches on each side.", "For example, in some embodiments the screed bar 107 is of a sufficient length so that it extends beyond the forms by 8-10 inches on either side to keep the screed from falling inside the forms 197.Depending upon the application, the swatch of concrete may be of any given width.", "For some uses the width of the concrete swath is not important.", "For example, a large expanse of concrete such as a parking lot may sometimes be poured in strips or swaths of any width, up to the maximum width, that is desired by the prime contractor or suitable for the situation.", "However, some applications (and some builders) require that the concrete be poured in a specific width swatch, e.g., 12 feet, 15 feet, 20 feet, 25 feet, 30 feet, or other such swath widths.", "To accommodate these specific swath widths, the concrete screed 100 may be equipped with various lengths of screed bar 107.In some embodiments, the length of the screed bar 107 is fixed, and bars of various lengths are swapped out to accommodate the required swath width.", "Other embodiments of the screed bar 107 are configured so that the length of the screed bar 107 may be adjusted to suit the distance between the forms 197 or other parameters.", "This may be achieved by providing a telescoping screed bar 107, or by providing removable sections of the screed bar 107 which may be swapped out to achieve the desired length.", "The screed bar 107 is held by two or more lateral support bars 105, which in turn, are connected to a cross support bar 103.To smooth out the mounds of wet concrete the motorized vehicle 101 is typically positioned to push the cross support bar 103.However, the cross support bar 103 is configured to pull the screed bar 107 along, dragging the wet concrete to a level format.", "This pulling action aids in preventing the screed bar 107 from gouging into the longitudinal forms, thus making the screed bar 107 operate more smoothly as the wet concrete is being leveled.", "FIG.", "2 is an oblique view depicting wide swath offset concrete screed 100 in use as wet concrete is being poured.", "The figure shows the point in time when the wet concrete from one truck has already been leveled out, the screed bar 107 has been lifted up out of the way, and motorized vehicle of 101 (not shown) of the concrete screed 100 is backed up so as to allow another truckload of wet concrete to be poured.", "As shown in FIG.", "2 the lateral support bar 105 is attached to the cross support bar 103 by a hinge assembly 109 configured to hinge upward as the screed bar 107 comes to rest on forms 197.The hinge assembly 109 prevents the screed bar 107 from hinging downward more than a predetermined amount, in order to lift the screed bar 107 off the forms as shown in FIG.", "2.The predetermined amount—defined as the support bar angle—is measured at the point where the motorized vehicle 101's liftable arm 119 has been lowered such that the screed bar 107 just touches the forms 197.That is, the support bar angle is the angle between the axis of rotation of the hinge assembly 109 and the bottom front edge of the screed bar 107 when it is lowered to the point of just touching the forms 197.It should be clear from this that the the support bar angle does not depend upon the shape of the lateral support bar 105.At this point, if the cross support bar 103 is raised it will lift the screed bar 107 up since the hinge assembly 109 won't hinge downward past the support bar angle.", "On the other hand, if the cross support bar 103 is instead lowered the hinge assembly 109 will hinge upward since the screed bar 107 is resting on the forms 197.Various embodiments are configured so the lateral support bar 105 hangs downward at a support bar angle of from 1 degree to as much as 60 degrees, or any angle within these limits, with a hang angle of 15 degrees being typical.", "The lower limit of the support bar angle, 1 degrees, is determined by the distance between the axis of rotation of the hinge assembly 109 and the bottom surface of the cross support bar 103, and depends on the length of the lateral support bar 105.FIG.", "3 is a close up view depicting details of one embodiment of the hinge assembly 109 between the lateral support bar 105 and the cross support bar 103.Other embodiments may use like types of structures configured to provide a hinging action such as an ordinary hinge, a rocker arm assembly, a trough holding the ends of lateral support bars 105 and flexible cable controlling the maximum hinge angle or support bar angle, a ball joint, or other like types of hinging structures.", "The hinge assembly 109 connects the lateral support bar 105 to the cross support bar 103.The hinge assembly 109 allows the lateral support bar 105, and in turn the screed bar 107, to hinge upward as the device is lowered onto the longitudinal forms 199.As discussed above, the hinge assemblies 109 prevent the lateral support bars 105, and in turn the cross support bar 103, from hinging downward by more than a predetermined amount, defined as the support bar angle.", "In this way the motorized vehicle 101 can lift the screed bar 107 up in the air.", "The conventional Schoen screed of Published U.S. Patent Application 20090092444A1 features a mounting pocket 62 that prevents arm 48 from rotating too far downward.", "Such a pocket/arm assembly could be used with embodiments disclosed herein as a hinging mechanism.", "However, the present inventor recognized certain drawbacks with the Schoen pocket/arm assembly.", "Namely, the pocket tends to retain wet concrete and small pebbles during the course of a working day.", "This, in turn, makes the pocket difficult to clean upon completion of a work day.", "At the end of each day, and perhaps even during the course of the day, the bar 48 must be rotated upward out of pocket 62 in order to clean out all the accumulated concrete and pebbles.", "If the pocket 62 of the Schoen device is allowed to dry overnight without being thoroughly cleaned it will sometimes freeze in place as the bits of remaining concrete dry and harden.", "The Schoen device can also freeze up while it is being used if a small pebble or bit of concrete becomes lodged between the bar 48 and pocket 62.The hinge assembly 109 overcomes these drawbacks since it is a more open design which does not tend to accumulate pebbles and wet concrete.", "The hinge assembly 109 is easier to clean with a hose and water since there is no pocket for pebbles and wet concrete to gather in during the course of a day.", "In various embodiments of the offset concrete screed 100, the hinge assembly 109 is rotatably connected to cross support bar 103 by a pin 121.By “rotatably connected” it is meant that the hinge assembly is connected in a manner that allows it to rotate, or hinge, about an axis.", "In some implementations the pin 121 passes through, or is otherwise connected to, a pin holder bar 123.In other embodiments the pin 121 is connected directly to the cross support bar 103.The pin 121 may be a bolt of sufficient diameter (e.g., ⅜ to 1 inch) for supporting the weight of the lateral support bars 105 and screed bar 107.The bolt may be kept in place with a nut, or two nuts tightened against each other, and washers to aid in preventing wear on the bolt and hinge assembly 109.In other implementations a hinge pin, a metal rod, or other like type of pin may be used as the pin 121.In some embodiments one or more springs 167 are connected to some point on the support bar assembly to provide more downward force than the weight of the screed bar 107.The additional downward force aids in preventing the screed bar from riding up over the wet concrete slurry.", "Typically, the springs 167 are configured to be removable so that weaker or stronger springs—or multiple springs—can be attached, as needed.", "In this way the user is able to adjust the downward force to accommodate the conditions of the pour.", "Some embodiments use compression springs to push downward on the support bar assembly.", "In other embodiments leaf springs are used to provide the downward force.", "The hinge assembly 109 is typically configured so that it comes to rest against cross support bar 103 when the offset concrete screed 100 is raised up in the air.", "The hinge assembly 109 hinges upward in response to the concrete screed 100 being lowered so that the screed bar 107 rests on forms 197.This allows the screed bar 107 to ride along the top of the forms 197 without damaging the forms.", "The hinging action also allows the screed bar 107 to ride up over an overly large mound of wet concrete to avoid putting too much horizontal strain on the screed bar 107 and concrete screed 100.If the screed bar 107 rides up over an overly large mound of wet concrete the user can simply raise the offset concrete screed 100 up in the air, back up the motorized vehicle 101, and take one or more additional passes at smoothing the large mound of wet concrete.", "Since embodiments of the offset concrete screed 100 allow the motorized vehicle 101 to be driven off to the side rather than over the rebar, the user can efficiently make several passes without need to have workers reposition to rebar after each pass, as is required for conventional motorized screed devices.", "FIG.", "4 depicts the wide swath concrete screed 100 being used to level the wet concrete slurry 193 using a previously poured swath of concrete 195 in lieu of a form on one side.", "In pouring large expanses of concrete for a parking lot or building pad it is often the case that the swaths are poured side by side with the previous day's swath acting as a form on one side of the current pour.", "The very first swath poured requires a form 197 to be set up on each side of the swath to be poured.", "For each subsequent swath poured after the previous swath has hardened (e.g., a day or more later) only one form 197 needs to be erected.", "The previously poured swath 195, now hardened, acts as a form on the other side to contain the newly poured wet concrete slurry 193.One issue with using a previously poured swath in lieu of a form is that the process or screeding wet concrete results in a screeding process delta in which the level of the concrete is slightly lower than the level of the forms (or the form and the previously poured swath being used as a form).", "For example, a screeded concrete surface may end up ¼ inch or so lower than the forms on either side—that is, have a screeding process delta of ¼ inch or so.", "This is because the wet concrete slurry contains small pebbles and gravel in it.", "The screeding process delta results because the screed bar 107 tends to push some of the small pebbles and gravel in front of it, causing the screeded surface of the wet concrete slurry to be slightly lower than the bottom surface of screed bar 107, e.g., ¼ inch or so lower.", "This can be somewhat troublesome if the concrete is being poured in long swaths alongside a previously poured swath—now hardened—from the previous day.", "If the screeding process delta was not compensated for and the form 197 was erected to be level with the previously poured swath, each newly poured swath would end up being ¼ inch or so lower than the previously poured swath beside it.", "If a number of swaths were poured this way the result would be that each swath would be ¼ inch or so lower due to the screeding process delta of each swath.", "In order to avoid this, it is desirable to provide forms 197 for the new swath to be poured that are at a level slightly higher than the previously poured swath to its side by an amount equal to the anticipated screeding process delta.", "The slightly higher level of the form 197 compensates for the lower level of finished concrete due to the screed bar 107 pushing small pebbles and gravel in front of it.", "However, if the previously poured swath (which has hardened) is being used as one of the forms 197 then it is not possible to adjust the height of the previously poured swath to compensate for the screeding process delta.", "To this end, various embodiments use a screed bar spacer affixed to the bottom of screed bar 107 on the side of the previously poured swath in conjunction with the form 197 being constructed slightly higher than the level of the previously poured swath.", "FIG.", "4 also depicts a screed bar extension 135.The cross section of the screed bar extension 135 is typically the same as the screed bar 107, with a slightly smaller cross-sectional portion that fits into the end of the screed bar 107.One or more holes 139 may be provided for bolts 141 used to secure the screed bar extension 135 to the screed bar 107.The bolts 141 pass through holes 139 and tighten into threaded holes 137.FIG.", "4 also depicts the wide swath concrete screed equipped with a scrape auger 181.The scrape auger 181 acts to push excess wet slurry concrete to one side or the other so as to aid in preventing too much wet slurry concrete from building up and pouring over the top of screed bar 107.Typically, the lower edge of the scrape auger 181 is positioned slightly above the lower edge of screed bar 107, e.g., one to three inches, but may be positioned as little as ¼ inch to as much as one foot above the lower edge of screed bar 107.The scrape auger 181 may either be affixed to the cross support bar 103 or may be affixed to lateral support bars 105 by connection points 179.Typically, connection points 179 have a bearing or greased sleeve to reduce the mechanical friction as the auger 181 rotates.", "The auger 181 is rotationally powered by a power unit 183.The power unit 183 may be similar to power unit 185 depicted in FIG.", "11B.", "Power unit 183 may be implemented in various forms, including for example, a gas or diesel engine, an electric motor, a hydraulic motor, a rotating shaft connected to the power take-off of the motorized vehicle, a rotating linkage connected to the engine of the motorized vehicle, or other like type of power unit known to those of ordinary skill in the art.", "The power unit 183 may either be connected to the cross support bar 103, or in other implementations, may be connected to one or more of the lateral support bars 105.The power unit 183 may be controlled by a user to controllably rotate the auger 181 at varying speeds.", "The auger 181 may be rotated in one direction to push the wet concrete slurry towards the motorized vehicle 101, and may be controlled to rotate in the opposite direction to push the wet concrete slurry away from the motorized vehicle 101.FIG.", "5 depicts embodiments 500 and 550 of an optional screed bar spacer and subgrade screeder 147 attachments that may be affixed to the screed bar.", "As shown in the figure, the screed bar spacer 125 is affixed to the end of the screed bar 107 resting on a previously poured concrete surface 195 to compensate for the screeding process delta.", "The screed bar spacer 125 is a removable attachment with a predetermined thickness that compensates for the level of freshly screeded concrete being slightly lower than the level of the underside of the screed bar 107 due to small pebbles and gravel being pushed in front of screed bar 107 during the screeding process.", "A user simply taps the screed bar spacer 125 into position within the screed bar 107, and it is held in place by friction.", "To remove the screed bar spacer 125, the user merely taps it back out.", "The screed bar spacer 125 is held to the bottom side of screed bar 107 on the end that rides across the swath of previously poured, hardened concrete.", "Since the level of the freshly screeded concrete will be lower by a slight amount than the bottom of the screed bar 107 due to the screeding process delta, the screed bar spacer 125 allows the screed bar 107 to pass over the newly poured concrete at a level slightly higher than the desired level of the finished concrete surface to compensate for the screeding process delta.", "In this way, the newly screeded concrete will end up at approximately the same level as the previously poured concrete swath adjacent to it.", "The wide swath offset concrete screed 100 may be provisioned with screed bar spacers 125 of various thicknesses, depending upon the anticipated amount of screeding process delta—that is, the amount that the newly poured concrete is anticipated to be lower.", "The anticipated amount of screeding process delta depends upon the characteristics of the wet concrete slurry such as the size of the pebbles and gravel in the wet concrete slurry, how wet the concrete slurry is, the temperature of the wet concrete slurry, etc.", "Since a given contractor may order wet concrete slurry many times from the same concrete supplier, the contractor will generally get a feel for the amount of screeding process delta to expect from a particular concrete provider for a given grade of concrete.", "A screed bar spacer 125 for use with the various embodiments may have a predetermined thickness of as little as 1/16 inch or as much as ¾ inch, or any value in between, depending upon the characteristics of the wet concrete slurry resulting in screeding process delta.", "A typical thickness for a slab of concrete 8 inches thick is ¼ inch.", "In various embodiments the bottom side of the screed bar spacer 125 is smooth with rounded corners in order to push the pebbles and gravel of the wet concrete slurry underneath it during the screeding process.", "This aids in preventing the pebbles and gravel from scraping along the surface of the wet concrete slurry before they pass beneath the screed bar spacer 125.In addition the screed bar spacer 125 is configured to be smooth with rounded corners aids to avoid gouging or scoring the concrete surface that it rests and slides upon.", "FIG.", "5 depicts another screed bar spacer embodiment—the screed bar spacer 127 which is configured with a wheel that rolls along the previously poured concrete surface 195.The screed bar spacer 127 is particularly useful when the previously poured concrete 195 has not yet hardened sufficiently to avoid scoring the surface.", "The screed bar spacer 127 slides into screed bar 107, and is tightened into place with a compression bolt 133.Moreover, the screed bar spacer 127 may be configured to be adjustable by providing an elongated slot either for bolt 129 or for a bolt at point 131.FIG.", "5 also depicts a subgrade screeder attachment 147.To preparing a pour site the contractor generally deposits gravel, sand or pebbles, or some other subgrade material, between the longitudinal forms 197.It is important to have a uniformly flat, level subgrade surface to pour the wet concrete slurry on, in order to ensure that the resulting concrete pad is of a uniform thickness.", "According to conventional methods, the subgrade material is graded and leveled by hand with shovels or rakes.", "These conventional methods of preparing the subgrade are quite a labor intensive and must be performed prior to pouring the concrete.", "It generally takes at least a couple—or even several—manual laborers working to smooth and level the subgrade material by hand, and it is nearly impossible to create a uniformly flat, level subgrade surface.", "The embodiments disclosed herein overcome aid in cutting down the manual labor required to prepare the subgrade materials by hand, while at the same time drastically increasing the precision of the subgrade leveling process.", "The subgrade screeder attachment 147 depicted in FIG.", "5 attaches to the screed bar 107 using one or more bolts 149.Alternatively, the subgrade screeder attachment 147 may be affixed to the screed bar 107 using pins, clamps, cables, chains, or other like type of structures for affixing the subgrade screeder attachment 147 in place on the screed bar 107.In other embodiments the subgrade screeder attachment 147 is attached to the screed bar 107 with a hinge mechanism so that it can be hinged upward out of the way when not in use.", "The depth that the subgrade screeder attachment 147 extends below the lower level of screed bar 107 is adjustable in order to equal the desired thickness of the concrete pad being poured.", "In the embodiment depicted in FIG.", "5 there are a series of holes that allow the subgrade screeder attachment 147 to be set at various depths, thus creating concrete pads of various thicknesses.", "In other embodiments the subgrade screeder attachment 147 has an elongated hole, or slot, to allow adjustment up and down to create various thickness of a concrete pad.", "Typically, the width of the subgrade screeder attachment 147 is slightly narrower than the width of the longitudinal forms 197, for example, one to six inches narrower.", "The screeder attachment 147 may be provided in multiple pieces so as to easily vary the width to accommodate the width of the longitudinal forms 197.The subgrade screeder attachment 147 is typically made of metal.", "Aluminum generally provides sufficient strength, and is advantageously lightweight.", "However, other implementations of the subgrade screeder attachment 147 may be made of iron, steel, or other like metals.", "In some embodiments the lower edge of the subgrade screeder attachment 147 may be curved slightly in the direction of screeding movement 173.The slight curve tends to cut into the loose gravel, sand or pebbles typically used as subgrade material, thus pulling the subgrade screeder attachment 147 slightly downward to create a smooth, level subgrade surface.", "In various embodiments the curved portion of the lower edge of the subgrade screeder attachment 147 is angled from as little as 15 degrees to as much as 90 degrees, relative to vertical.", "In other embodiments the lower edge of the subgrade screeder attachment 147 is squared off straight, rather than having a slight curve as shown in FIG.", "5.FIG.", "6 depicts the wide swath offset concrete screed 100 in a raised position.", "In some instances the area just outside the forms and just beyond the end of the swath of concrete being poured may have an obstacle such as a fence or building, or otherwise be inaccessible.", "When this occurs it may not be possible to drive the motorized vehicle 101 very far beyond the end of the swath of concrete.", "In such situations it is useful to be able to lift the concrete screed 100 high enough to permit a concrete truck to back up close enough to unload the wet concrete beneath the raised screed.", "Various embodiments of the concrete screed 100 can be raise high enough to permit wet concrete to be unloaded beneath it, as shown in FIG.", "6.For example, depending upon the type of motorized vehicle 101 being used, the wide swath offset concrete screed 100 can be raised to a level of fifteen feet or more.", "For embodiments using an extension loader as the motorized vehicle 101 as depicted in FIG.", "6 the offset concrete screed 100 can be raised to over twelve feet.", "This is sufficient height to allow a concrete truck to back up and deliver its load of wet concrete slurry under the offset concrete screed 100.Other embodiments may raise the concrete screed 100 even higher, for example, for clearance beneath the screed bar 107 of 15 feet or even more, depending upon how far the liftable arm 119 of the motorized vehicle 101 is able to extend or rise in the air.", "As the liftable arm 119 is lowered it is desirable not to slam it into the lateral forms 197.To aid in this some embodiments include a flow restrictor 145 in the hydraulic line to controllably constrict the flow of hydraulic fluid.", "The flow restrictor 145 tends to slow down the upward and downward movement of the liftable arm 119, making it easier for a user to ease the liftable arm 119 into position as it is raised and lowered during the screeding process.", "FIG.", "7 depicts a lateral support bar 105 configured to have a slight amount of curve at point 175.In various embodiments it is desirable for the underside of screed bar 105 to lay relatively flat on the wet concrete slurry and the longitudinal forms 197.Having the underside of screed bar 105 flat aids in keeping it from riding up over mounds of wet concrete slurry as it is pulled along, or gouging into the wet concrete.", "Further, the flat underside as it is drawn over the wet concrete slurry provides a smoothing effect that helps to produce a smooth, level surface of the finished concrete.", "At the same time it is desirable to keep the cross support bar 103 several inches above the forms 197 to keep it from catching on the forms 197 and causing perturbations in the smooth surface of the concrete.", "To achieve this—having the underside of screed bar 105 flat while the cross support bar 103 passes several inches above the forms 197—various embodiments of the lateral support bars 105 are configured to have a slight amount of curve.", "In some embodiments the lateral support bars 105 are gradually curved along their entire length.", "In other embodiments, the lateral support bars 105 are curved at a particular point, for example, at point 175 as depicted in FIG.", "7.In yet other embodiments, the lateral support bars 105 are angled at a particular point rather than being gradually curved (e.g., a sharp curve).", "In all of these embodiments the lateral support bars 105 are said to be curved by a lateral support bar curve 177.In various implementations the lateral support bar curve 177 may vary from as little as 1 degree to as much as 30 degrees, and may be any value in between these two extremes.", "A typical value for the lateral support bar curve 177 is 4 degrees.", "In some embodiments the lateral support bars 105 are approximately four feet long.", "However, the length may be varied depending upon the requirements of the pour and the situation in which it is to be used to be as short as one foot or as long as twelve feet.", "Using shorter lateral support bars 105 will result in the cross support bar 103 being positioned closer to the forms 197.Using longer lateral support bars 105 will result in more downward rotational force on the cross support bar 103 due to the increased leverage.", "Therefore, in various embodiments the lateral support bars 105 are generally kept within three to six feet, with four feet being a typical length embodiment.", "FIG.", "8 is a flowchart depicting the use of the concrete screed 100 according to various embodiments of the invention.", "Reference is made to the previous figures in the application, including various reference numbers shown in the figures.", "The method begins at block 801 and proceeds to block 803 where the user provides a cross support bar 103.The cross support bar 103 is typically connected to the liftable arm 119 of a motorized vehicle 101.The method proceeds to block 803 for attaching the lateral support bars 105 to the cross support bar 103.This is generally done using hinge assemblies 109.In some embodiments, however, the lateral support bars 105 may be fixedly connected to the cross support bar 103, with the lateral support bars 105 themselves being capable of hinging.", "The lateral support bars 105 typically have a slight amount of bend in them, e.g., approximately four degrees—that is, 4°+/−10%.", "In block 807 the screed bar 107 is connected to the lateral support bars 105.Typically, the screed bar 107 is fixedly attached to the lateral support bars 105.However, in some embodiments the screed bar 107 may be connected to the lateral support bars 105 in a manner that allows the screed bar 107 to have some play or movement relative to the lateral support bars 105, e.g., a hinging motion.", "In block 809 it is determined whether the longitudinal forms 197 are wider apart than the length of the screed bar 107.If the screed bar 107 needs to be longer, the method proceeds along the “YES” path to bock 811 for attachment of one or more screed bar extensions 135 to the screed bar 107, and then proceeds to block 813.If the screed bar 107 is of sufficient length for the configuration of longitudinal forms 197 the method proceeds from block 809 along the “NO” path to block 813.In block 813 of FIG.", "8 it is determined whether the wet concrete slurry is to be poured into forms on either side (e.g., for the first concrete swath to be poured), or a previously poured, now hardened, swath of concrete is to be used on one side of the pour in place of the longitudinal forms for that side.", "If previously poured swath of concrete is to be used in place of the forms it may be the case that the screeding will result in a screeding process delta in which the level of the concrete is slightly lower than the level of the forms, as discussed previously in conjunction with FIG.", "5.If a screeding process delta—that is, a level of the concrete surface slightly lower than the scree bar surface—is anticipated, the method proceeds from block 813 along the “YES” path to block 815 to attach a screed bar spacer 125 or 127.However, if no screed bar spacer is desired the method proceeds from block 813 along the “NO” path to block 817.In block 817 the user operates the motorized vehicle 101 to screed the wet concrete slurry to a desired degree of levelness.", "During the screeding process it is sometimes the case that the screed bar 107 needs to be raised, for example, to back the motorized vehicle 101 up or to allow a concrete truck to deliver another load of concrete.", "If, in block 819, it is determined that the screed bar 107 needs to be raised the method proceeds along the “YES” path to block 823 to raise the screed bar 107 (or lower it if it was previously raised).", "The method then proceeds to block 821 to determine whether further screeding operations need to be performed.", "If further screeding is to be done, the method proceeds back to block 817 along the “YES” path.", "However, if the screeding is completed the method proceeds from block 821 along the “NO” path to block 825 where the method ends.", "Various activities of the method disclosed herein may be included or excluded as described above, or maybe performed in a different order than the particular examples chosen to illustrate the embodiments.", "For example, it may be the case that the screed bar extension may be attached to the screed bar (block 811) prior to attaching the screed bar to the lateral support bar (block 807).", "Or it may be the case that the screed bar spacer may be attached to the screed bar (block 815) prior to attaching the screed bar to the lateral support bar (block 807).", "The sequence of steps for performing the method of making and using a wide swath offset concrete screed according to the various embodiments disclosed herein may be altered in many other ways as well.", "FIGS.", "9-10 are oblique views depicting embodiments of an up-down offset concrete screed.", "The present inventor recognized the difficulty of screeding concrete into certain tight spaces—for example, screeding into the corner formed by two buildings, or screeding right up against a building or a wall.", "In such tight spaces it is desirable to be able to operate the screed as closely as possible up to the limiting obstruction.", "The embodiments depicted in FIGS.", "9-10 make it possible to screed into tight places with only a minimum of finish work to be done by hand.", "The up-down offset concrete screed embodiment features two or more vertical support bars 151.The vertical support bars 151 are designed to move up and down, as needed, during the screeding operation.", "For example, it may be that the surface outside the forms on which the motorized vehicle 101 is driving is unlevel or bumpy.", "If the motorized vehicle 101 moves up or down as it is traveling along, the vertical support bars 151 can move down or up, as needed, so that the screed bar 107 may remain on the forms 197.In some instances, if there is too much wet concrete slurry 193 being pushed the screed bar 107 may ride up over the slurry, leaving an unlevel spot that will require further screeding on another pass.", "Each vertical support bar 151 is enclosed by a support bar sleeve 153 that allows the vertical support bar 151 to move up and down.", "The end of each vertical support bar 151 is larger than the passage dimensions of the support bar sleeve 153 to prevent the vertical support bar 151 from passing through it.", "This allows the cross support bar 103 to lift up the vertical support bar 151 and accompanying screed bar 107.To aid in the up/down movement the support bar sleeves 153 have bearings on their inner surface, making it easier for the vertical support bars 151 to ride up and down with the lateral force of the concrete slurry pushing against them.", "Alternatively, the support bar sleeves 153 may have small wheels or lubricant instead of bearings.", "The vertical support bars 151 are rotatably attached to the screed bar 107 allowing the vertical support bars 151 to rotate about an axis, the axis being in the direction of screeding—that is, the axis of rotation is in the same direction as the direction of screeding (e.g., motorized vehicle movement), allowing the direction of rotation to be back and forth at a right angle to the direction of screeding.", "Similarly, the support bar sleeves 153 are rotatably attached to the cross support bar 103.In this way, if the motorized vehicle 101 drives on an unlevel or bumpy spot causing the cross support bar 103 to raise up or dip relative to the screed bar 107, the vertical support bars 151 won't bind up if they raise or drop by different amounts.", "In this way the screeding operation can continue smoothly even though the cross support bar 103 does not remain parallel with the screed bar 107.The vertical support bars 151 may be rotatably attached to the screed bar 107 by a tab 155 that is welded, bolted or otherwise affixed to the screed bar 107.The tab 155 has a pin or bolt configured to pass through a hole in the vertical support bar 151, thus allowing the vertical support bars 151 to rotate relative to the screed bar 107.In other embodiments (no shown) the tab 151 is affixed to the vertical support bar 151 and has a bolt or pin that passes through a hole in the screed bar 107.FIG.", "10 depicts details of an embodiment for rotatably connecting the support bar sleeves 153 to the cross support bar 103.FIG.", "10 is oblique cutaway view of an embodiment of the support bar sleeve 153 that rotatably attaches the support bar sleeve 153 to the cross support bar 103.In this embodiment the hinging mechanism is a bolt 157 that is welded, or otherwise attached, to the support bar sleeve 153 and passes through a hole 159 in the cross support bar 103.The bolt 157 allows the support bar sleeve 153 to rotate as needed relative to the the cross support bar 103.Other hinge mechanisms may be used in various implementations to connect the vertical support bars 151 to either the support bar 103 or to the screed bar 107, including for example, a hinge, a flexible cable, chain links affixed to each part, a shaft and bearings, a trough or slot that supports a shaft, or other like mechanisms known to those of ordinary skill in the art.", "FIG.", "11A depicts an embodiment of a vibrating float assembly configured to be pulled behind the screed bar 107.In typical implementations the vibrating float assembly is fairly lightweight, for example, weighing between five and twenty-five pounds.", "However, either heavier or lighter implementations may be constructed, depending upon the dimensions and materials used in the vibrating float assembly itself, and the characteristics of the concrete slurry being floated.", "Typically, two or more vibrating float assemblies are rotatably affixed to the screed bar 107.In some embodiments two or more points on the screed bar 107 serve as the points of rotation for the float assemblies to hinge, or rotate, downward onto the surface of the wet concrete slurry.", "In other embodiments, as shown in FIG.", "11B, the float assembly itself—in the form of a roller screed—rotates about an axis connected to screed bar 107.Turning again to FIG.", "11A, some embodiments feature only one float affixed to the screed bar 107.The screed bar 107 generally is configured to extend beyond the outermost and innermost vibrating float assemblies by at least a few inches.", "That way, the vibrating float assemblies ride solely on the wet concrete slurry and do not extend quite to the forms.", "However, in some implementations, the vibrating float assemblies may be configured to be the same width as the screed bar 107 so the outermost portions of the vibrating float assemblies ride on the forms just as the screed bar 107 does.", "Each vibrating float assembly has a float pan 161.The float pans 161 are constructed in various lengths, depending upon the length of the screed bar 107 to which they are attached.", "The float pans 161 attached to a particular screed bar 107 do not all necessarily need to be the same length.", "For example, a 17foot screed bar 107 for use on forms 197 that are 16 feet apart may have an 8 foot float pan 161 and a seven foot float pan 161 which are spaced 2 inches apart.", "This would leave 5 inches of space between the outmost edges of the float pans 161 and the forms 197.The float pan 161 features a lip that is bent upwards the full length of the pan.", "The bent lip may be from one to four inches wide.", "In typical implementations the bent lip is approximately two inches wide and the overall width of the pan is approximately twelve inches.", "The bent lip may be bent upwards from as little as 3 degrees to as much as 60 degrees.", "In typical implementations, the bent lip may be bent upwards from 35 to 55 degrees, with 45 degrees being a common amount.", "The flat bottom surface of the float pan 161 is generally configured to be wider than the bent lip portion, e.g., from 2 inches to 20 inches wide.", "In typical implementations, the flat bottom portion is from six to twelve inches wide.", "The float pan 161 may be constructed from a number of materials, including for example, aluminum, magnesium, steel, iron, wood, composite material, or the like.", "Each float pan 161 has mounted upon it a vibrating mechanism—typically an off-balance vibrating electric motor.", "The electric motor may either be wired to a power source back on the motorized vehicle such as the vehicle's battery, or may have a battery pack mounted in place with it on the float pan 161.The motor and battery pack are generally mounted towards the center of the float pan 161 to evenly distribute their weight across the wet concrete slurry.", "Each float pan 161 is affixed to the screed bar 107 by one or more float hinge mechanisms.", "The embodiment depicted in FIG.", "11A has a float hinge mechanism features a U-shaped member that fits snuggly over the screed bar 107.In some embodiments the U-shaped member may bolt, screw or otherwise be attached to the screed bar 107 so as to be more firmly attached than friction would allow.", "The hinge member 163 is rotatably connected to a hinge tab 165.The hinge tab 165 is affixed to the float bar 107 by welding, bolts, rivets, screws, or other ways of attaching materials together known to those of skill in the art.", "The hinge member 163 is rotatably connected to a hinge tab 165 by a bolt or pin, allowing it to rotate in the direction 169.Typically, the float hinge mechanism is configured to allow the float pan to hinge downward from horizontal by a limited amount somewhat less than 30 degrees.", "For example, in one embodiment the float pan can hinge downward an amount between 1 and 15 degrees—with an amount of downward hinging between 1 and 6 degrees being typical.", "To measure it another way, the hinge mechanism allows the float pan to hinge downward from 1 to 4 inches, as measured by the distance the float bar 107 is raised above the level of the forms 197 before the float pan's rear edge begins to come off the surface of the wet concrete slurry.", "In this way, the float pan will gently ride on top of the concrete slurry to a horizontal position as the screed bar 107 is lowered towards the forms 197.If the float pan is allowed to hinge down into too steep of an angle, it will gouge into the wet concrete slurry as the screed bar 107 is lowered.", "FIG.", "11B depicts an embodiment in which the float bar is in the form of a rotating float assembly, typically called a roller screed.", "The roller screed 187 is manipulated back and forth to smooth the surface of the wet concrete slurry.", "In various embodiments the direction of rotation at the point where the roller screed 187 meets the wet concrete slurry is in direction 171 towards the slurry yet to be smoothed, that is, towards the wet concrete slurry that has just been delivered by a concrete truck.", "In some embodiments (e.g., the embodiment of FIG.", "11B) the roller screed 187 may take the place of the screed bar 107 itself, shown in FIGS.", "1-6.In other embodiments one or more roller screed(s) 187 may be configured in the manner of the float pans attached behind the screed bar 107 as shown in FIG.", "11A.", "In various roller screed embodiments the roller screed 187 is rotated by one or more power units 185.The power unit 185 may be implemented in various forms, including for example, a gas or diesel engine, an electric motor, a hydraulic motor, a rotating shaft connected to the power take-off of the motorized vehicle, a rotating linkage connected to the engine of the motorized vehicle, or other like type of power unit known to those of ordinary skill in the art.", "In various embodiments the power unit 185 may be connected to the cross support bar 103.In various embodiments the power unit 185 may be connected to one or more of the lateral support bars 105.The power unit 185 may be controlled by a user to controllably rotate the roller screed 187.This allows the rotation speed of the roller screed 187 to be adjusted, and turned on and off, so as to accommodate different pouring conditions.", "In some embodiments equipped with both a roller screed 187 and an auger 181 the same power unit may be used to rotate both the roller screed 187 and the auger 181.In some roller screed embodiments the lateral support bars 105 may be configured to hinge upward as shown in FIG.", "11B.", "In other embodiments the lateral support bars 105 may be rigidly affixed to the cross support bar 103 without a provision to hinge upward.", "In yet other embodiments one or more springs may be provided to provide downward force on the roller screed 187 in addition to the weight of the roller screed 187 itself.", "In various embodiments the lateral support bars 105 may be substantially perpendicular to the cross support bar 103 as shown in FIG.", "11B.", "In other embodiments one or more of the lateral support bars 105 may be attached to the cross support bar 103 at angles other than substantially perpendicular to the cross support bar 103.For example, the lateral support bars 105 may angled relative to the cross support bar 103 outward away from the motorized vehicle.", "In various embodiments the roller screed 187 is rotatably connected at both ends to a roller support structure 189.The roller support structure 189 may be configured on the outside and above the roller screed 187 as shown in FIG.", "11B, or may be configured to pass through the center of roller screed 187.The support structure 189 is at least above the lower edge of roller screed 187 so as to avoid being dipped into the wet concrete slurry.", "In most implementations the support structure 189 is above the upper edge of the roller screed 187.The support structure 189 may be directly over the roller screed 187, or may be positioned above and either ahead of or behind the roller screed 187.Some embodiments are equipped with a screed connector 191.The screed connector 191 may be configured with a mechanical friction reduction component such as ball bearings, roller bearings, greased spindle and socket, or other such means of mechanical friction reduction as are known to those of ordinary skill in the art.", "The screed connector 191 may include one or more of a wheel or rollers to roll along the concrete forms or adjacent previously poured concrete surface.", "The screed connector 191 may be configured to accept a screed bar spacer 125 as shown in FIG.", "5.The description of the various embodiments provided above is illustrative in nature inasmuch as it is not intended to limit the invention, its application, or uses.", "Thus, variations that do not depart from the intents or purposes of the invention are intended to be encompassed by the various embodiments of the present invention.", "Such variations are not to be regarded as a departure from the intended scope of the present invention." ] ]
Patent_15875785
[ [ "Orientation Layer for Directed Self-Assembly Patterning Process", "Disclosed is a method of forming a semiconductor device using a self-assembly (DSA) patterning process.", "The method includes forming a patterned feature over a substrate; applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer has a first activation energy and the second polymer has a second activation energy; baking the substrate at first temperature thereby forming a first orientation layer that includes the first polymer; baking the substrate at second temperature thereby forming a second orientation layer that includes the second polymer; and performing a directed self-assembly (DSA) process over the first and the second orientation layers." ], [ "1.A method comprising: forming a patterned feature over a substrate, applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer has a first activation energy and the second polymer has a second activation energy; baking the substrate at first temperature thereby forming a first orientation layer that includes the first polymer; baking the substrate at second temperature thereby forming a second orientation layer that includes the second polymer; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "2.The method of claim 1, wherein the performing the DSA process includes: depositing a copolymer material over the first and the second orientation layers, wherein the copolymer material is directed self-assembling; and inducing microphase separation within the copolymer material thereby defining a first constituent of the copolymer material and a second constituent of the copolymer material.", "3.The method of claim 2, wherein the copolymer material includes one or more materials from a group consisting of polystyrene-block-polydimethylsiloxane block copolymer (PS-b-PDMS), polystyrene-block-polymethylmethacrylate (PS-b-PMMA), polyethyleneoxide-block-polyisoprene (PEO-b-PI), polyethyleneoxide-block-polybutadiene (PEO-b-PBD), polyethyleneoxide-block-polystyrene (PEO-b-PS), polyethyleneoxide-block-polymethylmethacrylate (PEO-b-PMMA), polyethyleneoxide-block-polyethylethylene (PEO-b-PEE), polystyrene-block-polyvinylpyridine (PS-b-PVP), polystyrene-block-polyisoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-block-polyvinylpyridine (PBD-b-PVP), and polyisoprene-block-polymethylmethacrylate (PI-b-PMMA).", "4.The method of claim 1, wherein the first activation energy is lower than the second activation energy and the first temperature is lower than the second temperature.", "5.The method of claim 1, wherein a thickness of the first orientation layer that includes the first polymer ranges between about 5 nanometers and about 10 nanometers.", "6.The method of claim 1, wherein the first temperature ranges between about 80° C. and about 150° C., and the second temperature ranges between about 180° C. and about 250° C. 7.The method of claim 1, wherein the first polymer includes a backbone that includes a hydroxyl group with a first amount of carbon side chains and the second polymer includes a backbone that includes a hydroxyl group with a second amount of carbon side chains, the first amount being greater than the second amount.", "8.A method comprising: providing a substrate having a patterned feature formed thereon; applying an orientation material that includes a first polymer and a second polymer over the substrate; forming a first orientation layer that includes the first polymer by baking the substrate and orientation material at a first temperature; forming a second orientation layer that includes the second polymer from a portion of the orientation material floating over the first orientation layer by baking the substrate at a second temperature higher than the first temperature; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "9.The method of claim 8, further comprising forming the second orientation layer directly on a sidewall of the patterned feature and directly over the first orientation layer.", "10.The method of claim 8, wherein a thickness of the first orientation layer that includes the first polymer ranges between about 5 nanometers and about 10 nanometers.", "11.The method of claim 8, wherein the first temperature ranges between about 80° C. and about 150° C., and the second temperature ranges between about 180° C. and about 250° C. 12.The method of claim 8, wherein the first polymer includes a backbone that includes a hydroxyl group with a first amount of carbon side chains and the second polymer includes a backbone that includes a hydroxyl group with a second amount of carbon side chains, the first amount being greater than the second amount.", "13.The method of claim 8, wherein performing the DSA process includes: depositing a copolymer material over the first and the second orientation layers, wherein the copolymer material is directed self-assembling; and inducing microphase separation within the copolymer material thereby defining a first constituent of the copolymer material and a second constituent of the copolymer material.", "14.The method of claim 13, wherein the copolymer material includes one or more materials from a group consisting of polystyrene-block-polydimethylsiloxane block copolymer (PS-b-PDMS), polystyrene-block-polymethylmethacrylate (PS-b-PMMA), polyethyleneoxide-block-polyisoprene (PEO-b-PI), polyethyleneoxide-block-polybutadiene (PEO-b-PBD), polyethyleneoxide-block-polystyrene (PEO-b-PS), polyethyleneoxide-block-polymethylmethacrylate (PEO-b-PMMA), polyethyleneoxide-block-polyethylethylene (PEO-b-PEE), polystyrene-block-polyvinylpyridine (PS-b-PVP), polystyrene-block-polyisoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-block-polyvinylpyridine (PBD-b-PVP), and polyisoprene-block-polymethylmethacrylate (PI-b-PMMA).", "15.A method comprising: providing a substrate having a patterned feature formed thereon; applying an orientation material that includes a first polymer and a second polymer over the substrate; forming a first orientation layer that includes the first polymer over a first portion of the substrate by baking the substrate at a first temperature; forming a second orientation layer that includes the second polymer over a second portion of the substrate including by baking the substrate at a second temperature higher than the first temperature; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "16.The method of claim 15, further comprising forming the second orientation layer from a floating portion of the orientation material directly on a sidewall of the patterned feature and directly over the first orientation layer.", "17.The method of claim 15, wherein the first polymer includes a hydroxyl functional group.", "18.The method of claim 15, wherein the first polymer includes a carboxyl functional group or an amide functional group.", "19.The method of claim 15, wherein treating the substrate includes applying a plasma-assisted dry etching process by flowing N2/H2 or O2.20.The method of claim 19, wherein applying the plasma-assisted dry etching process includes applying the plasma-assisted dry etching process at a temperature ranging from about 0° C. to about 80° C. and at pressure ranging from about 10 millitorr (mT) to about 60 mT." ], [ "<SOH> BACKGROUND <EOH>The present application is a divisional application of U.S. application Ser.", "No.", "14/918,310 filed on Oct. 20, 2015, which is hereby incorporated by reference in its entirety.", "The semiconductor integrated circuit (IC) industry has experienced exponential growth.", "Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation.", "In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.", "This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.", "Such scaling down has also increased the complexity of processing and manufacturing ICs.", "For example, as optical lithography approaches its technological and economical limits, a directed self-assembly (DSA) process emerges as a potential candidate for patterning dense features.", "A DSA process takes advantage of the self-assembling properties of materials, such as block copolymers (BCP), to reach nanoscale dimensions while meeting the constraints of current manufacturing.", "However, while existing DSA processes have been generally adequate for their intended purposes, they have not been entirely satisfactory in every aspect." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>The present disclosure is best understood from the following detailed description when read with the accompanying figures.", "It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only.", "In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.", "FIG.", "1 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "2A-2E are cross sectional views of forming a semiconductor device according to the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "3 shows a schematic view of the orientation material that is used in the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "4 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "5A-5E are cross sectional views of forming a semiconductor device according to the method of FIG.", "4 in accordance with some embodiments.", "FIG.", "6 shows a schematic view of the orientation material that is used in the method of FIG.", "4 in accordance with some embodiments.", "FIG.", "7 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "8A-8F are cross sectional views of forming a semiconductor device according to the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "9 shows a schematic view of the orientation material that is used in the method of FIG.", "7 in accordance with some embodiments.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "BACKGROUND The present application is a divisional application of U.S. application Ser.", "No.", "14/918,310 filed on Oct. 20, 2015, which is hereby incorporated by reference in its entirety.", "The semiconductor integrated circuit (IC) industry has experienced exponential growth.", "Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation.", "In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.", "This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.", "Such scaling down has also increased the complexity of processing and manufacturing ICs.", "For example, as optical lithography approaches its technological and economical limits, a directed self-assembly (DSA) process emerges as a potential candidate for patterning dense features.", "A DSA process takes advantage of the self-assembling properties of materials, such as block copolymers (BCP), to reach nanoscale dimensions while meeting the constraints of current manufacturing.", "However, while existing DSA processes have been generally adequate for their intended purposes, they have not been entirely satisfactory in every aspect.", "BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure is best understood from the following detailed description when read with the accompanying figures.", "It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only.", "In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.", "FIG.", "1 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "2A-2E are cross sectional views of forming a semiconductor device according to the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "3 shows a schematic view of the orientation material that is used in the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "4 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "5A-5E are cross sectional views of forming a semiconductor device according to the method of FIG.", "4 in accordance with some embodiments.", "FIG.", "6 shows a schematic view of the orientation material that is used in the method of FIG.", "4 in accordance with some embodiments.", "FIG.", "7 shows a flow chart of a method of fabricating a semiconductor device, according to various aspects of the present disclosure.", "FIGS.", "8A-8F are cross sectional views of forming a semiconductor device according to the method of FIG.", "1 in accordance with some embodiments.", "FIG.", "9 shows a schematic view of the orientation material that is used in the method of FIG.", "7 in accordance with some embodiments.", "DETAILED DESCRIPTION The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter.", "Specific examples of components and arrangements are described below to simplify the present disclosure.", "These are, of course, merely examples and are not intended to be limiting.", "For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact.", "In addition, the present disclosure may repeat reference numerals and/or letters in the various examples.", "This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.", "Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.", "The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.", "The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.", "The present disclosure is generally related to semiconductor devices, and more particularly to methods for manufacturing semiconductor devices with dense and nanoscale patterns.", "When fabricating dense patterns, a directed self-assembly (DSA) process may be used to enhance and augment the existing semiconductor manufacturing capabilities.", "In a typical DSA process, a block copolymer (BCP) film is formed over lithographically defined surfaces and a microphase separation is induced to cause the constituent polymer molecules to self-assemble, thus creating densely packed features with uniform dimensions and shapes.", "A BCP film or simply a BCP includes polymers comprised of at least two different polymer segments.", "These polymer segments are configured to assemble themselves into highly ordered structures under certain conditions such as for example, when they are exposed to an elevated temperature.", "In general, a neutral layer or an orientation layer is disposed on a surface of a substrate of a lithographically defined surface before forming the BCP film.", "The neutral layer has no affinity for either of the polymer segments of the BCP film.", "During a DSA process, the neutral layer may be able to lead/orientate a first polymer segment for directed self-assembly.", "However, in order to orientate a second polymer segment for directed self-assembly, at least one additional process (e.g., forming another neutral layer using the additional process to orientate the second polymer segment) is required.", "The forming the another neutral layer generally includes multiple process to be involved such as for example, removing part of the neutral layer that leads the first polymer segment, providing a pattern for the neutral layer that is to lead the second polymer segment, and removing the patterned neutral layer.", "In contrast, the present disclosure provides various embodiments of a method to form an improved neutral layer without requiring the above-identified additional processes.", "Referring now to FIG.", "1, a flow chart of a method 100 of forming a semiconductor device is illustrated according to various aspects of the present disclosure.", "Additional operations can be provided before, during, and after the method 100, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.", "The method 100 is briefly described below.", "Then, some embodiments of the method 100 will be described in conjunction with FIGS.", "2A, 2B, 2C, 2D, 2E, and 3.Referring to FIGS.", "1 and 2A, the method 100 begins at operation 102 by providing a substrate 202 with a pattern 204.The substrate 202 may include one or more layers of material or composition.", "For example, the substrate 202 may include an elementary semiconductor, such as silicon and germanium.", "The substrate 202 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.", "The substrate 202 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.", "The substrate 202 may also include an epitaxial layer.", "For example, the substrate 202 may have an epitaxial layer overlying a bulk semiconductor.", "Furthermore, the substrate 202 may include a semiconductor-on-insulator (SOI) structure.", "For example, the substrate 202 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.", "In some other embodiments, the substrate 202 may further include a top layer (not shown) overlaying a top surface of the substrate 202.As such, the top layer may include silicon oxide, silicon nitride, oxynitride, silicon carbide, titanium oxide, titanium nitride, tantalum oxide, tantalum nitride, and/or any suitable materials.", "In the illustrated embodiment of FIG.", "2A, pattern 204 may be a resist layer (e.g., a photoresist layer).", "The resist layer may be a positive resist or a negative resist, and may be a resist suitable for deep ultraviolet (DUV), extreme ultraviolet (EUV), electron beam (e-beam), and/or other lithography processes.", "In some specific embodiments, pattern 204 is formed of organic materials.", "While still falling within the scope of the present disclosure, the pattern 204 may be formed of one of a variety of materials that is suitable for forming a pattern.", "The pattern 204 may be formed by any suitable methods such as for example, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a spin-on coating, and/or any combination thereof.", "Referring still to FIG.", "2A, the substrate 202 includes an exposed top surface 203, and the pattern 204 includes a sidewall 205.According to the current embodiments, the top surface 203 of the substrate 202 and the sidewall 205 of the pattern 204 each includes a different surface characteristic.", "For example, the top surface 203 includes an oxidized surface which is more reactive while the sidewall 205 includes a surface that is formed of organic materials which is less reactive.", "Referring to FIG.", "1, the method 100 proceeds to operation 104 by providing an orientation layer that is formed of at least two polymers (e.g., a first polymer and a second polymer).", "In an embodiment, as illustrated in FIG.", "3, the orientation layer includes a first polymer 302 and a second polymer 304.More specifically, the first polymer 302 includes a polymer backbone 302′ and at least an atom/unit of the backbone 302′ is replaced with or modified by a group 303; and the second polymer 304 includes a polymer backbone 304′ and at least an atom/unit of the backbone 304′ is replaced with or modified by a group 305.The group 303 is a hydroxyl group with a higher amount of carbon side chains (e.g., carbon side chains >4) and the group 305 is a hydroxyl group with a lower amount of carbon side chains (e.g., carbon side chains <2).", "As such, the first polymer 302 may have a lower activation energy than the second polymer 304 may have.", "That is, the first polymer 302 with the lower activation energy may be activated at a first elevated temperature and the second polymer 304 with the higher activation energy may be activated at a second elevated temperature, wherein the first elevated temperature is lower than the second elevated temperature.", "Referring to FIGS.", "1 and 2B, the method 100 proceeds to operation 106 with forming a first orientation layer 208.In the example of the orientation material is formed of the polymers 302 and 304 with respect to FIG.", "3, as illustrated in FIG.", "2B, an orientation layer 208 is formed on the top surface 203 of the substrate by baking the substrate to temperature between about 80° C. and about 150° C., wherein the orientation layer 208 is formed of the first polymer 302 (lower activation energy).", "In some embodiments, during the formation of the orientation layer 208, another portion (higher activation energy) of the orientation material (i.e., the portion formed of the second polymer 304) may float above the formed orientation layer 208, illustrated as 210 in FIG.", "2B.", "That is, the portion 210 has not been formed as an orientation layer yet in operation 106.In some embodiments, the orientation layer 208 may have a thickness ranging between about 5 nanometers to about 10 nanometers.", "The method 100 continues to operation 108 with forming a second orientation layer.", "Continuing with the above example in which the orientation material is formed of the polymers in FIG.", "3, a second orientation layer 210′ that is formed of the second polymer 304 is formed on the sidewall 205 by baking the substrate to temperature between about 180° C. and about 250° C. as illustrated in the embodiment of FIG.", "2C.", "The method 100 proceeds to operation 110 with performing a directed self-assembly (DSA) process.", "In some embodiments, the operation 110 may include multiple processes in the following order: depositing a directed self-assembly (DSA) block copolymer (BCP) layer 212 over the first orientation layer 208 and the second orientation layer 210 (as illustrated in FIG.", "2D); applying an elevated temperature to anneal the BCP layer 212 thereby achieving segregation in the BCP layer 212 to form first and second polymer nanostructures, 214 and 216 (as illustrated in FIG.", "2E).", "Generally, a BCP material includes polymers comprised of at least two different polymer segments, and these polymer segments can assemble themselves into highly ordered structures under certain conditions, such as when they are exposed to an elevated temperature.", "In the current embodiment, the BCP layer 212 may include one or more of polystyrene-block-polydimethylsiloxane block copolymer (PS-b-PDMS), polystyrene-block-polymethylmethacrylate (PS-b-PMMA), polyethyleneoxide-block-polyisoprene (PEO-b-PI), polyethyleneoxide-block-polybutadiene (PEO-b-PBD), polyethyleneoxide-block-polystyrene (PEO-b-PS), polyethyleneoxide-block-polymethylmethacrylate (PEO-b-PMMA), polyethyleneoxide-block-polyethylethylene (PEO-b-PEE), polystyrene-block-polyvinylpyridine (PS-b-PVP), polystyrene-block-polyisoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-block-polyviny.", "Still referring to FIGS.", "1 and 2E, during the DSA process (i.e., operation 110), by choosing the height of the pattern 204 (i.e., h1), the height of the first orientation layer 208 (i.e., h2), and the materials of the BCP layer 212, the first and second polymer nanostructures 214 and 216 are formed such that they have a height approximately same as the difference of the heights h1 and h2, they are parallel to each other.", "In the illustrated embodiment of FIG.", "2E, the first and second polymer nanostructures 214 and 216 are aligned along direction X, and each of them extends in a direction (i.e., Y axis in FIG.", "2E) that is parallel to the sidewall 205.However, the BCP layer 212 may be formed into a variety of shapes that are regularly aligned such as for example, a sphere, a cylinder, a lamellae, and any suitable shape known in the art.", "The formed polymer nanostructures 214 and 216 may be later used as a pattern for a further fabrication step.", "In an example, one of the polymer nanostructures (e.g., 214) may be etched away and the other polymer nanostructure (e.g., 216) may be left on the substrate as a hard mask layer to transfer a pattern onto the substrate thereby reaching a finer critical dimension (CD).", "Referring now to FIG.", "4, a flow chart of another method 400 of forming a semiconductor device is illustrated according to various aspects of the present disclosure.", "Additional operations can be provided before, during, and after the method 400, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.", "The method 400 is briefly described below.", "Then, some embodiments of the method 400 will be described in conjunction with FIGS.", "5A, 5B, 5C, 5D, 5E, and 6.Referring to FIGS.", "4 and 5A, the method 400 begins at operation 402 by providing a substrate 502 with a pattern 504.The substrate 502 may include one or more layers of material or composition.", "For example, the substrate 502 may include an elementary semiconductor, such as silicon and germanium.", "The substrate 502 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.", "The substrate 502 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.", "The substrate 502 may also include an epitaxial layer.", "For example, the substrate 502 may have an epitaxial layer overlying a bulk semiconductor.", "Furthermore, the substrate 502 may include a semiconductor-on-insulator (SOI) structure.", "For example, the substrate 502 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.", "In some other embodiments, the substrate 502 may further include a top layer (not shown) overlaying a top surface of the substrate 502.As such, the top layer may include silicon oxide, silicon nitride, oxynitride, silicon carbide, titanium oxide, titanium nitride, tantalum oxide, tantalum nitride, and/or any suitable materials.", "In the illustrated embodiment of FIG.", "5A, pattern 504 may be a resist layer (e.g., a photoresist layer).", "The resist layer may be a positive resist or a negative resist, and may be a resist suitable for deep ultraviolet (DUV), extreme ultraviolet (EUV), electron beam (e-beam), and/or other lithography processes.", "In some specific embodiments, pattern 504 is formed of organic materials.", "While still falling within the scope of the present disclosure, the pattern 504 may be formed of one of a variety of materials that is suitable for forming a pattern.", "The pattern 504 may be formed by any suitable methods such as for example, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a spin-on coating, and/or any combination thereof.", "Referring still to FIG.", "5A, the substrate 502 includes an exposed top surface 503, and the pattern 504 includes a sidewall 505.According to the current embodiments, the top surface 503 of the substrate 502 and the sidewall 505 of the pattern 504 each includes a different surface characteristic.", "For example, the top surface 503 includes an oxidized surface which is more reactive to a functional group (e.g., a hydroxyl group) while the sidewall 505 includes a surface that is formed of organic materials which is less reactive to the hydroxyl group but more reactive to another functional group (e.g., an aromatic group).", "Referring to FIG.", "4, the method 400 proceeds to operation 404 by providing an orientation material that is formed of at least two polymers (e.g., a first polymer and a second polymer).", "In an embodiment, as illustrated in FIG.", "6, the orientation material includes a first polymer 602 and a second polymer 604.More specifically, the first polymer 602 includes a polymer backbone 602′ and the polymer backbone 602′ is terminated with a functional group 603; and the second polymer 604 includes a polymer backbone 604′ and the polymer backbone 604′ is terminated with a functional group 605.The functional group 603 includes at least a hydroxyl group, a carboxyl group, and/or an amide group; the functional group 605 includes at least an aromatic group.", "Referring to FIGS.", "4 and 5B, the method 400 proceeds to operation 406 with forming a first orientation layer 508.In the example of the orientation material is formed of the polymers 602 and 604 with respect to FIG.", "6, as illustrated in FIG.", "5B, an orientation layer 508 is formed on the top surface 503 of the substrate, wherein the orientation layer 508 is formed of the first polymer 602.In some embodiments, during the formation of the orientation layer 508, another portion of the orientation material (i.e., the portion formed of the second polymer 604) may float above the formed orientation layer 508, which is illustrated as 510 in FIG.", "5B.", "That is, the portion 510 has not been formed as an orientation layer yet in operation 406.In some embodiments, the orientation layer 508 may have a thickness ranging between about 5 nanometers to about 10 nanometers.", "The method 400 continues to operation 408 with forming a second orientation layer.", "Continuing with the above example in which the orientation material is formed of the polymers in FIG.", "6, a second orientation layer 610′ that is formed of the second polymer 604 is formed on the sidewall 605 by baking the substrate to temperature between about 180° C. and about 250° C. as illustrated in the embodiment of FIG.", "5C.", "The method 400 proceeds to operation 410 with performing a directed self-assembly (DSA) process.", "In some embodiments, the operation 410 may include multiple processes in the following order: depositing a directed self-assembly (DSA) block copolymer (BCP) layer 512 over the first orientation layer 508 and the second orientation layer 510 (as illustrated in FIG.", "5D); applying an elevated temperature to anneal the BCP layer 512 thereby achieving segregation in the BCP layer 512 to form first and second polymer nanostructures, 514 and 516 (as illustrated in FIG.", "5E).", "Generally, a BCP material includes polymers comprised of at least two different polymer segments, and these polymer segments can assemble themselves into highly ordered structures under certain conditions, such as when they are exposed to an elevated temperature.", "In the current embodiment, the BCP layer 512 may include one or more of polystyrene-block-polydimethylsiloxane block copolymer (PS-b-PDMS), polystyrene-block-polymethylmethacrylate (PS-b-PMMA), polyethyleneoxide-block-polyisoprene (PEO-b-PI), polyethyleneoxide-block-polybutadiene (PEO-b-PBD), polyethyleneoxide-block-polystyrene (PEO-b-PS), polyethyleneoxide-block-polymethylmethacrylate (PEO-b-PMMA), polyethyleneoxide-block-polyethylethylene (PEO-b-PEE), polystyrene-block-polyvinylpyridine (PS-b-PVP), polystyrene-block-polyisoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-block-polyviny.", "Still referring to FIGS.", "4 and 5E, during the DSA process (i.e., operation 510), by choosing the height of the pattern 504 (i.e., h1), the height of the first orientation layer 508 (i.e., h2), and the materials of the BCP layer 512, the first and second polymer nanostructures 514 and 516 are formed such that they have a height approximately same as the difference of the heights h1 and h2, they are parallel to each other.", "In the illustrated embodiment of FIG.", "5E, the first and second polymer nanostructures 514 and 516 are aligned along direction X, and each of them extends in a direction (i.e., Y axis in FIG.", "5E) that is parallel to the sidewall 505.However, the BCP layer 512 may be formed into a variety of shapes that are regularly aligned such as for example, a sphere, a cylinder, a lamellae, and any suitable shape known in the art.", "The formed polymer nanostructures 514 and 516 may be later used as a pattern for a further fabrication step.", "In an example, one of the polymer nanostructures (e.g., 514) may be etched away and the other polymer nanostructure (e.g., 516) may be left on the substrate as a hard mask layer to transfer a pattern onto the substrate thereby reaching a finer critical dimension (CD).", "Referring now to FIG.", "7, a flow chart of yet another method 700 of forming a semiconductor device is illustrated according to various aspects of the present disclosure.", "Additional operations can be provided before, during, and after the method 700, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.", "The method 700 is briefly described below.", "Then, some embodiments of the method 700 will be described in conjunction with FIGS.", "8A, 8B, 8C, 8D, 8E, 8F, and 9.Referring to FIGS.", "7 and 8A, the method 700 begins at operation 702 by providing a substrate 802 with a pattern 804.The substrate 802 may include one or more layers of material or composition.", "For example, the substrate 802 may include an elementary semiconductor, such as silicon and germanium.", "The substrate 802 may also include a compound semiconductor such as silicon carbide, gallium arsenic, indium arsenide, and indium phosphide.", "The substrate 802 may include an alloy semiconductor such as silicon germanium, silicon germanium carbide, gallium arsenic phosphide, and gallium indium phosphide.", "The substrate 802 may also include an epitaxial layer.", "For example, the substrate 802 may have an epitaxial layer overlying a bulk semiconductor.", "Furthermore, the substrate 802 may include a semiconductor-on-insulator (SOI) structure.", "For example, the substrate 802 may include a buried oxide (BOX) layer formed by a process such as separation by implanted oxygen (SIMOX) or other suitable technique, such as wafer bonding and grinding.", "In some other embodiments, the substrate 802 may further include a top layer (not shown) overlaying a top surface of the substrate 802.As such, the top layer may include silicon oxide, silicon nitride, oxynitride, silicon carbide, titanium oxide, titanium nitride, tantalum oxide, tantalum nitride, and/or any suitable materials.", "In the illustrated embodiment of FIG.", "8A, pattern 804 may be a resist layer (e.g., a photoresist layer).", "The resist layer may be a positive resist or a negative resist, and may be a resist suitable for deep ultraviolet (DUV), extreme ultraviolet (EUV), electron beam (e-beam), and/or other lithography processes.", "In some specific embodiments, pattern 804 is formed of organic materials.", "While still falling within the scope of the present disclosure, the pattern 804 may be formed of any of a variety of materials that is suitable for forming a pattern.", "The pattern 804 may be formed by any suitable methods such as for example, a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a spin-on coating, and/or any combination thereof.", "Referring still to FIG.", "8A, the substrate 802 includes an exposed top surface 803, and the pattern 804 includes a sidewall 805.In some embodiments, the top surface 803 of the substrate 802 and the sidewall 805 of the pattern 804 each includes a different surface characteristic.", "However, in some alternative embodiments, the top surface 803 of the substrate 802 and the sidewall 805 of the pattern 804 may each have a substantial similar surface characteristic.", "The method continues in operation 704 with applying a treatment 807 on the substrate 802 (more specifically, on the top surface 803 of the substrate), as illustrated in FIG.", "8B.", "In some embodiments, the treatment 807 may include a plasma-assisted dry etching process by flowing N2/H2 and/or O2.The etching process may be performed at temperature ranging from about 0° C. to about 80° C. and at pressure ranging from about 10 millitorr (mT) to about 60 mT.", "As illustrated in FIG.", "8B, the etching process (i.e., 807) may be an anisotropic process (perpendicular to the top surface 803) and thus only the top surface is treated or only the top surface's surface characteristic is changed while the sidewall 805 remains intact.", "As such, after the treatment 807, the top surface 803 may include a hydrophilic surface while the sidewall 805 may include a hydrophobic surface.", "Referring to FIG.", "7, the method 700 proceeds to operation 706 with providing an orientation material that is formed of at least two polymers (e.g., a first polymer and a second polymer).", "In an embodiment, as illustrated in FIG.", "9, the orientation material includes a first polymer 902 and a second polymer 904.More specifically, the first polymer 902 includes a polymer backbone 902′ and the polymer backbone 902′ is terminated with a functional group 903; and the second polymer 904 includes a polymer backbone 904′ and the polymer backbone 904′ is terminated with a functional group 905.The functional group 903 is a hydrophilic group that is selected form a group consisting of a hydroxyl group, a carboxyl group, and an amide group; the functional group 905 is a hydrophobic group that is selected form a group consisting of a ketone group and an ether group.", "Referring to FIGS.", "7 and 8B, the method 700 proceeds to operation 708 with forming a first orientation layer 808.In the example of the orientation material is formed of the polymers 902 and 904 with respect to FIG.", "9, as illustrated in FIG.", "8C, an orientation layer 808 is formed on the top surface 803 of the substrate, wherein the orientation layer 808 is formed of the first polymer 902.By applying the treatment 807 on the substrate, the first polymer 902 terminated with the functional group 903 that includes a hydrophilic group may be more reactive to attach to the top surface 803 while the portion of the orientation material formed of the second polymer 905 may float above the orientation layer 808.That is, during the formation of the orientation layer 808, another portion of the orientation material (i.e., the portion formed of the second polymer 904) may float above the formed orientation layer 808, which is illustrated as 810 in FIG.", "8B.", "In some embodiments, the portion 810 has not been formed as an orientation layer yet in operation 708.In some embodiments, the orientation layer 808 may have a thickness ranging between about 5 nanometers to about 10 nanometers.", "The method 700 continues to operation 710 with forming a second orientation layer.", "Continuing with the above example in which the orientation material is formed of the polymers in FIG.", "9, a second orientation layer 810′ that is formed of the second polymer 904 is formed on the sidewall 805 by baking the substrate to temperature between about 180° C. and about 250° C. as illustrated in the embodiment of FIG.", "8D.", "The method 700 proceeds to operation 712 with performing a directed self-assembly (DSA) process.", "In some embodiments, the operation 712 may include multiple processes in the following order: depositing a directed self-assembly (DSA) block copolymer (BCP) layer 812 over the first orientation layer 808 and the second orientation layer 810 (as illustrated in FIG.", "8E); applying an elevated temperature to anneal the BCP layer 812 thereby achieving segregation in the BCP layer 812 to form first and second polymer nanostructures, 814 and 816 (as illustrated in FIG.", "8F).", "Generally, a BCP material includes polymers comprised of at least two different polymer segments, and these polymer segments can assemble themselves into highly ordered structures under certain conditions, such as when they are exposed to an elevated temperature.", "In the current embodiment, the BCP layer 812 may include one or more of polystyrene-block-polydimethylsiloxane block copolymer (PS-b-PDMS), polystyrene-block-polymethylmethacrylate (PS-b-PMMA), polyethyleneoxide-block-polyisoprene (PEO-b-PI), polyethyleneoxide-block-polybutadiene (PEO-b-PBD), polyethyleneoxide-block-polystyrene (PEO-b-PS), polyethyleneoxide-block-polymethylmethacrylate (PEO-b-PMMA), polyethyleneoxide-block-polyethylethylene (PEO-b-PEE), polystyrene-block-polyvinylpyridine (PS-b-PVP), polystyrene-block-polyisoprene (PS-b-PI), polystyrene-block-polybutadiene (PS-b-PBD), polystyrene-block-polyferrocenyldimethylsilane (PS-b-PFS), polybutadiene-block-polyviny.", "Still referring to FIGS.", "7 and 8F, during the DSA process (i.e., operation 712), by choosing the height of the pattern 804 (i.e., h1), the height of the first orientation layer 808 (i.e., h2), and the materials of the BCP layer 812, the first and second polymer nanostructures 814 and 816 are formed such that they have a height approximately same as the difference of the heights h1 and h2, they are parallel to each other.", "In the illustrated embodiment of FIG.", "8F, the first and second polymer nanostructures 814 and 816 are aligned along direction X, and each of them extends in a direction (i.e., Y axis in FIG.", "8F) that is parallel to the sidewall 805.However, the BCP layer 812 may be formed into a variety of shapes that are regularly aligned such as for example, a sphere, a cylinder, a lamellae, and any suitable shape known in the art.", "The formed polymer nanostructures 814 and 816 may be later used as a pattern for a further fabrication step.", "In an example, one of the polymer nanostructures (e.g., 814) may be etched away and the other polymer nanostructure (e.g., 816) may be left on the substrate as a hard mask layer to transfer a pattern onto the substrate thereby reaching a finer critical dimension (CD).", "Although in the above discussion and embodiments, the first polymer (e.g., 303, 603, and 903) is to form the first orientation layer on the top surface of the substrate (e.g., 203, 503, and 803) first and then the second polymer (e.g., 305, 605, and 905) is to form the second orientation layer on the sidewall of the pattern (e.g., 205, 505, and 805), in some alternative embodiments, the first orientation layer formed of the first polymer may be formed on the sidewall of the pattern first and the second orientation layer formed of the second polymer may be later formed on the top surface of the substrate.", "For example, if the sidewall 205 has a more reactive surface than the top surface 203, the first polymer 302 with the lower activation energy may form a first orientation layer on the sidewall 205 by baking at a lower temperature and then the second polymer 304 with the higher activation energy may later from another orientation layer on the top surface by baking at a higher temperature.", "Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof.", "For example, embodiments of the present disclosure provide methods for forming densely packed DSA patterns with uniformity and precision by using only one orientation material for a DSA process.", "In some embodiments, the orientation material may be formed into two orientation layers and each of the orientation layers is configured to guide a DSA process of one of the polymer segments of a BCP material.", "Without requiring a complicated patterning process and/or an additional material, such two orientation layers may be formed on respective surface.", "Additionally, embodiments of the present disclosure can be easily integrated into existing fabrication flow.", "In addition, even though two DSA processes are illustrated above, embodiments of the present disclosure may include more than two DSA processes where one builds upon another accumulatively.", "In one exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device.", "The method includes forming a patterned feature over a substrate; applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer has a first activation energy and the second polymer has a second activation energy; baking the substrate at first temperature thereby forming a first orientation layer that includes the first polymer; baking the substrate at second temperature thereby forming a second orientation layer that includes the second polymer; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "In another exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device.", "The method includes providing a substrate having a patterned feature formed thereon; applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer is terminated with a first functional group and the second polymer is terminated with a second functional group; forming a first orientation layer that includes the first polymer on the substrate and forming a second orientation layer that includes the second polymer on the patterned feature; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "In another exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device.", "The method includes providing a substrate having a patterned feature formed thereon; treating the substrate thereby causing a first portion of the substrate to be hydrophilic; applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer is terminated with a first functional group and the second polymer is terminated with a second functional group; forming a first orientation layer that includes the first polymer over the first portion of the substrate and forming a second orientation layer that includes the second polymer over the patterned feature; and performing a directed self-assembly (DSA) process over the first and the second orientation layers.", "The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure.", "Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein.", "Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure." ] ]
Patent_15875788
[ [ "OPERATION METHOD OF ELECTRIC POWER SOURCE DEVICE, ELECTRIC POWER SOURCE DEVICE, AND HIGH-FREQUENCY TREATMENT SYSTEM", "A method of operating a power source device for a high-frequency treatment instrument adapted to perform high-frequency treatment for biological tissue includes causing a high-frequency power source circuit to output power; setting a target impedance value which gradually increases from a change-over impedance value to a stop impedance value; regularly comparing a measured impedance value to the target impedance value; causing the high-frequency power source circuit to lower the power by a first ratio if the measured impedance value is greater than the target impedance value, and to raise the power by a second ratio if the measured impedance value is smaller than the target impedance value; and causing the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value." ], [ "1.A method of operating a power source device for a high-frequency treatment instrument adapted to perform high-frequency treatment for biological tissue, the method comprising: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, a value for impedance of the biological tissue during the output; setting, by the control circuit, a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output; repeating, by the control circuit, a first processing and a second processing on a regular basis for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value, and wherein, in the first processing, the measured impedance value is compared to the target impedance value, and in the second processing, the high-frequency power source circuit is caused to change the power being output to decrease from current power in line with a first rule if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to change the power being output to increase from current power in line with a second rule if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "2.The method according to claim 11, wherein the first ratio is equal to the second ratio.", "3.The method according to claim 11, wherein the first ratio is unequal to the second ratio.", "4.The method according to claim 1, further comprising acquiring, by the control circuit, an initial impedance value which is the value for impedance within a predetermined period after starting the output, wherein the target impedance value varies a speed of increase according to the initial impedance value.", "5.The method according to claim 1, wherein the target impedance value varies a speed of increase according to a set output value.", "6.The method according to claim 1, wherein the target impedance value increases linearly with time.", "7-8.", "(canceled) 9.A power source device for a high-frequency treatment instrument adapted to perform high-frequency treatment for biological tissue, the power source device comprising: a high-frequency power source circuit which outputs power; an output detection circuit which detects the output; and a control circuit which acquires information about the output from the output detection circuit and controls the high-frequency power source circuit, wherein the control circuit is configured to cause the high-frequency power source circuit to output the power, acquire a value for impedance of the biological tissue during the output, set a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output, repeat a first processing and a second processing on a regular basis for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and wherein, in the first processing, the measured impedance value is compared to the target impedance value, and in the second processing, the high-frequency power source circuit is caused to change the power being output to decrease from current power in line with a first rule if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to change the power being output to increase from current power in line with a second rule if the measured impedance value is smaller than the target impedance value, and cause the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "10.", "(canceled) 11.The method according to claim 1, wherein decreasing the power in line with the first rule is decreasing the power from current power by a fixed first ratio, and increasing the power in line with the second rule is increasing the power from current power by a fixed second ratio.", "12.The method according to claim 3, wherein the first ratio is greater than the second ratio.", "13.The method according to claim 1, wherein decreasing the power in line with the first rule is decreasing the power from current power by a fixed first value, and increasing the power in line with the second rule is increasing the power from current power by a fixed second value.", "14.The method according to claim 13, wherein the first value is equal to the second value.", "15.The method according to claim 13, wherein the first value is unequal to the second value.", "16.The method according to claim 15, wherein the first value is greater than the second value.", "17.The power source device according to claim 9, wherein decreasing the power in line with the first rule is decreasing the power from current power by a fixed first ratio, and increasing the power in line with the second rule is increasing the power from current power by a fixed second ratio.", "18.The power source device according to claim 17, wherein the first ratio is equal to the second ratio.", "19.The power source device according to claim 17, wherein the first ratio is unequal to the second ratio.", "20.The power source device according to claim 19, wherein the first ratio is greater than the second ratio.", "21.The power source device according to claim 9, wherein decreasing the power in line with the first rule is decreasing the power from current power by a fixed first value, and increasing the power in line with the second rule is increasing the power from current power by a fixed second value.", "22.The power source device according to claim 21, wherein the first value is equal to the second value.", "23.The power source device according to claim 21, wherein the first value is greater than the second value." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> BRIEF SUMMARY OF THE INVENTION <EOH>According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, a value for impedance of the biological tissue during the output; setting, by the control circuit, a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a fixed first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a fixed second ratio if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, a value for impedance of the biological tissue during the output; setting, by the control circuit, a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a fixed first value if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a fixed second value if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, an initial impedance value which is a value for impedance of the biological tissue within a first period after starting the output; determining, by the control circuit, a ratio of increase of output voltage relative to time, based on the initial impedance value; causing, by the control circuit, the high-frequency power source circuit to increase an output voltage according to the ratio of increase, for a second period after the first period; acquiring, by the control circuit, a value for impedance of the biological tissue during and after the second period; terminating, by the control circuit, the second period upon the value for impedance reaching a change-over impedance value representing a minimum value; determining, by the control circuit, an additional impedance value based on the initial impedance value; setting, by the control circuit, a stop impedance value which is a sum of the change-over impedance value and the additional impedance value; setting, by the control circuit, a gradually increasing target impedance value for a third period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for the third period, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a second ratio if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The power source device comprises: a high-frequency power source circuit which outputs power; an output detection circuit which detects the output; and a control circuit which acquires information about the output from the output detection circuit and controls the high-frequency power source circuit.", "The control circuit is configured to cause the high-frequency power source circuit to output the power, acquire a value for impedance of the biological tissue during the output, set a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output, perform a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a second ratio if the measured impedance value is smaller than the target impedance value, and cause the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the invention, a high-frequency treatment system includes the above-mentioned electric power source device; and the high-frequency treatment instrument.", "Advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention.", "The advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a Continuation Application of PCT Application No.", "PCT/JP2016/064616, filed May 17, 2016 and based upon and claiming the benefit of priority from prior Japanese Patent Application No.", "2015-150480, filed Jul.", "30, 2015, the entire contents of all of which are incorporated herein by reference.", "BACKGROUND OF THE INVENTION 1.Field of the Invention The present invention relates to an operation method of an electric power source device for operating a high-frequency treatment instrument, an electric power source device, and a high-frequency treatment system.", "2.Description of the Related Art In general, there is known a high-frequency treatment system which performs a treatment by grasping a biological tissue, which is a treatment target, by a pair of grasping members, and by supplying high-frequency electric power to the biological tissue.", "In this system, the biological tissue grasped by the grasping members is heated by a high-frequency current flowing through the biological tissue.", "This high-frequency treatment system is used for, for example, sealing a blood vessel.", "In the high-frequency treatment system, in order to improve the precision and efficiency of the treatment, it is required to appropriately adjust an output voltage and an output current.", "For example, Jpn.", "Pat.", "Appln.", "KOKAI Publication No.", "H8-98845 discloses a technique relating to controlling an output by paying attention to an impedance value of a biological tissue.", "Specifically, in this technique, a maximum value and a minimum value of the impedance value measured at an initial stage of a treatment are specified.", "The impedance value, which is measured during the treatment, rises after taking a minimum value.", "In the process of rising, the output is stopped when the impedance value reaches a predetermined value between the specified maximum value and minimum value.", "It is considered preferable that this value between the maximum value and minimum value is, for example, a mean value between the maximum value and minimum value.", "In addition, for example, Jpn.", "Pat.", "Appln.", "KOKAI Publication No.", "2012-196458 discloses a technique relating to setting a target value with respect to the transition of the impedance value during the treatment, and controlling the output such that this target value and the measured actual impedance value become equal.", "In the high-frequency treatment system, since the adjustment of the output voltage and output current affects the precision and efficiency of the treatment, it is required that the output voltage and output current be adjusted more appropriately.", "In addition, it is known that the optimal output voltage and output current vary in accordance with a treatment target.", "Accordingly, it is required that the output voltage and output current be adjusted in accordance with a treatment target.", "BRIEF SUMMARY OF THE INVENTION According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, a value for impedance of the biological tissue during the output; setting, by the control circuit, a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a fixed first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a fixed second ratio if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, a value for impedance of the biological tissue during the output; setting, by the control circuit, a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a fixed first value if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a fixed second value if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a method of operating a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The method comprises: causing, by a control circuit, a high-frequency power source circuit to output power; acquiring, by the control circuit, an initial impedance value which is a value for impedance of the biological tissue within a first period after starting the output; determining, by the control circuit, a ratio of increase of output voltage relative to time, based on the initial impedance value; causing, by the control circuit, the high-frequency power source circuit to increase an output voltage according to the ratio of increase, for a second period after the first period; acquiring, by the control circuit, a value for impedance of the biological tissue during and after the second period; terminating, by the control circuit, the second period upon the value for impedance reaching a change-over impedance value representing a minimum value; determining, by the control circuit, an additional impedance value based on the initial impedance value; setting, by the control circuit, a stop impedance value which is a sum of the change-over impedance value and the additional impedance value; setting, by the control circuit, a gradually increasing target impedance value for a third period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value; performing, by the control circuit, a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for the third period, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a second ratio if the measured impedance value is smaller than the target impedance value; and causing, by the control circuit, the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the present invention, a power source device for a high-frequency treatment instrument is adapted to perform high-frequency treatment for biological tissue.", "The power source device comprises: a high-frequency power source circuit which outputs power; an output detection circuit which detects the output; and a control circuit which acquires information about the output from the output detection circuit and controls the high-frequency power source circuit.", "The control circuit is configured to cause the high-frequency power source circuit to output the power, acquire a value for impedance of the biological tissue during the output, set a target impedance value, wherein the target impedance value gradually increases from a change-over impedance value to a stop impedance value within a predetermined period, the change-over impedance value being equal to or greater by a predetermined value than a minimum value of the value for impedance, the stop impedance value representing termination of the output, perform a comparison to cause the high-frequency power source circuit to lower or raise the power being output, for a period after the value for impedance reaching the change-over impedance value until the value for impedance reaching the stop impedance value, wherein the value for impedance is adopted as a measured impedance value and the measured impedance value is regularly compared to the target impedance value, and wherein the high-frequency power source circuit is caused to lower the power by a first ratio if the measured impedance value is greater than the target impedance value, and the high-frequency power source circuit is caused to raise the power by a second ratio if the measured impedance value is smaller than the target impedance value, and cause the high-frequency power source circuit to terminate the output upon the value for impedance reaching the stop impedance value.", "According to an aspect of the invention, a high-frequency treatment system includes the above-mentioned electric power source device; and the high-frequency treatment instrument.", "Advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention.", "The advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.", "BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the invention, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the invention.", "FIG.", "1 is a view which schematically illustrates an example of the external appearance of a high-frequency treatment system according to an embodiment.", "FIG.", "2 is a block diagram which schematically illustrates a configuration example of the high-frequency treatment system according to the embodiment.", "FIG.", "3 is a flowchart illustrating an example of the operation of the high-frequency treatment system according to the embodiment.", "FIG.", "4 shows an example of variations of an electric power, a voltage, a current and an impedance relative to time in the high-frequency treatment system according to the embodiment.", "FIG.", "5 is a flowchart illustrating an example of first control of the high-frequency treatment system according to the embodiment.", "FIG.", "6 shows an example of the relationship between duration of application of a voltage to a biological tissue in second control and a vessel burst pressure of a blood vessel which is sealed by the treatment.", "FIG.", "7 is a flowchart illustrating an example of the second control of the high-frequency treatment system according to the embodiment.", "FIG.", "8 shows an example of a table including a relationship between an initial resistance value and an additional resistance value, which are used in the high-frequency treatment system according to the embodiment.", "FIG.", "9 shows an example of a table including a relationship between an initial resistance value, duration and an additional resistance value, which are used in the high-frequency treatment system according to the embodiment.", "FIG.", "10 shows an example of a graph of a target resistance value versus time in the high-frequency treatment system according to the embodiment.", "FIG.", "11 shows an example of a graph of an output electric power and a resistance value versus time in the high-frequency treatment system according to the embodiment.", "FIG.", "12 is a flowchart illustrating an example of third control of the high-frequency treatment system according to the embodiment.", "DETAILED DESCRIPTION OF THE INVENTION An embodiment of the present invention will be described hereinafter with reference to the accompanying drawings.", "FIG.", "1 is a schematic view of a high-frequency treatment system 10 according to the present embodiment.", "As illustrated in this Figure, the high-frequency treatment system 10 includes a high-frequency treatment instrument 100 which functions as a high-frequency treatment instrument, an electric power source device 200 which supplies electric power to the treatment instrument, and a footswitch 290.The high-frequency treatment instrument 100 includes a treatment portion 110, a shaft 160, and an operation portion 170.For the purpose of descriptions below, the treatment portion 110 side is referred to as a distal side, and the operation portion 170 side is referred to as a proximal side.", "The high-frequency treatment system 10 is configured to grasp a biological tissue, such as a blood vessel, which is a treatment target, by the treatment portion 110.The high-frequency treatment system 10 applies a high-frequency voltage to the grasped biological tissue, thereby sealing this biological tissue.", "The treatment portion 110, which is provided at a distal end of the shaft 160, is provided with a first grasping member 112 and a second grasping member 114, which are a pair of grasping members.", "Those parts of the first grasping member 112 and second grasping member 114, which come in contact with the biological tissue, function as electrodes, respectively.", "Specifically, the first grasping member 112 and second grasping member 114 function as bipolar electrodes.", "The operation portion 170 is provided an operation portion main body 172, a stationary handle 174, a movable handle 176, and an output switch 178.The stationary handle 174 is fixed to the operation portion main body 172, and the movable handle 176 is displaced relative to the operation portion main body 172.The movable handle 176 is connected to a wire or a rod, which is inserted through the shaft 160.This wire or rod is connected to the second grasping member 114.The movement of the movable handle 176 is transmitted to the second grasping member 114.The second grasping member 114 is displaced relative to the first grasping member 112 in accordance with the movement of the movable handle 176.As a result, the first grasping member 112 and second grasping member 114 open or close relative to each other.", "The output switch 178 includes, for example, two buttons.", "These buttons are buttons which are pressed when high-frequency electric power is made to act on the biological tissue, which is the treatment target, by the treatment portion 110.The electric power source device 200, which detects the pressing of the button, applies a high-frequency voltage between the first grasping member 112 and second grasping member 114.As a result, the biological tissue, which is grasped by the treatment portion 110, is sealed.", "The high-frequency treatment instrument 100 is configured, for example, such that the output level varies depending on which of the two buttons is pressed.", "The footswitch 290 is also provided with, for example, two switches.", "The two respective switches of the footswitch 290 have the same functions as the respective buttons of the output switch 178.The high-frequency treatment system 10 may be provided with both the output switch 178 and the footswitch 290, or may be provided with one of them.", "Hereinafter, a description will be given on the assumption that the output switch 178 is mainly operated, but the footswitch 290 may be operated.", "One end of a cable 180 is connected to the proximal side of the operation portion 170.The other end of the cable 180 is connected to the electric power source device 200.The electric power source device 200 controls the operation of the high-frequency treatment instrument 100, and supplies electric power to the high-frequency treatment instrument 100.FIG.", "2 is a block diagram which schematically illustrates a configuration example of the electric power source device 200.The electric power source device 200 includes a control circuit 210, a high-frequency electric power source circuit 220, an output detection circuit 230, an A/D converter 240, a storage medium 250, an input device 262, a display 264, and a speaker 266.The control circuit 210 includes an integrated circuit or the like, such as a central processing unit (CPU), an application specific integrated circuit (ASIC), or a field programmable gate array (FPGA).", "The control circuit 210 may be composed of a single integrated circuit or the like, or may be composed of a combination of a plurality of integrated circuits or the like.", "The operation of the control circuit 210 is executed, for example, in accordance with a program stored in the control circuit 210 or in the storage medium 250.The control circuit 210 acquires information from each component of the electric power source device 200, and controls the operation of each component.", "The high-frequency electric power source circuit 220 outputs high-frequency electric power which is supplied to the high-frequency treatment instrument 100.The high-frequency electric power source circuit 220 includes a variable DC electric power source 221, a waveform generating circuit 222, and an output circuit 223.The variable DC electric power source 221 outputs DC electric power under the control of the control circuit 210.The output of the variable DC electric power source 221 is transmitted to the output circuit 223.The waveform generating circuit 222 generates an AC waveform under the control of the control circuit 210, and outputs the generated AC waveform.", "The output of the waveform generating circuit 222 is transmitted to the output circuit 223.The output circuit 223 superimposes the output of the variable DC electric power source 221 and the output of the waveform generating circuit 222, and outputs AC electric power.", "This AC electric power is supplied, via the output detection circuit 230, to the first grasping member 112 and second grasping member 114 of the high-frequency treatment instrument 100.The output detection circuit 230 includes a current detection circuit 231 and a voltage detection circuit 232.The current detection circuit 231 is inserted in a circuit from the high-frequency electric power source circuit 220 to the high-frequency treatment instrument 100, and outputs an analog signal which represents a current value that is output from the high-frequency electric power source circuit 220.The voltage detection circuit 232 outputs an analog signal which represents an output voltage of the high-frequency electric power source circuit 220.The output signal of the current detection circuit 231 and the output signal of the voltage detection circuit 232 are input to the A/D converter 240.The A/D converter 240 converts the input analog signals to a digital signal, and sends the digital signal to the control circuit 210.In this manner, the control circuit 210 acquires information of the output voltage and output current of the high-frequency electric power source circuit 220.In addition, based on the output voltage and output current, the control circuit 210 calculates a value relating to an impedance of a circuit including the first grasping member 112, the biological tissue that is the treatment target, and the second grasping member 114.Specifically, the control circuit 210 acquires a value relating to the impedance of the biological tissue.", "The storage medium 250 stores programs which are used in the control circuit 210, and various parameters, tables, etc.", "which are used in calculations executed in the control circuit 210.The input device 262 includes an input device such as a button, a slider, a dial, a keyboard, or a touch panel.", "The control circuit 210 acquires an input to the input device 262 by the user.", "The display 264 includes a display device such as a liquid crystal display or an LED lamp.", "The display 264 presents information relating to the high-frequency treatment system 10 to the user, under the control of the control circuit 210.The speaker 266 issues, for example, an input sound, an output sound, an alarm sound, etc., under the control of the control circuit 210.The operation of the high-frequency treatment system 10 according to the present embodiment will be described.", "The user operates the input device 262 of the electric power source device 200, and sets a desired output level for the high-frequency treatment instrument 100.The output level is set, for example, for each of the plural output switches 178.The treatment portion 110 and shaft 160 are inserted, for example, into a peritoneal cavity through an abdominal wall.", "The user opens or closes the treatment portion 110 by operating the movable handle 176.In this manner, the first grasping member 112 and second grasping member 114 grasp the biological tissue that is the treatment target.", "When the biological tissue is grasped by the treatment portion 110, the user operates the output switch 178.The control circuit 210 of the electric power source device 200, which detected the pressing of the button of the output switch 178, outputs an instruction, which relates to driving, to the high-frequency electric power source circuit 220.The high-frequency electric power source circuit 220 applies, under the control of the control circuit 210, a high-frequency voltage to the first grasping member 112 and second grasping member 114 of the treatment portion 110, and causes a high-frequency current to flow through the biological tissue that is the treatment target.", "If the high-frequency current flows, the biological tissue becomes an electrical resistance.", "Thus, heat is generated in the biological tissue, and the temperature of the biological tissue rises.", "As a result, the protein of the biological tissue is denatured, and the biological tissue is sealed.", "By the above, the treatment of the biological tissue is completed.", "The output operation of the electric power source device 200 will be described in detail.", "The outline of the operation of the electric power source device 200 according to the present embodiment will be described with reference to a flowchart of FIG.", "3.In step S101, the control circuit 210 determines whether the output switch 178 is turned on.", "If the output switch 178 is not turned on, the process returns to step S101.In other words, the control circuit 210 stands by until the output switch 178 is turned on.", "When the output switch 178 is turned on, the process advances to step S102.In step S102, the control circuit 210 executes first control.", "Then, in step S103, the control circuit 210 executes second control.", "Subsequently, in step S104, the control circuit 210 executes third control.", "The first control, second control and third control will be described later in detail.", "By the above, the output control is terminated.", "In this manner, in the present embodiment, three-stage controls are executed.", "Referring to FIG.", "4, a description is given of an example of an output of the high-frequency treatment system 10 according to the embodiment, and an impedance relating to the biological tissue, which is calculated at the time of the output.", "In FIG.", "4, the horizontal axis indicates time, which is set such that an output start time is 0.The left vertical axis indicates an output electric power, an output voltage, and an output current.", "The right vertical axis indicates an impedance.", "In FIG.", "4, a solid line indicates a variation of the output voltage, a dashed line indicates a variation of the impedance, a dashed-dotted line indicates a variation of the output electric power, and a dashed double-dotted line indicates a variation of the output current.", "As described above, the control of the output of the high-frequency treatment system 10 according to the present embodiment is divided into three stages (three phases).", "Accordingly, the period, during which electric power is supplied to the biological tissue, includes a first period in which the first control of a short period immediately after the output start is executed, a subsequent second period in which the second control of about one second is executed, and a subsequent third period in which the third control of about two seconds is executed.", "The output by the first control is referred to as a first output, the output by the second control is referred to as a second output, and the output by the third control is referred to as a third output.", "In addition, since the output by the second control is executed prior to the output by the third control, the period by the second control is referred to as a former period, and the period by the third control is referred to as a latter period.", "In the first control, high-frequency electric power having a predetermined electric power value is supplied to the biological tissue during a predetermined period.", "This first period is, for example, about 100 milliseconds.", "During the first period, the value relating to the impedance is acquired.", "In accordance with the size, kind, etc.", "of the biological tissue that is the treatment target, or the state of the biological tissue, the value relating to the impedance, which is acquired at that time, will vary.", "Thus, in the present embodiment, based on the value relating to the impedance which is acquired in the first period in which the first control is executed, the state of the biological tissue that is the treatment target is ascertained, and control parameters, which are used in the subsequent control, are determined.", "Specifically, the control parameters, which correspond to the characteristics of the biological tissue that is the treatment target, are set.", "In addition, in the first control, an overshoot of the output is suppressed by a predetermined electric power, which is not so large, being supplied to the biological tissue.", "In the second control, a voltage which increases linearly is applied to the biological tissue.", "The temperature of the biological tissue rises in the second period in which the second control is executed.", "The second control is executed until it is detected that the value relating to the measured impedance takes a minimum value.", "If the value relating to the measured impedance takes the minimum value, the control transitions to the third control.", "If moisture evaporates in the second control, the value relating to the impedance increases subsequently in accordance with the rise in temperature.", "In the third control, the output control is executed such that the value relating to the impedance increases linearly.", "In this third period, the temperature of the biological tissue is kept substantially constant.", "Hereinafter, the first to third controls will be described in detail.", "[First Control] The first control will be described with reference to a flowchart illustrated in FIG.", "5.In step S201, the control circuit 210 causes the high-frequency electric power source circuit 220 to supply AC electric power having a predetermined electric power value to the biological tissue that is the treatment target, which is clamped between the first grasping member 112 and second grasping member 114.By the supply of the AC electric power, an AC current flows through the biological tissue.", "In step S202, the control circuit 210 acquires an impedance value relating to the biological tissue that is the treatment target.", "For example, the control circuit 210 acquires the current detected by the current detection circuit 231 of the output detection circuit 230, and the voltage detected by the voltage detection circuit 232 of the output detection circuit 230, and calculates the impedance value based on these values.", "Here, the calculated impedance value may be various kinds of values relating to the impedance, and may be, for example, an absolute value of the impedance which is a complex number, or may be a resistance value which is a real number component of the impedance.", "An admittance, which is a reciprocal of the impedance, may be used.", "In step S203, the control circuit 210 determines whether a predetermined time has passed.", "Here, the predetermined time is, for example, 100 milliseconds.", "If the predetermined time has not passed, the process returns to step S201.Specifically, the supply of the predetermined electric power and the acquisition of the impedance value are repeated.", "When the predetermined time has passed, the first control ends, and a transition occurs to the second control.", "The impedance value, which is acquired in the first control, is referred to as an initial impedance value.", "The initial impedance value may be an impedance value which is first acquired, or may be a mean value or a median of impedance values acquired in some periods in the first period during which the first control is executed.", "[Second Control] The second control will be described in detail.", "The second control is a control which is optimized in order to stably seal a blood vessel or the like.", "Here, attention is paid to a change of the impedance value at a time when the biological tissue, such as a blood vessel, is heated.", "If the biological tissue is heated, the temperature of an electrolyte solution in the biological tissue rises, and the impedance decreases.", "Paying attention to this decrease of the impedance, the following became clear.", "FIG.", "6 illustrates the relationship between duration of a voltage application (heating time) by the second control and a mean value of a vessel burst pressure (VBP).", "Here, the duration of the voltage application by the second control is a time from when the second control started until when the impedance value takes the minimum value, as described above.", "In addition, as described above and as illustrated in FIG.", "4, the second control is a control in which the output voltage is adjusted so as to increases linearly.", "The VBP indicates a pressure at which a sealed part is peeled when a water pressure is applied to the blood vessel after the seal treatment through the second control and third control.", "Specifically, as the VBP becomes higher, this means that stronger sealing is performed.", "In general, it is required that a VBP of 360 mmHg or above be obtained in the blood vessel after at least 90% or more of the treatment.", "As illustrated in FIG.", "6, the VBP tends to increase, as the time until the impedance value takes the minimum value becomes longer.", "In addition, even when the time until the impedance value takes the minimum value increased to one second or more, the VBP did not increase so much.", "Taking into account the result shown in FIG.", "6 and the fact that a shorter treatment time is desired, it is considered that the time until the impedance value takes the minimum value should preferably be about one second.", "It is understood that the time may be in a range of between about 0.5 seconds and 1.5 seconds, in which the VBP is sufficiently higher than 360 mmHg.", "In consideration of these results, in the present embodiment, the output voltage in the second control is adjusted such that the time until the impedance value takes the minimum value becomes about one second.", "In the present embodiment, the control circuit 210 controls the output voltage V(t) which is applied to the biological tissue in the second control, as indicated by the following equation (1): V(t)=(V(Z)/GV)×t, (1) where t is a time from the start of treatment, that is, a time from the start of the first control.", "The time t may be a time from the start of the second control.", "V(Z) is a constant, for example, a maximum value of the output voltage.", "GV is a gradient value.", "Thus, (V(Z)/GV) indicates an increase value of the output voltage per unit time, that is, an inclination (increase rate).", "GV is determined based on the initial impedance value acquired in the first control.", "For example, based on an initial resistance value R0, GV is determined by the following equation (2): GV=a·R0+b, (2) where a and b are fixed values.", "The values a and b are empirically adjusted such that the impedance value takes the minimum value in about one second, when the output voltage V(t) is applied to the biological tissue.", "The above equation (2) is not limited to an equation of a linear function, and may be another equation such as a function of a higher degree.", "However, the linear function is preferable to a higher-degree function, so that the influence, which the initial resistance value R0 exerts on the above equation (1), may not become excessively large.", "In addition, the above equation (1) is also a linear function relating to time.", "Because of the linear function, a proper temperature rise with high stability can be obtained.", "Since the output voltage is the linear function relating to time, the electric power, which is input to the biological tissue, increases in a manner of a quadratic function with respect to time.", "An offset may be added to the output voltage V(t).", "Specifically, the above equation (1) may be modified as follows: V(t)=(V(Z)/GV)×t+c, (3) where c is a fixed value.", "According to the above equations (1) and (2), for example, in a thin blood vessel, the initial resistance value R0 is relatively high.", "Thus, (V(Z)/GV), which indicates a gradient, is relatively small.", "Specifically, in a thin blood vessel, the output voltage increases relatively slowly, and accordingly the input electric power increases relatively slowly.", "On the other hand, for example, in a thick blood vessel, the initial resistance value R0 is relatively low.", "Thus, (V(Z)/GV), which indicates the gradient, is relatively large.", "Specifically, in a thick blood vessel, the output voltage increases relatively quickly, and accordingly the input electric power increases relatively quickly.", "The gradient (V(Z)/GV) may be calculated at each time and used, based on the relationships of the above equations (1) and (2) and the initial resistance value R0, or may be determined based on the table prestored in the storage medium 250, which represents the relationship between the initial resistance value R0 and gradient (V(Z)/GV), and based on the initial resistance value.", "The operation of the electric power source device 200 in the second control will be described with reference to a flowchart of FIG.", "7.In step S301, the control circuit 210 calculates the relationship between time and output voltage V(t), based on the initial impedance value.", "The output voltage V(t) is determined, for example, by using the above equations (1) and (2).", "In step S302, the control circuit 210 causes the high-frequency electric power source circuit 220 to output the voltage V(t) which corresponds to time.", "In step S303, the control circuit 210 acquires the impedance value of the biological tissue.", "In step S304, the control circuit 210 determines whether the impedance value acquired in step S303 is a change-over impedance value or not.", "Here, the change-over impedance value is an impedance value which is a condition for terminating the second control.", "The change-over impedance value can be, for example, a value at a time when the variation of the impedance value is measured and the impedance value becomes the minimum value.", "In order to easily detect the minimum value, a value, which has increased by a predetermined value after the impedance value took the minimum value, may be set as the change-over impedance value.", "Specifically, in step S304, when the impedance value decreased and took the minimum value and then the impedance value has increased by the predetermined value, it may be determined that the impedance value has become the change-over impedance value.", "In step S304, when it is determined that the impedance value is not the change-over impedance value, the process returns to step S302.On the other hand, when it is determined that the impedance value is the change-over impedance value, the second control is terminated, and a transition occurs to the third control.", "By the above-described controls, the output voltage and the impedance value become as illustrated in FIG.", "4.Specifically, in the second period in which the second control is executed, the output voltage increases linearly.", "At this time, the output electric power increases in a manner of a quadratic function.", "The impedance value acquired in the second period decreases slowly with time.", "In the example illustrated in FIG.", "4, when the impedance value has slightly increased after taking the minimum value, the second control is terminated.", "In the meantime, although the example in which the output voltage is controlled is illustrated here, the output current or output electric power may be controlled so as to increase linearly in the same manner.", "The time until the impedance value takes the minimum value is set to be about one second and is relatively slow.", "It is thus possible to make unifoLitt the temperature of the biological tissue, while shortening the time of the treatment.", "In addition, by setting the time until the impedance value takes the minimum value to be constant at about one second, regardless of the size, etc.", "of the treatment target, it is possible to suppress a variance in results of treatments.", "In the meantime, when the same energy is input, the impedance value takes the minimum value in a shorter time, as the thickness of the blood vessel becomes smaller.", "By setting the time until the impedance value takes the minimum value to be about one second, a high sealing strength can be obtained stably, as illustrated in FIG.", "6.", "[Third Control] The third control will be described in detail.", "In the third control, the output is controlled such that the measured impedance value increases with a constant rate.", "In the present embodiment, a stop impedance value, which is an impedance value at a time when the output is stopped, is first determined.", "Next, target impedance which increases at a constant speed from the impedance value at the start time of the third control up to the stop impedance value is set.", "Specifically, the target impedance value is set as a target value of the impedance value at each time.", "The control of the output is executed such that the output value is determined at predetermined time intervals, based on a difference between the target impedance value and a measured impedance value acquired by using the output detection circuit 230.In this manner, the third control is executed until the measured impedance value reaches the stop impedance value along target impedance values.", "<Setting of the Stop Impedance Value in the Third Control> A determination method of the stop impedance value at the time of stopping the output will be described.", "Here, a description is given of the case of using a resistance value as the impedance value.", "The same applies to cases using other impedance values, aside from the resistance value.", "A stop resistance value Rstop, which is a resistance value at the time of stopping the output, is calculated by, for example, the following equation (4): Rstop=Rin+Radd.", "(4) Rin is a resistance value relating to the biological tissue, which is acquired at the start time of the third control.", "Specifically, Rin is the resistance value corresponding to the above-described change-over impedance value.", "The Rin may be the minimum impedance measured in the second control.", "In addition, the initial impedance value acquired in the first control may be used for Rin.", "Radd is an additional resistance value which is determined based on the initial state of the biological tissue.", "Some examples of the determination method of the additional resistance value Radd will be illustrated.", "(First Example) The additional resistance value Radd is calculated as a function of the initial resistance value R0.The initial resistance value R0 is the resistance value detected in the first control.", "The storage medium 250 stores a table, for example, as illustrated in FIG.", "8, the table representing the relationship between the additional resistance value Radd and initial resistance value R0.Based on this table and the initial resistance value R0 measured in the first control, the additional resistance value Radd is determined.", "In FIG.", "8, a, b, c and d represent resistance values, and have a relationship of a<b<c<d.", "Specifically, as the initial resistance value R0 becomes higher, the additional resistance value Radd becomes lower.", "In other words, when the treatment target is a blood vessel, a thinner blood vessel has a higher initial resistance R0, and thus the additional resistance value Radd becomes lower.", "In addition, the additional resistance value Radd may be calculated based on a function representing the same relationship as in FIG.", "8.", "(Second Example) The additional resistance value Radd is calculated as a function of the initial resistance value R0 and duration Dt of the second control.", "The duration Dt is acquired when the second control is finished.", "For example, when the initial resistance value R0 is a predetermined threshold value or more, and when the duration Dt is a predetermined threshold value or less, a first additional resistance value Radd1 is selected as the additional resistance value Radd.", "When the initial resistance value R0 is lower than the predetermined threshold value, or when the duration Dt is longer than the predetermined threshold value, a second additional resistance value Radd2 is selected as the additional resistance value Radd.", "Here, the first additional resistance value Radd1 is lower than the second additional resistance value Radd2.In addition, the storage medium 250 stores a table, for example, as illustrated in FIG.", "9, the table representing the relationship between the additional resistance value Radd, duration Dt and initial resistance value R0.Based on this table, the initial resistance value R0 measured in the first control and the duration Dt of the second control, the additional resistance value Radd may be determined.", "In FIG.", "9, a, b, c and d represent resistance values, and have a relationship of a<b<c<d.", "Specifically, as the initial resistance value R0 becomes higher, the additional resistance value Radd becomes lower; and as the duration Dt becomes longer, the additional resistance value Radd becomes higher.", "In addition, the additional resistance value Radd may be calculated based on a function representing the same relationship as in FIG.", "9.Based on the initial resistance value R0 and the duration Dt of the second control, the additional resistance value Radd is determined.", "Thereby, a more appropriate additional resistance value Radd can be determined than in the case in which the additional resistance value Radd is determined based on only the initial resistance value R0.", "(Third Example) The additional resistance value Radd may be selected in accordance with an output level which the user sets.", "For example, as the output level becomes higher, the additional resistance value Radd becomes higher; and as the output level becomes lower, the additional resistance value Radd becomes lower.", "It is preferable that, like the case of the first example or the second example, the output level is used in combination with the initial resistance value R0 or the duration Dt of the second control.", "A more appropriate value can be set, by the additional resistance value Radd being determined by using the output level in combination with the initial resistance value R0 or the duration Dt of the second control.", "In each of the above first to third examples, for instance, as the blood vessel becomes thinner, the additional resistance value Radd becomes lower; and as the blood vessel becomes thicker, the additional resistance value Radd becomes higher.", "The stop resistance value Rstop is higher than the initial resistance value R0.Like the above, when a value relating to the impedance, other than the resistance value, is used, Rin corresponds to the change-over impedance value, the additional resistance value Radd corresponds to the additional impedance value, and the initial resistance value R0 corresponds to the initial impedance value.", "As described above, use is made of the initial impedance value which varies in accordance with the treatment target, for instance, the thickness of the blood vessel.", "Thereby, the stop impedance value corresponding to the treatment target is appropriately set.", "Since the output control is executed by using the thus determined stop impedance value, a proper treatment can be performed.", "<Setting of the Target Impedance Value in the Third Control> A setting method of the target impedance value will be described.", "Here, a description is given of the case in which, like the above-described stop resistance value, the resistance value is used as the impedance value.", "Specifically, the case in which a target resistance value is used as the target impedance value will be described.", "The same applies to the cases of using other values relating to the impedance, aside from the resistance value.", "(First Example) In a first example, a time in which high-frequency electric power is output by the third control is predetermined.", "A target resistance value at each time can be set such that, in this predetermined time, the resistance value linearly increases up to the stop resistance value Rstop which is calculated from the change-over resistance value Rin.", "(Second Example) In a second example, a time in which high-frequency electric power is output by the third control is determined in accordance with the output level that is set by the user.", "A target resistance value can be set such that, in the time determined in accordance with the output level, the resistance value linearly increases up to the calculated stop resistance value Rstop.", "Specifically, as illustrated in FIG.", "10, an inclination at a time when the target resistance value is indicated relative to time varies in accordance with the output level.", "In other words, the speed of increase of the target resistance value varies in accordance with the output level.", "In FIG.", "10, L1, L2 and L3 indicate output levels, and have a relationship of L1<L2<L3.", "(Third Example) In a third example, a time in which high-frequency electric power is output by the third control is determined in accordance with the resistance value (initial resistance value) acquired in the first control.", "In addition, the time in which high-frequency electric power is output by the third control may be determined in accordance with the resistance value acquired in the second control.", "A target resistance value can be set such that, in the determined time, the resistance value linearly increases up to the calculated stop resistance value Rstop.", "Specifically, an inclination at a time when the target resistance value is indicated relative to time varies in accordance with the resistance value acquired in the first control or second control.", "In other words, the speed of increase of the target resistance value varies in accordance with the resistance value acquired in the first control or second control.", "For example, when the resistance value acquired in the first control or second control is low, the output time in the third control becomes shorter and the inclination becomes larger.", "On the other hand, when the resistance value acquired in the first control or second control is high, the output time in the third control becomes longer and the inclination becomes smaller.", "<Determination Method of Output Electric Power in the Third Control> A determination method of an output will be described.", "Like the above-described case, the case in which a resistance value is used as the impedance value is described.", "The same applies to the cases of using other values relating to the impedance, aside from the resistance value.", "A description will be given with reference to FIG.", "11.An upper part of FIG.", "11 schematically illustrates a target resistance value and a measured resistance value relative to time.", "Here, the target resistance value is indicated by a broken line, and the measured resistance value is indicated by a solid line.", "A lower part of FIG.", "11 schematically illustrates output electric power relative to time.", "In the present embodiment, the output electric power is set in each step time of several-ten milliseconds.", "The setting of the output electric power is executed by comparing the target resistance value and the measured resistance value.", "Specifically, the target resistance value and the measured resistance value are compared at predetermined time intervals.", "When the measured resistance value is higher than the target resistance value, the output electric power is decreased.", "On the other hand, when the measured resistance value is lower than the target resistance value, the output electric power is increased.", "In addition, when the difference between the measured resistance value and the target resistance value is less than a predetermined threshold value, the output electric power is maintained.", "The output electric power at the start time of the third control may be the output electric power at the end time of the second control.", "The output electric power at the start time of the third control may be a predetermined value, or may be determined by a predetermined method.", "If the set value of the output electric power is frequently changed, there is concern that the output oscillates.", "On the other hand, if the setting of the output electric power is executed only occasionally, the precision in control would lower, or the treatment could not be completed within a target time.", "Thus, it is preferable that the interval of re-setting of output electric power, that is, the step time, is appropriately adjusted.", "Examples of the determination method of the output electric power will be described.", "(First Example) In a first example, a change amount of the output electric power is a predetermined ratio relative to the output electric power at that time point.", "For example, this predetermined ratio is set as a first ratio.", "In this case, when the initial output electric power is a first electric power, and the measured resistance value is higher than the target resistance value, the next output electric power is set at a second electric power which is lowered from the first electric power by the first ratio.", "When the output is a second electric power, and the measured resistance value is lower than the target resistance value, the next output electric power is set at a third electric power which is raised from the second electric power by the first ratio.", "Subsequently, the output electric power is controlled in the same manner.", "For example, if the first ratio is set at 10%, the output electric power is controlled as follows.", "When the output electric power is 20 W at that time point and the measured resistance value is higher than the target resistance value, the next output electric power is adjusted at 18 W. When the output electric power is 18 W and the measured resistance value is lower than the target resistance value, the next output electric power is adjusted at 19.8 W. By setting the change amount of the output electric power to be the predetermined ratio relative to the output electric power at that time point, the change amount is adjusted to a proper value at each of a time when the output electric power is large and a time when the output electric power is small.", "The numerical values illustrated here are merely examples, and any numerical value can be used for the proper setting.", "In the meantime, when the ratio at the time of lowering the output electric power is set as a first ratio and the ratio at the time of raising the output electric power is set as a second ratio, the first ratio and the second ratio may be equal or different.", "It is preferable that the first ratio is greater than the second ratio.", "For example, when the measured resistance value is higher than the target resistance value, the output is lowered by 10%.", "When the measured resistance value is lower than the target resistance value, the output is raised by 5%.", "In addition, when the difference between the measured resistance value and the target resistance value is within a predetermined range, the output electric power may not be changed.", "(Second Example) In a second example, a change amount of the output electric power is a predetermined value.", "In a case in which this predetermined ratio is set as a first value, when the measured resistance value is higher than the target resistance value, the next output electric power is adjusted at a value which is lowered from the present output electric power by a first value.", "When the measured resistance value is lower than the target resistance value, the next output electric power is adjusted at a value which is higher than the present output electric power by the first value.", "For example, when the change amount is set to be 2 W, the output electric power is controlled as follows.", "When the output electric power is 20 W at that time point and the measured resistance value is higher than the target resistance value, the next output electric power is adjusted at 18 W. When the output electric power is 18 W and the measured resistance value is lower than the target resistance value, the next output electric power is adjusted at 20 W. By setting the change amount of the output electric power at a constant value, the hardware configuration becomes simpler, and the control of the output electric power becomes easier.", "The numerical values illustrated here are merely examples, and any numerical value can be used for the proper setting.", "In the meantime, the change amount at the time of raising the output and the change amount at the time of lowering the output may be equal or different.", "It is preferable that the change amount at the time of lowering the output is greater than the change amount at the time of raising the output.", "In addition, when the difference between the measured resistance value and the target resistance value is within a predetermined range, the output electric power may not be changed.", "(Third Example) In a third example, a change amount of the output electric power is determined based on the initial resistance value R0 acquired in the first control, and the length of the second period in which the second control is executed, that is, the period from when the second control started until when the impedance values takes the minimum value.", "Thus, the storage medium 250 prestores, for example, a relationship between the initial resistance value R0, the length of the second period, and the change amount of the output electric power.", "The control circuit 210 determines the output electric power by referring to this relationship.", "(Fourth Example) In a fourth example, a change amount of the output electric power is a predetermined value which is determined in accordance with an output level that is set by the user.", "The storage medium 250 prestores a relationship between the output level and the change amount of the output electric power.", "The control circuit 210 determines the output electric power by referring to this relationship.", "(Fifth Example) In a fifth example, the output electric power is determined by the relationship between the measured resistance value and the target resistance value.", "For example, the output electric power is set as follows.", "When the measured resistance value is higher than the target resistance value, the output electric power is set at a first electric power value.", "When the measured resistance value is equal to the target resistance value, the output electric power is set at a second electric power value.", "When the measured resistance value is lower than the target resistance value, the output electric power is set at a third electric power value.", "Here, the electric power values become greater in the order of the first electric power value, second electric power value and third electric power value.", "For example, the first electric power value is 5 W, second electric power value is 8 W, and third electric power value is 10 W. The numerical values illustrated here are merely examples, and any numerical value can be used for the proper setting.", "The third control, which is controlled in the above manner, will be described with reference to a flowchart of FIG.", "12.In step S401, the control circuit 210 calculates the additional impedance value, based on the initial impedance value.", "In step S402, the control circuit 210 sets the stop impedance value, based on the sum of the change-over impedance value and the additional impedance value.", "For example, any of the methods of the above-described first to third examples may be used for the setting method of the stop impedance value.", "In step S403, the control circuit 210 sets the target impedance value by using the stop impedance value.", "Any of the methods of the above-described first to third examples may be used for the setting method of the target impedance value.", "In step S404, the control circuit 210 causes the high-frequency electric power source circuit 220 to output, as an initial electric power, the electric power having a predetermined electric power value.", "The initial electric power is, for example, the electric power at the time of the end of the second control.", "In step S405, the control circuit 210 acquires the impedance value by using the value detected by the output detection circuit 230.In step S406, the control circuit 210 determines whether the measured impedance value is the stop impedance value or more.", "When the measured impedance value is not the stop impedance value or more, the process advances to step S407.In step S407, the control circuit 210 compares the measured impedance value (Zm) and the target impedance value (Zt).", "When the difference between the measured impedance value (Zm) and the target impedance value (Zt) is within a predetermined threshold value (Zm≈t), the process advances to step S408.In step S408, the control circuit 210 maintains the set value (set electric power) of the output electric power.", "Thereafter, the process advances to step S411.In step S407, when it is determined that the measured impedance value (Zm) is greater than the target impedance value (Zt) (Zm>Zt), the process advances to step S409.In step S409, the control circuit 210 sets the set electric power to a low electric power.", "Then, the process advances to step S411.In step S407, when it is determined that the measured impedance value (Zm) is less than the target impedance value (Zt) (Zm<Zt), the process advances to step S410.In step S410, the control circuit 210 sets the set electric power to a high electric power.", "Then, the process advances to step S411.For example, any of the methods of the above-described first to fifth examples may be used for the method of setting the electric power in each of step S408 to step S410.In step S411, the control circuit 210 causes the high-frequency electric power source circuit 220 to output the electric power of the electric power value which is set in any one of steps S408 to step S410.Thereafter, the process returns to step S405.In step S406, when it is determined that the measured impedance is the stop impedance value or more, the process advances to step S412.In step S412, the control circuit 210 causes the high-frequency electric power source circuit 220 to stop the output.", "Then, the third control is finished.", "By the above, the supply of the high-frequency electric power to the high-frequency treatment instrument 100 by the electric power source device 200 is terminated.", "According to the above-described control, the output and the acquired impedance value are as illustrated in FIG.", "4.Specifically, in the third control, the impedance value increases linearly.", "The output electric power (output voltage or output current) is adjusted such that the impedance value increases linearly.", "According to the above-described third control, the impedance value increases linearly, and thus the temperature of the biological tissue is kept substantially constant.", "In this manner, the treatment of the biological tissue progresses at substantially constant temperatures.", "Thus, for example, the stable sealing of the blood vessel can be obtained.", "In addition, since the stop impedance value, which corresponds to the characteristics of the biological tissue, is determined, the condition for finishing the treatment, which corresponds to the characteristics of the biological tissue, is determined.", "Specifically, the treatment is finished at a time point when sufficient treatment is conducted, regardless of differences in characteristics of biological tissues which are treatment targets.", "As described above, according to the present embodiment, in the high-frequency treatment system 10, the output, which is optimized in accordance with the treatment target, can be executed.", "The above description of the embodiment is given by taking, mainly, the sealing of the blood vessel as an example.", "However, the above-described technique is applicable to treatments of other biological tissues.", "In addition, the above-described operation may be prepared as a mode for sealing a blood vessel, and this mode may be prepared as well as other modes in the high-frequency treatment system 10.The high-frequency treatment system 10 may be configured such that the user can select a mode corresponding to a treatment, from among these modes.", "The high-frequency treatment system 10 according to the present embodiment may be configured not only to output the high-frequency electric power, but also to have a function as an ultrasonic treatment instrument, which treats a biological tissue by ultrasonic vibration, for example, by the first grasping member 112 vibrating at an ultrasonic frequency.", "A treatment instrument, which also uses ultrasonic energy, can function in the same manner as in the above-described embodiment with respect to the output of the high-frequency electric power.", "Additional advantages and modifications will readily occur to those skilled in the art.", "Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein.", "Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents." ] ]
Patent_15875791
[ [ "Method for improving the dissolution profile of a biologically active material", "A method for improving the dissolution profile of a biologically active material" ], [ "1.A method for improving the dissolution profile of a biologically active material, comprising the steps of: dry milling a solid biologically active material and a millable grinding matrix in a mill, for a time period sufficient to produce particles of the biologically active material dispersed in an at least partially milled grinding material.", "2.The method of claim 1, wherein the particles have an average particle size equal or greater than 1 μm determined on a particle number basis.", "3.A method of claim 2, wherein the average particle size of the biologically active material has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "4.-52.", "(canceled)" ], [ "<SOH> BACKGROUND <EOH>Poor bioavailability is a significant problem encountered in the development of therapeutic compositions, particularly those materials containing a biologically active material that is poorly soluble in water at physiological pH.", "An active material's bioavailability is the degree to which the active material becomes available to the target tissue in the body after systemic administration through, for example, oral or intravenous means.", "Many factors affect bioavailability, including the form of dosage and the solubility and dissolution rate of the active material.", "Poorly and slowly water-soluble materials tend to be eliminated from the gastrointestinal tract before being absorbed into the circulation.", "In addition, poorly soluble active agents tend to be disfavored or even unsafe for intravenous administration due to the risk of particles of agent blocking blood flow through capillaries.", "It is known that the rate of dissolution of a particulate drug will increase with increasing surface area.", "One way of increasing surface area is decreasing particle size.", "Consequently, methods of making finely divided or sized drugs have been studied with a view to controlling the size and size range of drug particles for pharmaceutical compositions.", "For example, dry milling techniques have been used to reduce particle size and hence influence drug absorption.", "However, in conventional dry milling the limit of fineness is reached generally in the region of about 100 microns (100,000 nm), at which point material cakes on the milling chamber and prevents any further diminution of particle size.", "Alternatively, wet grinding may be employed to reduce particle size, but flocculation restricts the lower particle size limit to approximately 10 microns (10,000 nm).", "The wet milling process, however, is prone to contamination, thereby leading to a bias in the pharmaceutical art against wet milling.", "Another alternative milling technique, commercial airjet milling, has provided particles ranging in average size from as low as about 1 to about 50 microns (1,000-50,000 nm).", "There are several approaches currently used to formulate poorly soluble active agents.", "One approach is to prepare the active agent as a soluble salt.", "Where this approach cannot be employed, alternate (usually physical) approaches are employed to improve the solubility of the active agent.", "Alternate approaches generally subject the active agent to physical conditions that change the agent's physical and or chemical properties to improve its solubility.", "These include process technologies such as micronization, modification of crystal or polymorphic structure, development of oil based solutions, use of co-solvents, surface stabilizers or complexing agents, micro-emulsions, super critical fluid and production of solid dispersions or solutions.", "More than one of these processes may be used in combination to improve formulation of a particular therapeutic material.", "Many of these approaches commonly convert a drug into an amorphous state, which generally leads to a higher dissolution rate.", "However, formulation approaches that result in the production of amorphous material are not common in commercial formulations due to concerns relating to stability and the potential for material to re-crystallize.", "These techniques for preparing such pharmaceutical compositions tend to be complex.", "By way of example, a principal technical difficulty encountered with emulsion polymerization is the removal of contaminants, such as unreacted monomers or initiators (which may have undesirable levels of toxicity), at the end of the manufacturing process.", "Another method of providing reduced particle size is the formation of pharmaceutical drug microcapsules, which techniques include micronizing, polymerisation and co-dispersion.", "However, these techniques suffer from a number of disadvantages including at least the inability to produce sufficiently small particles such as those obtained by milling, and the presence of co-solvents and/or contaminants such as toxic monomers which are difficult to remove, leading to expensive manufacturing processes.", "Over the last decade, intense scientific investigation has been carried out to improve the solubility of active agents by converting the agents to ultra fine powders by methods such as milling and grinding.", "These techniques may be used to increase the dissolution rate of a particulate solid by increasing the overall surface area and decreasing the mean particle size.", "U.S. Pat.", "No.", "6,634,576 discloses examples of wet-milling a solid substrate, such as a pharmaceutically active compound, to produce a “synergetic co-mixture”.", "International Patent Application PCT/AU2005/001977 (Nanoparticle Composition(s) and Method for Synthesis Thereof) describes, inter alia, a method comprising the step of contacting a precursor compound with a co-reactant under mechanochemical synthesis conditions wherein a solid-state chemical reaction between the precursor compound and the co-reactant produces therapeutically active nanoparticles dispersed in a carrier matrix.", "Mechanochemical synthesis, as discussed in International Patent Application PCT/AU2005/001977, refers to the use of mechanical energy to activate, initiate or promote a chemical reaction, a crystal structure transformation or a phase change in a material or a mixture of materials, for example by agitating a reaction mixture in the presence of a milling media to transfer mechanical energy to the reaction mixture, and includes without limitation “mechanochemical activation”, “mechanochemical processing”, “reactive milling”, and related processes.", "International Patent Application PCT/AU2007/000910 (Methods for the preparation of biologically active compounds in nanoparticulate form) describes, inter alia, a method for dry milling raloxifene with lactose and NaCl which produced nanoparticulate raloxifene without significant aggregation problems.", "One limitation of this method is an upper limit to the drug content that can be successfully milled to produce nanoparticles.", "For some drugs that require a high dose this limitation may restrict the options available for the production of a commercially viable dosage form.", "The present invention provides methods for improving the dissolution profile of a biologically active material which ameliorate some of the problems attendant with prior technologies, or provides an alternative thereto.", "One example of a therapeutic area where this technology could be applied in is the area of acute pain management.", "Many pain medications such as meloxicam (marketed as Mobic® by pharmaceutical company Boehringer Ingelheim) provides pain relief for chronic pain, but must be taken on a daily basis to maintain an effective therapeutic level.", "Meloxicam is a poorly water soluble drug which is only slowly absorbed by the body (Tmax is 4-5 hours), so a method such as the present invention which provides for improved dissolution, will likely provide much faster absorption resulting in a more rapid onset of the therapeutic effect.", "Meloxicam also has a long half life (15-20 hours) that means it only need be taken once a day.", "By using a method such as the present invention, which provides faster absorption, a drug such as meloxicam, could be transformed from a chronic pain drug to an acute pain drug.", "For meloxicam this would provide a medication that could provide therapeutic relief for acute pain, with the advantage of sustained pain relief over 24 hours.", "Meloxicam also has sub-optimal bioavailability at 89% for an oral capsule, compared with an IV dosage form.", "A component of this sub optimal bioavailability is also likely due to the poor water solubility of this drug.", "If the low solubility does contribute to this sub optimal bioavailability, the improvement of the dissolution of this drug with a method such as the present invention could provide scope to produce a dosage form with a lower active dose whilst still providing the effective therapeutic dose.", "Although the background to the present invention is discussed in the context of improving the bioavailability of materials that are poorly or slowly water soluble, the applications of the methods of the present invention are not limited to such, as is evident from the following description of the invention.", "Further, although the background to the present invention is largely discussed in the context of improving the bioavailability of therapeutic or pharmaceutical compounds, the applications of the methods of the present invention are clearly not limited to such.", "For example, as is evident from the following description, applications of the methods of the present invention include but are not limited to: nutraceutical and nutritional compounds, complementary medicinal compounds, veterinary therapeutic applications and agricultural chemical applications, such as pesticide, fungicide or herbicide.", "Furthermore, an application of the current invention would be to materials which contain a biologically active compound such as, but not limited to a therapeutic or pharmaceutical compound, a nutraceutical or nutrient, a complementary medicinal product such as active components in plant or other naturally occurring material, a veterinary therapeutic compound or an agricultural compound such as a pesticide, fungicide or herbicide.", "Specific examples would be the spice turmeric that contains the active compound curcumin, or flax seed that contains the nutrient ALA an omega-3 fatty acid.", "As these specific examples indicate this invention could be applied to, but not limited to, a range of natural products such as seeds, cocoa and cocoa solids, coffee, herbs, spices, other plant materials or food materials that contain a biologically active compound.", "The application of this invention to these types of materials would enable greater availability of the active compound in the materials when used in the relevant application.", "For example where material subject to this invention is orally ingested the active would be more bioavailable." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>In one aspect the present invention is directed to the unexpected finding that the dissolution profile of biologically active materials can be improved by dry milling solid biologically active material to a particle size of greater than 1 μm.", "In one surprising aspect of the invention, the dissolution profile of a biologically active material can be improved without substantially reducing the particle size of the material or reducing the material to nanoparticulate form.", "In another surprising aspect of the invention, the material retains its crystalline structure and is not amorphous, yet the dissolution profile of the biologically active material is improved.", "In another surprising aspect of the invention, the dissolution profile of a biologically active material is improved without the need for a surfactant or stabiliser.", "In another surprising aspect of the invention, the dissolution profile of a biologically active material is improved without the need for a disintegrant to be present during the milling process.", "Thus, in a first aspect the invention comprises a method for improving the dissolution profile of a biologically active material, comprising the steps of: dry milling a solid biologically active material and a millable grinding matrix in a mill comprising a plurality of milling bodies, for a time period sufficient to produce particles of the biologically active material dispersed in an at least partially milled grinding material.", "In one preferred embodiment, the particles have an average particle size equal or greater than 1 μm determined on a particle number basis.", "More preferably, the average particle size of the biologically active material may be reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Even more preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "In another preferred embodiment, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "More preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Alternatively, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "In another preferred embodiment, the median particle size may be reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "In another preferred embodiment, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "In another preferred embodiment, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "More preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subjected to the method as described herein.", "In another preferred embodiment, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has no significant increase in amorphous content after subjecting the material to the method as described herein.", "In another preferred embodiment, the milling time period is a range selected from the group consisting of: between 10 minutes and 2 hours, between 10 minutes and 1 hour, between 10 minutes and 45 minutes, between 10 minutes and 30 minutes, between 5 minutes and 30 minutes, between 5 minutes and 20 minutes, between 2 minutes and 10 minutes, between 2 minutes and 5 minutes, between 1 minutes and 20 minutes, between 1 minute and 10 minutes, and between 1 minute and 5 minutes.", "In another preferred embodiment, the milling medium is selected from the group consisting of: ceramics, glasses, polymers, ferromagnetics and metals.", "Preferably, the milling medium is steel balls having a diameter selected from the group consisting of: between 1 and 20 mm, between 2 and 15 mm and between 3 and 10 mm.", "In another preferred embodiment, the milling medium is zirconium oxide balls having a diameter selected from the group consisting of: between 1 and 20 mm, between 2 and 15 mm and between 3 and 10 mm.", "Preferably, the dry milling apparatus is a mill selected from the group consisting of: attritor mills (horizontal or vertical), nutating mills, tower mills, pearl mills, planetary mills, vibratory mills, eccentric vibratory mills, gravity-dependent-type ball mills, rod mills, roller mills and crusher mills.", "Preferably the milling medium within the milling apparatus is mechanically agitated by 1, 2 or 3 rotating shafts.", "Preferably, the method is configured to produce the biologically active material in a continuous fashion.", "Preferably, the total combined amount of biologically active material and grinding matrix in the mill at any given time is equal to or greater than a mass selected from the group consisting of: 200 grams, 500 grams, 1 kg, 2 kg, 5 kg, 10 kg, 20 kg, 30 kg, 50 kg, 75 kg, 100 kg, 150 kg, 200 kg.", "Preferably, the total combined amount of biologically active material and grinding matrix is less than 2000 kg.", "In another preferred embodiment, the biologically active material is selected from the group consisting of: fungicides, pesticides, herbicides, seed treatments, cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients, nutraceuticals, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids additives, foods and food ingredients and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "In another preferred embodiment, the grinding matrix is a single matrix or is a mixture of two or more matrices in any proportion.", "Preferably, the major components of the grinding matrix are selected from the group consisting of: mannitol, sorbitol, Isomalt, xylitol, maltitol, lactitol, erythritol, arabitol, ribitol, glucose, fructose, mannose, galactose, anhydrous lactose, lactose monohydrate, sucrose, maltose, trehalose, maltodextrins, dextrin, Inulin, dextrates, polydextrose, starch, wheat flour, corn flour, rice flour, rice starch, tapioca flour, tapioca starch, potato flour, potato starch, other flours and starches, milk powder, skim milk powders, other milk solids and derivatives, soy flour, soy meal or other soy products, cellulose, microcrystalline cellulose, microcrystalline cellulose based co blended materials, pregelatinized (or partially) starch, HPMC, CMC, HPC, citric acid, tartaric acid, malic acid, maleic acid fumaric acid, ascorbic acid, succinic acid, sodium citrate, sodium tartrate, sodium malate, sodium ascorbate, potassium citrate, potassium tartrate, potassium malate, potassium ascorbate, sodium carbonate, potassium carbonate, magnesium carbonate, sodium bicarbonate, potassium bicarbonate and calcium carbonate.", "dibasic calcium phosphate, tribasic calcium phosphate, sodium sulfate, sodium chloride, sodium metabisulphite, sodium thiosulfate, ammonium chloride, Glauber's salt, ammonium carbonate, sodium bisulfate, magnesium sulfate, potash alum, potassium chloride, sodium hydrogen sulfate, sodium hydroxide, crystalline hydroxides, hydrogen carbonates, ammonium chloride, methylamine hydrochloride, ammonium bromide, silica, thermal silica, alumina, titanium dioxide, talc, chalk, mica, kaolin, bentonite, hectorite, magnesium trisilicate, clay based materials or aluminium silicates, sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 407, poloxamer 338, polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably, the concentration of the single (or first) material is selected from the group consisting of: 5-99% w/w, 10-95% w/w, 15-85% w/w, of 20-80% w/w, 25-75% w/w, 30-60% w/w, 40-50% w/w.", "Preferably, the concentration of the second or subsequent material is selected from the group consisting of: 5-50% w/w, 5-40% w/w, 5-30% w/w, of 5-20% w/w, 10-40% w/w, 10-30% w/w, 10-20% w/w, 20-40% w/w, or 20-30% w/w or if the second or subsequent material is a surfactant or water soluble polymer the concentration is selected from 0.1-10% w/w, 0.1-5% w/w, 0.1-2.5% w/w, of 0.1-2% w/w, 0.1-1%, 0.5-5% w/w, 0.5-3% w/w, 0.5-2% w/w, 0.5-1.5%, 0.5-1% w/w, of 0.75-1.25% w/w, 0.75-1% and 1% w/w.", "Preferably, the grinding matrix is selected from the group consisting of: (a) lactose monohydrate or lactose monohydrate combined with at least one material selected from the group consisting of: xylitol; lactose anhydrous; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(b) lactose anhydrous or lactose anhydrous combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(c) mannitol or mannitol combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(d) Sucrose or sucrose combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(e) Glucose or glucose combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(f) Sodium chloride or sodium chloride combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(g) xylitol or xylitol combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(h) Tartaric acid or tartaric acid combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(i) microcrystalline cellulose or microcrystalline cellulose combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(j) Kaolin combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(k) Talc combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably, the grinding matrix is selected from the group consisting of: a material considered to be Generally Regarded as Safe (GRAS) for pharmaceutical products; a material considered acceptable for use in an agricultural formulation; and a material considered acceptable for use in a veterinary formulation.", "In another preferred embodiment, a milling aid is used or a combination of milling aids.", "Preferably, the milling aid is selected from the group consisting of: colloidal silica, a surfactant, a polymer, a stearic acid and derivatives thereof.", "Preferably, the surfactant is selected from the group consisting of: polyoxyethylene alkyl ethers, polyoxyethylene stearates, polyethylene glycols (PEG), poloxamers, poloxamines, sarcosine based surfactants, polysorbates, aliphatic alcohols, alkyl and aryl sulfates, alkyl and aryl polyether sulfonates and other sulfate surfactants, trimethyl ammonium based surfactants, lecithin and other phospholipids, bile salts, polyoxyethylene castor oil derivatives, polyoxyethylene sorbitan fatty acid esters, Sorbitan fatty acid esters, Sucrose fatty acid esters, alkyl glucopyranosides, alkyl maltopyranosides, glycerol fatty acid esters, Alkyl Benzene Sulphonic Acids, Alkyl Ether Carboxylic Acids, Alkyl and aryl Phosphate esters, Alkyl and aryl Sulphate esters, Alkyl and aryl Sulphonic acids, Alkyl Phenol Phosphates esters, Alkyl Phenol Sulphates esters, Alkyl and Aryl Phosphates, Alkyl Polysaccharides, Alkylamine Ethoxylates, Alkyl-Naphthalene Sulphonates formaldehyde condensates, Sulfosuccinates, lignosulfonates, Ceto-Oleyl Alcohol Ethoxylates, Condensed Naphthalene Sulphonates, Dialkyl and Alkyl Naphthalene Sulphonates, Di-alkyl Sulphosuccinates, Ethoxylated nonylphenols, Ethylene Glycol Esters, Fatty Alcohol Alkoxylates, Hydrogenated tallowalkylamines, Mono-alkyl Sulphosuccinamates, Nonyl Phenol Ethoxylates, Sodium Oleyl N-methyl Taurate, Tallowalkylamines, linear and branched dodecylbenzene sulfonic acids Preferably, the surfactant is selected from the group consisting of: sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 407, poloxamer 338, polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably the polymer is selected from the list of: polyvinylpyrrolidones (PVP), polyvinylalcohol, Acrylic acid based polymers and copolymers of acrylic acid.", "Preferably, the milling aid has a concentration selected from the group consisting of: 0.1-10 w/w, 0.1-5% w/w, 0.1-2.5% w/w, of 0.1-2% w/w, 0.1-1%, 0.5-5% w/w, 0.5-3% w/w, 0.5-2% w/w, 0.5-1.5%, 0.5-1% w/w, of 0.75-1.25% w/w, 0.75-1% and 1% w/w.", "Preferably the biologically active ingredient is milled with lactose monohydrate; mannitol; glucose; microcrystalline cellulose; tartaric acid; or lactose monohydrate and sodium dodecyl sulfate.", "Preferably, Diclofenac is milled with lactose mono-hydrate.", "Preferably, Meloxicam is milled with mannitol.", "Preferably, Diclofenac is milled with mannitol.", "Preferably, Meloxicam is milled with glucose.", "Preferably, Diclofenac is milled with glucose.", "Preferably, Meloxicam is milled with microcrystalline cellulose.", "Preferably, diclofenac in microcrystalline cellulose.", "Preferably, Meloxicam is milled with Tartaric acid.", "Preferably, Meloxicam is milled with lactose monohydrate.", "Preferably, Meloxicam is milled with mannitol.", "Preferably, Diclofenac is milled with lactose mono-hydrate and sodium dodecyl sulfate.", "Preferably, Meloxicam is milled with lactose monohydrate and sodium dodecyl sulfate.", "In another preferred embodiment, a facilitating agent or combination of facilitating agents is used.", "Preferably, the facilitating agent is selected from the group consisting of: surface stabilizers, binding agents, filling agents, lubricating agents, sweeteners, flavouring agents, preservatives, buffers, wetting agents, disintegrants, effervescent agents, agents that may form part of a medicament, including a solid dosage form and other excipient required for specific drug delivery.", "Preferably, the facilitating agent is added during dry milling.", "Preferably, the facilitating agent is added to the milled biologically active material and grinding matrix and further processed in a mechanofusion process.", "Mechanofusion milling causes mechanical energy to be applied to powders or mixtures of particles in the micrometre and nanometre.", "The reasons for including facilitating agents include, but are not limited to providing better dispersibility, control of agglomeration, the release or retention of the active particles from the delivery matrix.", "Examples of facilitating agents include, but are not limited to stearic acid, magnesium stearate, calcium stearate, sodium stearyl fumarate, sodium stearyl lactylate, zinc stearate, sodium stearate or lithium stearate, other solid state fatty acids such as oleic acid, lauric acid, palmitic acid, erucic acid, behenic acid, or derivatives (such as esters and salts), amino acids such as leucine, isoleucine, lysine, valine, methionine, phenylalanine, aspartame or acesulfame K. In a preferred aspect of manufacturing this formulation the facilitating agent is added to the milled mixture of biologically active material and co-grinding matrix and further processed in another milling device such as Mechanofusion, Cyclomixing, or impact milling such as ball milling, jet milling, or milling using a high pressure homogeniser, or combinations thereof.", "In a highly preferred aspect the facilitating agent is added to the milling of the mixture of biologically active material and co-grinding matrix as some time before the end of the milling process.", "Preferably, the facilitating agent is added to the dry milling at a time selected from the group consisting of: with 1-5% of the total milling time remaining, with 1-10% of the total milling time remaining, with 1-20% of the total milling time remaining, with 1-30% of the total milling time remaining, with 2-5% of the total milling time remaining, with 2-10% of the total milling time remaining, with 5-20% of the total milling time remaining and with 5-20% of the total milling time remaining.", "In another preferred embodiment, a disintegrant is selected from the group consisting of: crosslinked PVP, cross linked carmellose and sodium starch glycolate.", "In another preferred embodiment, the dissolution profile of the measurement sample or prototype formulation thereof is improved by a factor selected from the group consisting of: wherein X is reached in 10 minutes, wherein X is reached within 10-20 minutes, wherein X is reached within 10-30 mins, wherein X is reached within 10-40 mins, wherein X is reached within 10-50 mins, wherein X is reached within 20-30 mins, wherein X is reached within 20-40 mins, wherein X is reached within 20-50 mins, wherein X is reached within 30-40 mins, wherein X is reached within 30-50 mins and wherein X is reached within 40-50 mins, wherein X is defined as the concentration equal to the dissolution concentration achieved by a control sample or prototype formulation thereof of the biologically active material or compound after 60 minutes.", "In another preferred embodiment, the dissolution profile of the measurement sample or prototype formulation thereof is improved by a factor selected from the group consisting of: wherein Y is reached in 5 minutes, wherein Y is reached within 10 minutes, wherein Y is reached within 10-15 mins, wherein Y is reached within 10-20 mins, wherein Y is reached within 10-25 mins, wherein Y is reached within 15-20 mins, wherein Y is reached within 15-25 mins, wherein Y is reached within 20-25 mins, wherein Y is defined as the concentration equal to the dissolution concentration achieved by a control sample (or prototype formulation thereof) of the biologically active material or compound after 30 minutes.", "In a second aspect the invention comprises a biologically active material produced by the method described herein and composition comprising the biologically active material as described herein.", "Preferably, the particles have an average particle size equal or greater than 1 μm determined on a particle number average basis.", "Preferably, the average particle size of the biologically active material has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "Preferably, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "Preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the median particle size has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "Preferably, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "Preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subject to the method described herein.", "Preferably, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has had no significant increase in amorphous content following subjecting the material to the method as described herein.", "Preferably, the biologically active material is selected from the group consisting of: fungicides, pesticides, herbicides, nutraceuticals, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "In one preferred embodiment, the invention comprises compositions comprising the biologically active ingredient together with a grinding matrix, a mixture of grinding matrix materials, milling aids, mixtures of milling aids, facilitating agents and/or mixtures of facilitating agents as described herein, in concentrations and ratios as described herein under the methods of the invention.", "In a third aspect the invention comprises a pharmaceutical composition comprising a biologically active material produced by the method described herein and compositions described herein.", "Preferably, the invention comprises pharmaceutical compositions comprising the biologically active ingredient together with a grinding matrix, a mixture of grinding matrix materials, milling aids, mixtures of milling aids, facilitating agents and/or mixtures of facilitating agents as described herein, in concentrations and ratios as described herein under the methods of the invention.", "Preferably, the particles have an average particle size equal or greater than 1 μm determined on a particle number basis.", "Preferably, the average particle size of the biologically active material has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "Preferably, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "Preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the median particle size has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "Preferably, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "Preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subject to the method as described herein.", "Preferably, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has no significant increase in amorphous content after subjecting the material to the method as described herein.", "Preferably, the biologically active material is selected from the group consisting of: new chemical entities, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "Preferably cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients and nutraceuticals are selected from the group consisting of: Glycolic acids, Lactic acids, Carrageenan, Almonds, Mahogany wood, Andrographis Paniculata , Aniseed, Anthemis nobilis (chamomile), Apricot kernel, leaves of bearberry, leaves of cranberry, leaves of blueberry, leaves of pear trees, beta-carotene, black elderberry, black raspberry, black walnut shell, blackberry, bladderwrack, bletilla striata , borage seed, boysenberry, brazil nut, burdock root, butcher's broom extract, calamine, calcium gluconate, calendula, carnosic acid, Cantella asiatica , charcoal, chaste tree fruit, Chicory root extract, chitosan, choline, cichorium intybus, clematis vitalba, coffea Arabica , coumarin, crithmum maritimum , curcumin, coffee, cocoa, cocoa powder, cocoa nibs, cocoa mass, cocoa liquor, cocoa products, dogwood, Echinacea, echium lycopsis , anise, atragalus, bilberry, bitter orange, black cohosh, cat's claw, chamomile, chasteberry, cranberry, dandelion, Echinacea, ephedra, European elder Epilobium angustifolium , horse chestnut, cloves, evening primrose, fennel seed, fenugreek, feverfew, flaxseed, fumaria officinalis , garlic, geranium, ginger, ginkgo, ginseng, goldenseal, grape seed, green tea, guava, hawthorn, hayflower, hazelnut, helichrysum, hoodia, horseradish, mulbe italicum , hibiscus, hierochloe odorata , hops, horse chestnut, ilex paraguariensis , indian gooseberry, irish moss, juniper berry, kudzu root, lady's thistle, lavender, lemongrass, lentius edodes , licorice, longifolene, loquat, lotus seed, luffa cylindrica , lupine, maroinberry, marjoram, meadowsweet, milk vetch root, mimosa tenuiflora , mistletoe, mulberry, noni, kelp, oatmeal, oregano, papaya, parsley, peony root, pomegranate, pongamia glabra seed, pongamia pinnata , quinoa seed, red raspberry, rose hip, rosemary, sage, saw palmetto, soy bean, szechuan peppercorn, tephrosia purpurea, terminalia catappa, terminalia sericea , thunder god vine, thyme, turmeric, valeriana officinalis , walnuts, white tea leaf, yam, witch hazel, wormwood, yarrow, valerian, yohimbe, mangosteen, sour sob, goji berry, spirulina and durian skin.", "In a fourth aspect the invention comprises a method of treating a human in need of such treatment comprising the step of administering to the human an effective amount of a pharmaceutical composition as described herein.", "In a fifth aspect the invention comprises a method for manufacturing a pharmaceutical composition as described herein comprising the step of combining a therapeutically effective amount of a biologically active material prepared by a method described herein together with a pharmaceutically acceptable carrier to produce a pharmaceutically acceptable dosage form.", "In a sixth aspect the invention comprises a method for manufacturing a veterinary product comprising the step of combining a therapeutically effective amount of the biologically active material prepared by a method as described herein together with an acceptable excipient to produce a dosage form acceptable for veterinary use.", "In a seventh aspect the invention comprises a method for manufacturing an agricultural product comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation such as, but not limited to a water dispersible granule, wettable granule, dry flowable granule or soluble granule that is used to prepare a solution for use in agricultural applications.", "Preferably, the product is selected from the group consisting of: herbicides, pesticides, seed treatments, herbicide safeners, plant growth regulators and fungicides.", "The methods of the invention can be used to increase the dissolution of the biologically active material particles in water or other solvents, resulting in better, faster or more complete preparation and mixing.", "This will result in a more consistent product performance such as better weed, disease and pest control and other practical benefits such as faster machinery, tank and sprayer cleanout, less rinsate, and a reduced impact on the environment.", "In a future aspect the invention comprises a method for manufacturing an agricultural product comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation such as, but not limited to a water dispersible granule, wettable granule, wettable powder or a powder for seed treatment that is used to prepare a dry powder or particle suspension for use in agricultural applications.", "Preferably, the product is selected from the group consisting of: herbicides, pesticides, seed treatments, herbicide safeners, plant growth regulators and fungicides.", "Another preferred aspect of the method of invention would be to produce powders that have active particles with a high surface area.", "Such powders would provide better performance in areas such as seed treatment where dry powders are applied to seeds as fungicides, herbicide safeners, plant growth regulators and other treatments.", "The higher surface area would provide more activity per mass of active used.", "In another preferred aspect actives such as pesticides, fungicides and seed treatments subject to the method of invention are formulated to produce suspensions of the actives when added to water or other solvents.", "As these suspensions will have particles of very small size and high surface area they will possess at least three highly desirable traits.", "The first is that small particles with high surface area will adhere better to surfaces such as leafs and other foliage that the suspension is applied to.", "This will result in better rain fastness and a longer period of activity.", "The second aspect is that smaller particles with a higher surface area deliver superior coverage per unit mass of active applied.", "For example, if 100 particles are needed on a leaf and if the particle diameter is reduced to one third of the former diameter by the methods of this invention, then the dosage can be reduced to about 11% of the former dosage, resulting in lower cost, less residue on harvested crops, and mitigation of environmental impact.", "In the third aspect the smaller particles will deliver better bioavailability.", "With many low solubility actives, such as fungicides and pesticides the particles that adhere to plant material slowly dissolve over days and weeks providing continued protection from disease and pests.", "With this method of invention able to deliver better bioavailability in many circumstances it will be possible to reduce the amount of active that needs to be applied.", "As with the second aspect such an outcome would lower costs, minimize residues and mitigate environmental impact.", "In a highly preferred aspect of the invention the powder produced in the milling process would be subject to a process such as wet or dry granulation that makes the powder free flowing and low in dust content yet easily dispersible once in water or other solvent.", "Preferably the biologically active material is a herbicide, pesticide, seed treatment, herbicide safener, plant growth regulator or fungicide selected from the group consisting of: 2-phenylphenol, 8-hydroxyquinoline sulfate, acibenzolar, allyl alcohol, azoxystrobin, basic benomyl, benzalkonium chloride, biphenyl, blasticidin-S, Bordeaux mixture, Boscalid, Burgundy mixture, butylamine, Cadendazim, calcium polysulfide, Captan, carbamate fungicides, carbendazim, carvone, chloropicrin, chlorothalonil, ciclopirox, clotrimazole, conazole fungicides, Copper hydroxide, copper oxychloride, copper sulfate, copper(II) carbonate, copper(II) sulfate, cresol, cryprodinil, cuprous oxide, cycloheximide, Cymoxanil, DBCP, dehydroacetic acid, dicarboximide fungicides, difenoconazole, dimethomorph, diphenylamine, disulfiram, ethoxyquin, famoxadone, fenamidone, Fludioxonil, formaldehyde, fosetyl, Fosetyl-aluminium, furfural, griseofulvin, hexachlorobenzene, hexachlorobutadiene, hexachlorophene, hexaconazole, imazalil, Imidacloprid, iodomethane, Iprodione, Lime sulfur, mancozeb, mercuric chloride, mercuric oxide, mercurous chloride, Metalaxyl, metam, methyl bromide, methyl isothiocyanate, metiram, natamycin, nystatin, organotin fungicides, oxythioquinox, pencycuron, pentachlorophenol, phenylmercury acetate, potassium thiocyanate, procymidone, propiconazole, propineb, pyraclostrobin, pyrazole fungicides, pyridine fungicides, pyrimethanil, pyrimidine fungicides, pyrrole fungicides, quinoline fungicides, quinone fungicides, sodium azide, streptomycin, sulfur, Tebucanazole, thiabendazole, thiomersal, tolnaftate, Tolylfluanid, triadimersol, tributyltin oxide, Trifloxystrobin, triflumuron, Undecylenic acid, urea fungicides, vinclozolin, Ziram, 3-dihydro-3-methyl-1, 3-thiazol-2-ylidene-xylidene, 4-D esters, 4-DB esters, 4-parathion methyl, Acetamiprid, aclonifen, acrinathrin, alachlor, allethrin, alpha-cypermethrin, Aluminium phosphide, amitraz, anilophos, azaconazole, azinphos-ethyl, azinphos-methyl, benalaxyl, benfluralin, benfuracarb, benfuresate, bensulide, benzoximate, benzoylprop-ethyl, betacyfluthrin, beta-cypermethrin, bifenox, bifenthrin, binapacryl, bioallethrin, bioallethrin S, bioresmethrin, biteranol, Brodifacoum, bromophos, bromopropylate, bromoxynil, bromoxynil esters, bupirimate, buprofezin, butacarboxim, butachlor, butamifos, butoxycarboxin, butralin, butylate, calcium sulfate, cambda-cyhalothrin, carbetamide, carboxin, chlordimeform, chlorfenvinphos, chlorflurazuron, chlormephos, chlornitrofen, chlorobenzilate, chlorophoxim, chloropropylate, chlorpropham, Chlorpyrifos, chlorpyrifos-methyl, cinmethylin, clethodim, clomazone, clopyralid esters, CMPP esters, cyanophos, cycloate, cycloprothrin, cycloxydim, cyfluthrin, cyhalothrin, cypermethrin, cyphenothrin, cyproconazole, deltamethrin, demeton-S-methyl, desmedipham, dichlorprop esters, dichlorvos, diclofop-methyldiethatyl, dicofol, difenoconazole, dimethachlor, dimethomoph, diniconazole, dinitramine, dinobuton, dioxabenzafos, dioxacarb, disulfoton, ditalimfos, dodemorph, dodine, edifenphos, emamectin, empenthrin, endosulfan, EPNethiofencarb, epoxyconazole, esfenvalerate, ethalfluralin, ethofumesate, ethoprophos, ethoxyethyl, etofenprox, etridiazole, etrimphos, Famoxadone, fenamiphos, fenarimol, fenazaquin, fenitrothion, fenobucarb, fenoxapropethyl, fenoxycarb, fenpropathrin, fenpropidin, fenpropimorph, fenthiocarb, fenthion, fenvalerate, fluazifop, fluazifop-P, fluchloralin, flucythrinate, flufenoxim, flufenoxuron, flumetralin, fluorodifen, fluoroglycofen ethyl, fluoroxypyr esters, flurecol butyl, flurochloralin, flusilazole, formothion, gamma-HCH, haloxyfop, haloxyfop-methyl, hexaflumuron, hydroprene, imibenconazole, indoxacarb, ioxynil esters, isofenphos, isoprocarb, isopropalin, isoxathion, malathion, maneb, MCPA esters, mecoprop-P esters, mephospholan, Metaldehyde, methidathion, Methomyl, methoprene, methoxychlor, metolachlor, mevinphos, monalide, myclobutanil, N-2, napropamide, nitrofen, nuarimol, oxadiazon, oxycarboxin, oxyfluorfen, penconazole, pendimethalin, permethrin, phenisopham, phenmedipham, phenothrin, phenthoate, phosalone, phosfolan, phosmet, picloram esters, pirimicarb, pirimiphos-ethyl, pirimiphos-methyl, pretilachlor, prochloraz, profenofos, profluralin, promecarb, propachlor, propanil, propaphos, propaquizafop, propargite, propetamphos, pymetrozine, pyrachlofos, pyridate, pyrifenox, quinalphos, quizalofop-P, resmethrin, Spinetoram J, Spinetoram L, Spinosad A, Spinosad B, tau-fluvalinate, tebuconazole, Tebufenozide, tefluthrin, temephos, terbufos, tetrachlorinphos, tetraconazole, tetradifon, tetramethrin, Thiamethoxam, tolclofos-methyl, tralomethrin, triadimefon, triadimenol, triazophos, triclopyr esters, tridemorph, tridiphane, triflumizole, trifluralin, xylylcarb, 3-dihydro-3-methyl-1, 3-thiazol-2-ylidene-xylidene, 4-D esters, 4-DB esters, 4-parathion methyl, Acetamiprid, acetochlor, aclonifen, acrinathrin, alachlor, allethrin, alpha-cypermethrin, Aluminium phosphide, amitraz, anilophos, azaconazole, azinphos-ethyl, azinphos-methyl, benalaxyl, benfluralin, benfuracarb, benfuresate, bensulide, benzoximate, benzoylprop-ethyl, betacyfluthrin, beta-cypermethrin, bifenox, bifenthrin, binapacryl, bioallethrin, bioallethrin S, bioresmethrin, biteranol, Brodifacoum, bromophos, bromopropylate, bromoxynil, bromoxpil esters, bupirimate, buprofezin, Butacarboxim, butachlor, butamifos, butoxycarboxin, butralin, butylate, calcium sulfate, cambda-cyhalothrin, carbetamide, carboxin, chlordimeform, chlorfenvinphos, chlorflurazuron, chlormephos, chlornitrofen, chlorobenzilate, chlorophoxim, chloropropylate, chlorpropham, Chlorpyrifos, chlorpyrifos-methyl, cinmethylin, clethodim, clomazone, clopyralid esters, CMPP esters, cyanophos, cycloate, cycloprothrin, cycloxydim, cyfluthrin, cyhalothrin, cypermethrin, cyphenothrin, cyproconazole, deltamethrin, demeton-S-methyl, desmedipham, dichlorprop esters, dichlorvos, diclofop-methyldiethatyl, dicofol, dimethachlor, dimethomoph, diniconazole, dinitramine, dinobuton, dioxabenzafos, dioxacarb, disulfoton, ditalimfos, dodemorph, dodine, edifenphos, emamectin, empenthrin, endosulfan, EPNethiofencarb, epoxyconazole, esfenvalerate, ethalfluralin, ethofumesate, ethoprophos, ethoxyethyl, ethoxyquin, etofenprox, etridiazole, etrimphos, fenamiphos, fenarimol, fenazaquin, fenitrothion, fenobucarb, fenoxapropethyl, fenoxycarb, fenpropathrin, fenpropidin, fenpropimorph, fenthiocarb, fenthion, fenvalerate, fluazifop, fluazifop-P, fluchloralin, flucythrinate, flufenoxim, flufenoxuron, flumetralin, fluorodifen, fluoroglycofen ethyl, fluoroxypyr esters, flurecol butyl, flurochloralin, flusilazole, formothion, gamma-HCH, haloxyfop, haloxyfop-methyl, hexaflumuron, hydroprene, imibenconazole, indoxacarb, ioxynil esters, isofenphos, isoprocarb, isopropalin, isoxathion, malathion, maneb, MCPA esters, mecoprop-P esters, mephospholan, Metaldehyde, methidathion, Methomyl, methoprene, methoxychlor, mevinphos, monalide, myclobutanil, myclobutanil, N-2, napropamide, nitrofen, nuarimol, oxadiazon, oxycarboxin, oxyfluorfen, penconazole, permethrin, phenisopham, phenmedipham, phenothrin, phenthoate, phosalone, phosfolan, phosmet, picloram esters, pirimicarb, pirimiphos-ethyl, pirimiphos-methyl, pretilachlor, prochloraz, profenofos, profluralin, promecarb, propachlor, propanil, propaphos, propaquizafop, propargite, propetamphos, pymetrozine, pyridate, pyrifenox, quinalphos, quizalofop-P, resmethrin, Spinetoram J, Spinetoram L, Spinosad A, Spinosad B, tau-fluvalinate, Tebufenozide, tefluthrin, temephos, terbufos, tetrachlorinphos, tetraconazole, tetradifon, tetramethrin, Thiamethoxam, tolclofos-methyl, tralomethrin, triadimenol, triazophos, triclopyr esters, tridemorph, tridiphane, triflumizole, trifluralin, xylylcarb and any combination thereof.", "In an eighth aspect the invention comprises a method for manufacturing of a pharmaceutical formulation comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation that can deliver a therapeutically effective amount of active to the pulmonary or nasal area.", "Such a formulation could be, but is not limited to a dry powder formulation for oral inhalation to the lungs or a formulation for nasal inhalation.", "Preferably the method for manufacturing such a formulation uses lactose, mannitol, sucrose, sorbitol, xylitol or other sugars or polyols as the co-grinding matrix together with surfactant such as, but not limited to lecithin, DPPC (dipalmitoyl phosphatidylcholine), PG (phosphatidylglycerol), dipalmitoyl phosphatidyl ethanolamine (DPPE), dipalmitoyl phosphatidylinositol (DPPI) or other phospholipid.", "The particle size of the material produced by the invention disclosed herein results in the materials being readily aerosolized and suitable for methods of delivery to a subject in need thereof, including pulmonary and nasal delivery methods.", "While the method of the present invention has particular application in the preparation of poorly water-soluble biologically active materials, the scope of the invention is not limited thereto.", "For example, the method of the present invention enables production of highly water-soluble biologically active materials.", "Such materials may exhibit advantages over conventional materials by way of, for example, more rapid therapeutic action or lower dose.", "In contrast, wet grinding techniques utilizing water (or other comparably polar solvents) are incapable of being applied to such materials, as the particles dissolve appreciably in the solvent.", "Other aspects and advantages of the invention will become apparent to those skilled in the art from a review of the ensuing description." ], [ "This application is a continuation of U.S. application Ser.", "No.", "13/925,325, filed Jun.", "24, 2013, which is a continuation of U.S. application Ser.", "No.", "13/265,927, filed Mar.", "9, 2012, which is a U.S. national stage under 35 USC § 371 of International Application Number PCT/AU2010/000465, filed on 23 Apr.", "2012, which claims priority to AU Application No.", "2009901741, filed on 24 Apr.", "2009 and U.S.", "Application No.", "61/172,301, filed on 24 Apr.", "2009, the entire contents of which applications is hereby incorporated by reference.", "FIELD OF THE INVENTION The present invention relates to methods for improving the dissolution profile of a biologically active material.", "The invention also relates to biologically active materials in particulate form produced by said methods, compositions comprising such materials, medicaments produced using said biologically active materials in particulate form and/or compositions, and to methods of treatment of an animal, including man, using a therapeutically effective amount of said biologically active materials administered by way of said medicaments.", "BACKGROUND Poor bioavailability is a significant problem encountered in the development of therapeutic compositions, particularly those materials containing a biologically active material that is poorly soluble in water at physiological pH.", "An active material's bioavailability is the degree to which the active material becomes available to the target tissue in the body after systemic administration through, for example, oral or intravenous means.", "Many factors affect bioavailability, including the form of dosage and the solubility and dissolution rate of the active material.", "Poorly and slowly water-soluble materials tend to be eliminated from the gastrointestinal tract before being absorbed into the circulation.", "In addition, poorly soluble active agents tend to be disfavored or even unsafe for intravenous administration due to the risk of particles of agent blocking blood flow through capillaries.", "It is known that the rate of dissolution of a particulate drug will increase with increasing surface area.", "One way of increasing surface area is decreasing particle size.", "Consequently, methods of making finely divided or sized drugs have been studied with a view to controlling the size and size range of drug particles for pharmaceutical compositions.", "For example, dry milling techniques have been used to reduce particle size and hence influence drug absorption.", "However, in conventional dry milling the limit of fineness is reached generally in the region of about 100 microns (100,000 nm), at which point material cakes on the milling chamber and prevents any further diminution of particle size.", "Alternatively, wet grinding may be employed to reduce particle size, but flocculation restricts the lower particle size limit to approximately 10 microns (10,000 nm).", "The wet milling process, however, is prone to contamination, thereby leading to a bias in the pharmaceutical art against wet milling.", "Another alternative milling technique, commercial airjet milling, has provided particles ranging in average size from as low as about 1 to about 50 microns (1,000-50,000 nm).", "There are several approaches currently used to formulate poorly soluble active agents.", "One approach is to prepare the active agent as a soluble salt.", "Where this approach cannot be employed, alternate (usually physical) approaches are employed to improve the solubility of the active agent.", "Alternate approaches generally subject the active agent to physical conditions that change the agent's physical and or chemical properties to improve its solubility.", "These include process technologies such as micronization, modification of crystal or polymorphic structure, development of oil based solutions, use of co-solvents, surface stabilizers or complexing agents, micro-emulsions, super critical fluid and production of solid dispersions or solutions.", "More than one of these processes may be used in combination to improve formulation of a particular therapeutic material.", "Many of these approaches commonly convert a drug into an amorphous state, which generally leads to a higher dissolution rate.", "However, formulation approaches that result in the production of amorphous material are not common in commercial formulations due to concerns relating to stability and the potential for material to re-crystallize.", "These techniques for preparing such pharmaceutical compositions tend to be complex.", "By way of example, a principal technical difficulty encountered with emulsion polymerization is the removal of contaminants, such as unreacted monomers or initiators (which may have undesirable levels of toxicity), at the end of the manufacturing process.", "Another method of providing reduced particle size is the formation of pharmaceutical drug microcapsules, which techniques include micronizing, polymerisation and co-dispersion.", "However, these techniques suffer from a number of disadvantages including at least the inability to produce sufficiently small particles such as those obtained by milling, and the presence of co-solvents and/or contaminants such as toxic monomers which are difficult to remove, leading to expensive manufacturing processes.", "Over the last decade, intense scientific investigation has been carried out to improve the solubility of active agents by converting the agents to ultra fine powders by methods such as milling and grinding.", "These techniques may be used to increase the dissolution rate of a particulate solid by increasing the overall surface area and decreasing the mean particle size.", "U.S. Pat.", "No.", "6,634,576 discloses examples of wet-milling a solid substrate, such as a pharmaceutically active compound, to produce a “synergetic co-mixture”.", "International Patent Application PCT/AU2005/001977 (Nanoparticle Composition(s) and Method for Synthesis Thereof) describes, inter alia, a method comprising the step of contacting a precursor compound with a co-reactant under mechanochemical synthesis conditions wherein a solid-state chemical reaction between the precursor compound and the co-reactant produces therapeutically active nanoparticles dispersed in a carrier matrix.", "Mechanochemical synthesis, as discussed in International Patent Application PCT/AU2005/001977, refers to the use of mechanical energy to activate, initiate or promote a chemical reaction, a crystal structure transformation or a phase change in a material or a mixture of materials, for example by agitating a reaction mixture in the presence of a milling media to transfer mechanical energy to the reaction mixture, and includes without limitation “mechanochemical activation”, “mechanochemical processing”, “reactive milling”, and related processes.", "International Patent Application PCT/AU2007/000910 (Methods for the preparation of biologically active compounds in nanoparticulate form) describes, inter alia, a method for dry milling raloxifene with lactose and NaCl which produced nanoparticulate raloxifene without significant aggregation problems.", "One limitation of this method is an upper limit to the drug content that can be successfully milled to produce nanoparticles.", "For some drugs that require a high dose this limitation may restrict the options available for the production of a commercially viable dosage form.", "The present invention provides methods for improving the dissolution profile of a biologically active material which ameliorate some of the problems attendant with prior technologies, or provides an alternative thereto.", "One example of a therapeutic area where this technology could be applied in is the area of acute pain management.", "Many pain medications such as meloxicam (marketed as Mobic® by pharmaceutical company Boehringer Ingelheim) provides pain relief for chronic pain, but must be taken on a daily basis to maintain an effective therapeutic level.", "Meloxicam is a poorly water soluble drug which is only slowly absorbed by the body (Tmax is 4-5 hours), so a method such as the present invention which provides for improved dissolution, will likely provide much faster absorption resulting in a more rapid onset of the therapeutic effect.", "Meloxicam also has a long half life (15-20 hours) that means it only need be taken once a day.", "By using a method such as the present invention, which provides faster absorption, a drug such as meloxicam, could be transformed from a chronic pain drug to an acute pain drug.", "For meloxicam this would provide a medication that could provide therapeutic relief for acute pain, with the advantage of sustained pain relief over 24 hours.", "Meloxicam also has sub-optimal bioavailability at 89% for an oral capsule, compared with an IV dosage form.", "A component of this sub optimal bioavailability is also likely due to the poor water solubility of this drug.", "If the low solubility does contribute to this sub optimal bioavailability, the improvement of the dissolution of this drug with a method such as the present invention could provide scope to produce a dosage form with a lower active dose whilst still providing the effective therapeutic dose.", "Although the background to the present invention is discussed in the context of improving the bioavailability of materials that are poorly or slowly water soluble, the applications of the methods of the present invention are not limited to such, as is evident from the following description of the invention.", "Further, although the background to the present invention is largely discussed in the context of improving the bioavailability of therapeutic or pharmaceutical compounds, the applications of the methods of the present invention are clearly not limited to such.", "For example, as is evident from the following description, applications of the methods of the present invention include but are not limited to: nutraceutical and nutritional compounds, complementary medicinal compounds, veterinary therapeutic applications and agricultural chemical applications, such as pesticide, fungicide or herbicide.", "Furthermore, an application of the current invention would be to materials which contain a biologically active compound such as, but not limited to a therapeutic or pharmaceutical compound, a nutraceutical or nutrient, a complementary medicinal product such as active components in plant or other naturally occurring material, a veterinary therapeutic compound or an agricultural compound such as a pesticide, fungicide or herbicide.", "Specific examples would be the spice turmeric that contains the active compound curcumin, or flax seed that contains the nutrient ALA an omega-3 fatty acid.", "As these specific examples indicate this invention could be applied to, but not limited to, a range of natural products such as seeds, cocoa and cocoa solids, coffee, herbs, spices, other plant materials or food materials that contain a biologically active compound.", "The application of this invention to these types of materials would enable greater availability of the active compound in the materials when used in the relevant application.", "For example where material subject to this invention is orally ingested the active would be more bioavailable.", "SUMMARY OF THE INVENTION In one aspect the present invention is directed to the unexpected finding that the dissolution profile of biologically active materials can be improved by dry milling solid biologically active material to a particle size of greater than 1 μm.", "In one surprising aspect of the invention, the dissolution profile of a biologically active material can be improved without substantially reducing the particle size of the material or reducing the material to nanoparticulate form.", "In another surprising aspect of the invention, the material retains its crystalline structure and is not amorphous, yet the dissolution profile of the biologically active material is improved.", "In another surprising aspect of the invention, the dissolution profile of a biologically active material is improved without the need for a surfactant or stabiliser.", "In another surprising aspect of the invention, the dissolution profile of a biologically active material is improved without the need for a disintegrant to be present during the milling process.", "Thus, in a first aspect the invention comprises a method for improving the dissolution profile of a biologically active material, comprising the steps of: dry milling a solid biologically active material and a millable grinding matrix in a mill comprising a plurality of milling bodies, for a time period sufficient to produce particles of the biologically active material dispersed in an at least partially milled grinding material.", "In one preferred embodiment, the particles have an average particle size equal or greater than 1 μm determined on a particle number basis.", "More preferably, the average particle size of the biologically active material may be reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Even more preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "In another preferred embodiment, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "More preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Alternatively, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "In another preferred embodiment, the median particle size may be reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "In another preferred embodiment, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "In another preferred embodiment, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "More preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subjected to the method as described herein.", "In another preferred embodiment, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has no significant increase in amorphous content after subjecting the material to the method as described herein.", "In another preferred embodiment, the milling time period is a range selected from the group consisting of: between 10 minutes and 2 hours, between 10 minutes and 1 hour, between 10 minutes and 45 minutes, between 10 minutes and 30 minutes, between 5 minutes and 30 minutes, between 5 minutes and 20 minutes, between 2 minutes and 10 minutes, between 2 minutes and 5 minutes, between 1 minutes and 20 minutes, between 1 minute and 10 minutes, and between 1 minute and 5 minutes.", "In another preferred embodiment, the milling medium is selected from the group consisting of: ceramics, glasses, polymers, ferromagnetics and metals.", "Preferably, the milling medium is steel balls having a diameter selected from the group consisting of: between 1 and 20 mm, between 2 and 15 mm and between 3 and 10 mm.", "In another preferred embodiment, the milling medium is zirconium oxide balls having a diameter selected from the group consisting of: between 1 and 20 mm, between 2 and 15 mm and between 3 and 10 mm.", "Preferably, the dry milling apparatus is a mill selected from the group consisting of: attritor mills (horizontal or vertical), nutating mills, tower mills, pearl mills, planetary mills, vibratory mills, eccentric vibratory mills, gravity-dependent-type ball mills, rod mills, roller mills and crusher mills.", "Preferably the milling medium within the milling apparatus is mechanically agitated by 1, 2 or 3 rotating shafts.", "Preferably, the method is configured to produce the biologically active material in a continuous fashion.", "Preferably, the total combined amount of biologically active material and grinding matrix in the mill at any given time is equal to or greater than a mass selected from the group consisting of: 200 grams, 500 grams, 1 kg, 2 kg, 5 kg, 10 kg, 20 kg, 30 kg, 50 kg, 75 kg, 100 kg, 150 kg, 200 kg.", "Preferably, the total combined amount of biologically active material and grinding matrix is less than 2000 kg.", "In another preferred embodiment, the biologically active material is selected from the group consisting of: fungicides, pesticides, herbicides, seed treatments, cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients, nutraceuticals, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids additives, foods and food ingredients and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "In another preferred embodiment, the grinding matrix is a single matrix or is a mixture of two or more matrices in any proportion.", "Preferably, the major components of the grinding matrix are selected from the group consisting of: mannitol, sorbitol, Isomalt, xylitol, maltitol, lactitol, erythritol, arabitol, ribitol, glucose, fructose, mannose, galactose, anhydrous lactose, lactose monohydrate, sucrose, maltose, trehalose, maltodextrins, dextrin, Inulin, dextrates, polydextrose, starch, wheat flour, corn flour, rice flour, rice starch, tapioca flour, tapioca starch, potato flour, potato starch, other flours and starches, milk powder, skim milk powders, other milk solids and derivatives, soy flour, soy meal or other soy products, cellulose, microcrystalline cellulose, microcrystalline cellulose based co blended materials, pregelatinized (or partially) starch, HPMC, CMC, HPC, citric acid, tartaric acid, malic acid, maleic acid fumaric acid, ascorbic acid, succinic acid, sodium citrate, sodium tartrate, sodium malate, sodium ascorbate, potassium citrate, potassium tartrate, potassium malate, potassium ascorbate, sodium carbonate, potassium carbonate, magnesium carbonate, sodium bicarbonate, potassium bicarbonate and calcium carbonate.", "dibasic calcium phosphate, tribasic calcium phosphate, sodium sulfate, sodium chloride, sodium metabisulphite, sodium thiosulfate, ammonium chloride, Glauber's salt, ammonium carbonate, sodium bisulfate, magnesium sulfate, potash alum, potassium chloride, sodium hydrogen sulfate, sodium hydroxide, crystalline hydroxides, hydrogen carbonates, ammonium chloride, methylamine hydrochloride, ammonium bromide, silica, thermal silica, alumina, titanium dioxide, talc, chalk, mica, kaolin, bentonite, hectorite, magnesium trisilicate, clay based materials or aluminium silicates, sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 407, poloxamer 338, polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably, the concentration of the single (or first) material is selected from the group consisting of: 5-99% w/w, 10-95% w/w, 15-85% w/w, of 20-80% w/w, 25-75% w/w, 30-60% w/w, 40-50% w/w.", "Preferably, the concentration of the second or subsequent material is selected from the group consisting of: 5-50% w/w, 5-40% w/w, 5-30% w/w, of 5-20% w/w, 10-40% w/w, 10-30% w/w, 10-20% w/w, 20-40% w/w, or 20-30% w/w or if the second or subsequent material is a surfactant or water soluble polymer the concentration is selected from 0.1-10% w/w, 0.1-5% w/w, 0.1-2.5% w/w, of 0.1-2% w/w, 0.1-1%, 0.5-5% w/w, 0.5-3% w/w, 0.5-2% w/w, 0.5-1.5%, 0.5-1% w/w, of 0.75-1.25% w/w, 0.75-1% and 1% w/w.", "Preferably, the grinding matrix is selected from the group consisting of: (a) lactose monohydrate or lactose monohydrate combined with at least one material selected from the group consisting of: xylitol; lactose anhydrous; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(b) lactose anhydrous or lactose anhydrous combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(c) mannitol or mannitol combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(d) Sucrose or sucrose combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(e) Glucose or glucose combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(f) Sodium chloride or sodium chloride combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(g) xylitol or xylitol combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(h) Tartaric acid or tartaric acid combined with at least one material selected from the group consisting of: lactose monohydrate; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(i) microcrystalline cellulose or microcrystalline cellulose combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(j) Kaolin combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; talc; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "(k) Talc combined with at least one material selected from the group consisting of: lactose monohydrate; xylitol; lactose anhydrous; mannitol; microcrystalline cellulose; sucrose; glucose; sodium chloride; kaolin; calcium carbonate; malic acid; tartaric acid; trisodium citrate dihydrate; D,L-Malic acid; sodium pentane sulfate; sodium octadecyl sulfate; Brij700; Brij76; sodium n-lauroyl sacrosine; lecithin; docusate sodium; polyoxyl-40-stearate; Aerosil R972 fumed silica; sodium lauryl sulfate or other alkyl sulfate surfactants with a chain length between C5 to C18; polyvinyl pyrrolidone; sodium lauryl sulfate and polyethylene glycol 40 stearate, sodium lauryl sulfate and polyethylene glycol 100 stearate, sodium lauryl sulfate and PEG 3000, sodium lauryl sulphate and PEG 6000, sodium lauryl sulphate and PEG 8000, sodium lauryl sulphate and PEG 10000, sodium lauryl sulfate and Brij700, sodium lauryl sulfate and Poloxamer 407, sodium lauryl sulfate and Poloxamer 338, sodium lauryl sulfate and Poloxamer 188; Poloxamer 407, Poloxamer 338, Poloxamer 188, alkyl naphthalene sulfonate condensate/Lignosulfonate blend; Calcium Dodecylbenzene Sulfonate (Branched); Diisopropyl naphthalenesulphonate; erythritol distearate; linear and branched dodecylbenzene sulfonic acids; Naphthalene Sulfonate Formaldehyde Condensate; nonylphenol ethoxylate, POE-30; Phosphate Esters, Tristyrylphenol Ethoxylate, Free Acid; Polyoxyethylene (15) tallowalkylamines; sodium alkyl naphthalene sulfonate; sodium alkyl naphthalene sulfonate condensate; sodium alkylbenzene sulfonate; sodium isopropyl naphthalene sulfonate; Sodium Methyl Naphthalene; Formaldehyde Sulfonate; sodium salt of n-butyl naphthalene sulfonate; tridecyl alcohol ethoxylate, POE-18; Triethanolamine isodecanol phosphate ester; Triethanolamine tristyrylphosphate ester; Tristyrylphenol Ethoxylate Sulfate; Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably, the grinding matrix is selected from the group consisting of: a material considered to be Generally Regarded as Safe (GRAS) for pharmaceutical products; a material considered acceptable for use in an agricultural formulation; and a material considered acceptable for use in a veterinary formulation.", "In another preferred embodiment, a milling aid is used or a combination of milling aids.", "Preferably, the milling aid is selected from the group consisting of: colloidal silica, a surfactant, a polymer, a stearic acid and derivatives thereof.", "Preferably, the surfactant is selected from the group consisting of: polyoxyethylene alkyl ethers, polyoxyethylene stearates, polyethylene glycols (PEG), poloxamers, poloxamines, sarcosine based surfactants, polysorbates, aliphatic alcohols, alkyl and aryl sulfates, alkyl and aryl polyether sulfonates and other sulfate surfactants, trimethyl ammonium based surfactants, lecithin and other phospholipids, bile salts, polyoxyethylene castor oil derivatives, polyoxyethylene sorbitan fatty acid esters, Sorbitan fatty acid esters, Sucrose fatty acid esters, alkyl glucopyranosides, alkyl maltopyranosides, glycerol fatty acid esters, Alkyl Benzene Sulphonic Acids, Alkyl Ether Carboxylic Acids, Alkyl and aryl Phosphate esters, Alkyl and aryl Sulphate esters, Alkyl and aryl Sulphonic acids, Alkyl Phenol Phosphates esters, Alkyl Phenol Sulphates esters, Alkyl and Aryl Phosphates, Alkyl Polysaccharides, Alkylamine Ethoxylates, Alkyl-Naphthalene Sulphonates formaldehyde condensates, Sulfosuccinates, lignosulfonates, Ceto-Oleyl Alcohol Ethoxylates, Condensed Naphthalene Sulphonates, Dialkyl and Alkyl Naphthalene Sulphonates, Di-alkyl Sulphosuccinates, Ethoxylated nonylphenols, Ethylene Glycol Esters, Fatty Alcohol Alkoxylates, Hydrogenated tallowalkylamines, Mono-alkyl Sulphosuccinamates, Nonyl Phenol Ethoxylates, Sodium Oleyl N-methyl Taurate, Tallowalkylamines, linear and branched dodecylbenzene sulfonic acids Preferably, the surfactant is selected from the group consisting of: sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 407, poloxamer 338, polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably the polymer is selected from the list of: polyvinylpyrrolidones (PVP), polyvinylalcohol, Acrylic acid based polymers and copolymers of acrylic acid.", "Preferably, the milling aid has a concentration selected from the group consisting of: 0.1-10 w/w, 0.1-5% w/w, 0.1-2.5% w/w, of 0.1-2% w/w, 0.1-1%, 0.5-5% w/w, 0.5-3% w/w, 0.5-2% w/w, 0.5-1.5%, 0.5-1% w/w, of 0.75-1.25% w/w, 0.75-1% and 1% w/w.", "Preferably the biologically active ingredient is milled with lactose monohydrate; mannitol; glucose; microcrystalline cellulose; tartaric acid; or lactose monohydrate and sodium dodecyl sulfate.", "Preferably, Diclofenac is milled with lactose mono-hydrate.", "Preferably, Meloxicam is milled with mannitol.", "Preferably, Diclofenac is milled with mannitol.", "Preferably, Meloxicam is milled with glucose.", "Preferably, Diclofenac is milled with glucose.", "Preferably, Meloxicam is milled with microcrystalline cellulose.", "Preferably, diclofenac in microcrystalline cellulose.", "Preferably, Meloxicam is milled with Tartaric acid.", "Preferably, Meloxicam is milled with lactose monohydrate.", "Preferably, Meloxicam is milled with mannitol.", "Preferably, Diclofenac is milled with lactose mono-hydrate and sodium dodecyl sulfate.", "Preferably, Meloxicam is milled with lactose monohydrate and sodium dodecyl sulfate.", "In another preferred embodiment, a facilitating agent or combination of facilitating agents is used.", "Preferably, the facilitating agent is selected from the group consisting of: surface stabilizers, binding agents, filling agents, lubricating agents, sweeteners, flavouring agents, preservatives, buffers, wetting agents, disintegrants, effervescent agents, agents that may form part of a medicament, including a solid dosage form and other excipient required for specific drug delivery.", "Preferably, the facilitating agent is added during dry milling.", "Preferably, the facilitating agent is added to the milled biologically active material and grinding matrix and further processed in a mechanofusion process.", "Mechanofusion milling causes mechanical energy to be applied to powders or mixtures of particles in the micrometre and nanometre.", "The reasons for including facilitating agents include, but are not limited to providing better dispersibility, control of agglomeration, the release or retention of the active particles from the delivery matrix.", "Examples of facilitating agents include, but are not limited to stearic acid, magnesium stearate, calcium stearate, sodium stearyl fumarate, sodium stearyl lactylate, zinc stearate, sodium stearate or lithium stearate, other solid state fatty acids such as oleic acid, lauric acid, palmitic acid, erucic acid, behenic acid, or derivatives (such as esters and salts), amino acids such as leucine, isoleucine, lysine, valine, methionine, phenylalanine, aspartame or acesulfame K. In a preferred aspect of manufacturing this formulation the facilitating agent is added to the milled mixture of biologically active material and co-grinding matrix and further processed in another milling device such as Mechanofusion, Cyclomixing, or impact milling such as ball milling, jet milling, or milling using a high pressure homogeniser, or combinations thereof.", "In a highly preferred aspect the facilitating agent is added to the milling of the mixture of biologically active material and co-grinding matrix as some time before the end of the milling process.", "Preferably, the facilitating agent is added to the dry milling at a time selected from the group consisting of: with 1-5% of the total milling time remaining, with 1-10% of the total milling time remaining, with 1-20% of the total milling time remaining, with 1-30% of the total milling time remaining, with 2-5% of the total milling time remaining, with 2-10% of the total milling time remaining, with 5-20% of the total milling time remaining and with 5-20% of the total milling time remaining.", "In another preferred embodiment, a disintegrant is selected from the group consisting of: crosslinked PVP, cross linked carmellose and sodium starch glycolate.", "In another preferred embodiment, the dissolution profile of the measurement sample or prototype formulation thereof is improved by a factor selected from the group consisting of: wherein X is reached in 10 minutes, wherein X is reached within 10-20 minutes, wherein X is reached within 10-30 mins, wherein X is reached within 10-40 mins, wherein X is reached within 10-50 mins, wherein X is reached within 20-30 mins, wherein X is reached within 20-40 mins, wherein X is reached within 20-50 mins, wherein X is reached within 30-40 mins, wherein X is reached within 30-50 mins and wherein X is reached within 40-50 mins, wherein X is defined as the concentration equal to the dissolution concentration achieved by a control sample or prototype formulation thereof of the biologically active material or compound after 60 minutes.", "In another preferred embodiment, the dissolution profile of the measurement sample or prototype formulation thereof is improved by a factor selected from the group consisting of: wherein Y is reached in 5 minutes, wherein Y is reached within 10 minutes, wherein Y is reached within 10-15 mins, wherein Y is reached within 10-20 mins, wherein Y is reached within 10-25 mins, wherein Y is reached within 15-20 mins, wherein Y is reached within 15-25 mins, wherein Y is reached within 20-25 mins, wherein Y is defined as the concentration equal to the dissolution concentration achieved by a control sample (or prototype formulation thereof) of the biologically active material or compound after 30 minutes.", "In a second aspect the invention comprises a biologically active material produced by the method described herein and composition comprising the biologically active material as described herein.", "Preferably, the particles have an average particle size equal or greater than 1 μm determined on a particle number average basis.", "Preferably, the average particle size of the biologically active material has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "Preferably, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "Preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the median particle size has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "Preferably, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "Preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subject to the method described herein.", "Preferably, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has had no significant increase in amorphous content following subjecting the material to the method as described herein.", "Preferably, the biologically active material is selected from the group consisting of: fungicides, pesticides, herbicides, nutraceuticals, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "In one preferred embodiment, the invention comprises compositions comprising the biologically active ingredient together with a grinding matrix, a mixture of grinding matrix materials, milling aids, mixtures of milling aids, facilitating agents and/or mixtures of facilitating agents as described herein, in concentrations and ratios as described herein under the methods of the invention.", "In a third aspect the invention comprises a pharmaceutical composition comprising a biologically active material produced by the method described herein and compositions described herein.", "Preferably, the invention comprises pharmaceutical compositions comprising the biologically active ingredient together with a grinding matrix, a mixture of grinding matrix materials, milling aids, mixtures of milling aids, facilitating agents and/or mixtures of facilitating agents as described herein, in concentrations and ratios as described herein under the methods of the invention.", "Preferably, the particles have an average particle size equal or greater than 1 μm determined on a particle number basis.", "Preferably, the average particle size of the biologically active material has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the average particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-μm, 1-10 μm, 1-7.5 μm, 1-5 μm and 1-2 μm.", "Preferably, the particles have a median particle size selected from the group consisting of: equal or greater than 1 μm; and equal or greater than 2 μm, wherein the median particle size is determined on a particle volume basis.", "Preferably, the percentage of particles with an average particle size greater than 1 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the percentage of particles with an average particle size greater than 2 μm on a particle volume basis is a percentage selected from the group consisting of: 50%, 60%, 70%, 80%, 90%, 100%.", "Preferably, the median particle size has been reduced by a factor selected from the group consisting of: less than 5%, less than 10%, less than 20%, less than 30%, less than 40%, less than 50%, less than 60%, less than 70%, less than 80%, less than 90%, less than 95% and less than 99%.", "Preferably, the median particle size falls within the range selected from the group consisting of: 1-1000 μm, 1-500 μm, 1-300 μm, 1-200 μm, 1-150 μm, 1-100 μm, 1-50 μm, 1-20 μm, 1-10 μm, 1-7.5 μm, 1-5 μm 1-2 μm, 2-1000 μm, 2-500 μm, 2-300 μm, 2-200 μm, 2-150 μm, 2-100 μm, 2-50 μm, 2-20 μm, 2-10 μm, 2-7.5 μm and 2-5 μm.", "Preferably, the crystallinity profile of the biologically active material is selected from the group consisting of: at least 50% of the biologically active material is crystalline, at least 60% of the biologically active material is crystalline, at least 70% of the biologically active material is crystalline, at least 75% of the biologically active material is crystalline, at least 85% of the biologically active material is crystalline, at least 90% of the biologically active material is crystalline, at least 95% of the biologically active material is crystalline and at least 98% of the biologically active material is crystalline.", "Preferably, the crystallinity profile of the biologically active material is substantially equal to the crystallinity profile of the biologically active material before the material was subject to the method as described herein.", "Preferably, the amorphous content of the biologically active material is selected from the group consisting of: less than 50% of the biologically active material is amorphous, less than 40% of the biologically active material is amorphous, less than 30% of the biologically active material is amorphous, less than 25% of the biologically active material is amorphous, less than 15% of the biologically active material is amorphous, less than 10% of the biologically active material is amorphous, less than 5% of the biologically active material is amorphous and less than 2% of the biologically active material is amorphous.", "Preferably, the biologically active material has no significant increase in amorphous content after subjecting the material to the method as described herein.", "Preferably, the biologically active material is selected from the group consisting of: new chemical entities, pharmaceutical actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids and analogs, homologs and first order derivatives thereof.", "Preferably, the biologically active material is selected from the group consisting of: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "Preferably, the biologically active material is selected from the group consisting of: indomethacin, diclofenac, naproxen, meloxicam, metaxalone, cyclosporin A, progesterone celecoxib, cilostazol, ciprofloxacin, 2,4-dichlorophenoxyacetic acid, anthraquinone, creatine monohydrate, glyphosate, halusulfuron, mancozeb, metsulfuron, salbutamol, sulphur, tribenuran and estradiol or any salt or derivative thereof.", "Preferably cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients and nutraceuticals are selected from the group consisting of: Glycolic acids, Lactic acids, Carrageenan, Almonds, Mahogany wood, Andrographis Paniculata, Aniseed, Anthemis nobilis (chamomile), Apricot kernel, leaves of bearberry, leaves of cranberry, leaves of blueberry, leaves of pear trees, beta-carotene, black elderberry, black raspberry, black walnut shell, blackberry, bladderwrack, bletilla striata, borage seed, boysenberry, brazil nut, burdock root, butcher's broom extract, calamine, calcium gluconate, calendula, carnosic acid, Cantella asiatica, charcoal, chaste tree fruit, Chicory root extract, chitosan, choline, cichorium intybus, clematis vitalba, coffea Arabica, coumarin, crithmum maritimum, curcumin, coffee, cocoa, cocoa powder, cocoa nibs, cocoa mass, cocoa liquor, cocoa products, dogwood, Echinacea, echium lycopsis, anise, atragalus, bilberry, bitter orange, black cohosh, cat's claw, chamomile, chasteberry, cranberry, dandelion, Echinacea, ephedra, European elder Epilobium angustifolium, horse chestnut, cloves, evening primrose, fennel seed, fenugreek, feverfew, flaxseed, fumaria officinalis, garlic, geranium, ginger, ginkgo, ginseng, goldenseal, grape seed, green tea, guava, hawthorn, hayflower, hazelnut, helichrysum, hoodia, horseradish, mulbe italicum, hibiscus, hierochloe odorata, hops, horse chestnut, ilex paraguariensis, indian gooseberry, irish moss, juniper berry, kudzu root, lady's thistle, lavender, lemongrass, lentius edodes, licorice, longifolene, loquat, lotus seed, luffa cylindrica, lupine, maroinberry, marjoram, meadowsweet, milk vetch root, mimosa tenuiflora, mistletoe, mulberry, noni, kelp, oatmeal, oregano, papaya, parsley, peony root, pomegranate, pongamia glabra seed, pongamia pinnata, quinoa seed, red raspberry, rose hip, rosemary, sage, saw palmetto, soy bean, szechuan peppercorn, tephrosia purpurea, terminalia catappa, terminalia sericea, thunder god vine, thyme, turmeric, valeriana officinalis, walnuts, white tea leaf, yam, witch hazel, wormwood, yarrow, valerian, yohimbe, mangosteen, sour sob, goji berry, spirulina and durian skin.", "In a fourth aspect the invention comprises a method of treating a human in need of such treatment comprising the step of administering to the human an effective amount of a pharmaceutical composition as described herein.", "In a fifth aspect the invention comprises a method for manufacturing a pharmaceutical composition as described herein comprising the step of combining a therapeutically effective amount of a biologically active material prepared by a method described herein together with a pharmaceutically acceptable carrier to produce a pharmaceutically acceptable dosage form.", "In a sixth aspect the invention comprises a method for manufacturing a veterinary product comprising the step of combining a therapeutically effective amount of the biologically active material prepared by a method as described herein together with an acceptable excipient to produce a dosage form acceptable for veterinary use.", "In a seventh aspect the invention comprises a method for manufacturing an agricultural product comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation such as, but not limited to a water dispersible granule, wettable granule, dry flowable granule or soluble granule that is used to prepare a solution for use in agricultural applications.", "Preferably, the product is selected from the group consisting of: herbicides, pesticides, seed treatments, herbicide safeners, plant growth regulators and fungicides.", "The methods of the invention can be used to increase the dissolution of the biologically active material particles in water or other solvents, resulting in better, faster or more complete preparation and mixing.", "This will result in a more consistent product performance such as better weed, disease and pest control and other practical benefits such as faster machinery, tank and sprayer cleanout, less rinsate, and a reduced impact on the environment.", "In a future aspect the invention comprises a method for manufacturing an agricultural product comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation such as, but not limited to a water dispersible granule, wettable granule, wettable powder or a powder for seed treatment that is used to prepare a dry powder or particle suspension for use in agricultural applications.", "Preferably, the product is selected from the group consisting of: herbicides, pesticides, seed treatments, herbicide safeners, plant growth regulators and fungicides.", "Another preferred aspect of the method of invention would be to produce powders that have active particles with a high surface area.", "Such powders would provide better performance in areas such as seed treatment where dry powders are applied to seeds as fungicides, herbicide safeners, plant growth regulators and other treatments.", "The higher surface area would provide more activity per mass of active used.", "In another preferred aspect actives such as pesticides, fungicides and seed treatments subject to the method of invention are formulated to produce suspensions of the actives when added to water or other solvents.", "As these suspensions will have particles of very small size and high surface area they will possess at least three highly desirable traits.", "The first is that small particles with high surface area will adhere better to surfaces such as leafs and other foliage that the suspension is applied to.", "This will result in better rain fastness and a longer period of activity.", "The second aspect is that smaller particles with a higher surface area deliver superior coverage per unit mass of active applied.", "For example, if 100 particles are needed on a leaf and if the particle diameter is reduced to one third of the former diameter by the methods of this invention, then the dosage can be reduced to about 11% of the former dosage, resulting in lower cost, less residue on harvested crops, and mitigation of environmental impact.", "In the third aspect the smaller particles will deliver better bioavailability.", "With many low solubility actives, such as fungicides and pesticides the particles that adhere to plant material slowly dissolve over days and weeks providing continued protection from disease and pests.", "With this method of invention able to deliver better bioavailability in many circumstances it will be possible to reduce the amount of active that needs to be applied.", "As with the second aspect such an outcome would lower costs, minimize residues and mitigate environmental impact.", "In a highly preferred aspect of the invention the powder produced in the milling process would be subject to a process such as wet or dry granulation that makes the powder free flowing and low in dust content yet easily dispersible once in water or other solvent.", "Preferably the biologically active material is a herbicide, pesticide, seed treatment, herbicide safener, plant growth regulator or fungicide selected from the group consisting of: 2-phenylphenol, 8-hydroxyquinoline sulfate, acibenzolar, allyl alcohol, azoxystrobin, basic benomyl, benzalkonium chloride, biphenyl, blasticidin-S, Bordeaux mixture, Boscalid, Burgundy mixture, butylamine, Cadendazim, calcium polysulfide, Captan, carbamate fungicides, carbendazim, carvone, chloropicrin, chlorothalonil, ciclopirox, clotrimazole, conazole fungicides, Copper hydroxide, copper oxychloride, copper sulfate, copper(II) carbonate, copper(II) sulfate, cresol, cryprodinil, cuprous oxide, cycloheximide, Cymoxanil, DBCP, dehydroacetic acid, dicarboximide fungicides, difenoconazole, dimethomorph, diphenylamine, disulfiram, ethoxyquin, famoxadone, fenamidone, Fludioxonil, formaldehyde, fosetyl, Fosetyl-aluminium, furfural, griseofulvin, hexachlorobenzene, hexachlorobutadiene, hexachlorophene, hexaconazole, imazalil, Imidacloprid, iodomethane, Iprodione, Lime sulfur, mancozeb, mercuric chloride, mercuric oxide, mercurous chloride, Metalaxyl, metam, methyl bromide, methyl isothiocyanate, metiram, natamycin, nystatin, organotin fungicides, oxythioquinox, pencycuron, pentachlorophenol, phenylmercury acetate, potassium thiocyanate, procymidone, propiconazole, propineb, pyraclostrobin, pyrazole fungicides, pyridine fungicides, pyrimethanil, pyrimidine fungicides, pyrrole fungicides, quinoline fungicides, quinone fungicides, sodium azide, streptomycin, sulfur, Tebucanazole, thiabendazole, thiomersal, tolnaftate, Tolylfluanid, triadimersol, tributyltin oxide, Trifloxystrobin, triflumuron, Undecylenic acid, urea fungicides, vinclozolin, Ziram, 3-dihydro-3-methyl-1, 3-thiazol-2-ylidene-xylidene, 4-D esters, 4-DB esters, 4-parathion methyl, Acetamiprid, aclonifen, acrinathrin, alachlor, allethrin, alpha-cypermethrin, Aluminium phosphide, amitraz, anilophos, azaconazole, azinphos-ethyl, azinphos-methyl, benalaxyl, benfluralin, benfuracarb, benfuresate, bensulide, benzoximate, benzoylprop-ethyl, betacyfluthrin, beta-cypermethrin, bifenox, bifenthrin, binapacryl, bioallethrin, bioallethrin S, bioresmethrin, biteranol, Brodifacoum, bromophos, bromopropylate, bromoxynil, bromoxynil esters, bupirimate, buprofezin, butacarboxim, butachlor, butamifos, butoxycarboxin, butralin, butylate, calcium sulfate, cambda-cyhalothrin, carbetamide, carboxin, chlordimeform, chlorfenvinphos, chlorflurazuron, chlormephos, chlornitrofen, chlorobenzilate, chlorophoxim, chloropropylate, chlorpropham, Chlorpyrifos, chlorpyrifos-methyl, cinmethylin, clethodim, clomazone, clopyralid esters, CMPP esters, cyanophos, cycloate, cycloprothrin, cycloxydim, cyfluthrin, cyhalothrin, cypermethrin, cyphenothrin, cyproconazole, deltamethrin, demeton-S-methyl, desmedipham, dichlorprop esters, dichlorvos, diclofop-methyldiethatyl, dicofol, difenoconazole, dimethachlor, dimethomoph, diniconazole, dinitramine, dinobuton, dioxabenzafos, dioxacarb, disulfoton, ditalimfos, dodemorph, dodine, edifenphos, emamectin, empenthrin, endosulfan, EPNethiofencarb, epoxyconazole, esfenvalerate, ethalfluralin, ethofumesate, ethoprophos, ethoxyethyl, etofenprox, etridiazole, etrimphos, Famoxadone, fenamiphos, fenarimol, fenazaquin, fenitrothion, fenobucarb, fenoxapropethyl, fenoxycarb, fenpropathrin, fenpropidin, fenpropimorph, fenthiocarb, fenthion, fenvalerate, fluazifop, fluazifop-P, fluchloralin, flucythrinate, flufenoxim, flufenoxuron, flumetralin, fluorodifen, fluoroglycofen ethyl, fluoroxypyr esters, flurecol butyl, flurochloralin, flusilazole, formothion, gamma-HCH, haloxyfop, haloxyfop-methyl, hexaflumuron, hydroprene, imibenconazole, indoxacarb, ioxynil esters, isofenphos, isoprocarb, isopropalin, isoxathion, malathion, maneb, MCPA esters, mecoprop-P esters, mephospholan, Metaldehyde, methidathion, Methomyl, methoprene, methoxychlor, metolachlor, mevinphos, monalide, myclobutanil, N-2, napropamide, nitrofen, nuarimol, oxadiazon, oxycarboxin, oxyfluorfen, penconazole, pendimethalin, permethrin, phenisopham, phenmedipham, phenothrin, phenthoate, phosalone, phosfolan, phosmet, picloram esters, pirimicarb, pirimiphos-ethyl, pirimiphos-methyl, pretilachlor, prochloraz, profenofos, profluralin, promecarb, propachlor, propanil, propaphos, propaquizafop, propargite, propetamphos, pymetrozine, pyrachlofos, pyridate, pyrifenox, quinalphos, quizalofop-P, resmethrin, Spinetoram J, Spinetoram L, Spinosad A, Spinosad B, tau-fluvalinate, tebuconazole, Tebufenozide, tefluthrin, temephos, terbufos, tetrachlorinphos, tetraconazole, tetradifon, tetramethrin, Thiamethoxam, tolclofos-methyl, tralomethrin, triadimefon, triadimenol, triazophos, triclopyr esters, tridemorph, tridiphane, triflumizole, trifluralin, xylylcarb, 3-dihydro-3-methyl-1, 3-thiazol-2-ylidene-xylidene, 4-D esters, 4-DB esters, 4-parathion methyl, Acetamiprid, acetochlor, aclonifen, acrinathrin, alachlor, allethrin, alpha-cypermethrin, Aluminium phosphide, amitraz, anilophos, azaconazole, azinphos-ethyl, azinphos-methyl, benalaxyl, benfluralin, benfuracarb, benfuresate, bensulide, benzoximate, benzoylprop-ethyl, betacyfluthrin, beta-cypermethrin, bifenox, bifenthrin, binapacryl, bioallethrin, bioallethrin S, bioresmethrin, biteranol, Brodifacoum, bromophos, bromopropylate, bromoxynil, bromoxpil esters, bupirimate, buprofezin, Butacarboxim, butachlor, butamifos, butoxycarboxin, butralin, butylate, calcium sulfate, cambda-cyhalothrin, carbetamide, carboxin, chlordimeform, chlorfenvinphos, chlorflurazuron, chlormephos, chlornitrofen, chlorobenzilate, chlorophoxim, chloropropylate, chlorpropham, Chlorpyrifos, chlorpyrifos-methyl, cinmethylin, clethodim, clomazone, clopyralid esters, CMPP esters, cyanophos, cycloate, cycloprothrin, cycloxydim, cyfluthrin, cyhalothrin, cypermethrin, cyphenothrin, cyproconazole, deltamethrin, demeton-S-methyl, desmedipham, dichlorprop esters, dichlorvos, diclofop-methyldiethatyl, dicofol, dimethachlor, dimethomoph, diniconazole, dinitramine, dinobuton, dioxabenzafos, dioxacarb, disulfoton, ditalimfos, dodemorph, dodine, edifenphos, emamectin, empenthrin, endosulfan, EPNethiofencarb, epoxyconazole, esfenvalerate, ethalfluralin, ethofumesate, ethoprophos, ethoxyethyl, ethoxyquin, etofenprox, etridiazole, etrimphos, fenamiphos, fenarimol, fenazaquin, fenitrothion, fenobucarb, fenoxapropethyl, fenoxycarb, fenpropathrin, fenpropidin, fenpropimorph, fenthiocarb, fenthion, fenvalerate, fluazifop, fluazifop-P, fluchloralin, flucythrinate, flufenoxim, flufenoxuron, flumetralin, fluorodifen, fluoroglycofen ethyl, fluoroxypyr esters, flurecol butyl, flurochloralin, flusilazole, formothion, gamma-HCH, haloxyfop, haloxyfop-methyl, hexaflumuron, hydroprene, imibenconazole, indoxacarb, ioxynil esters, isofenphos, isoprocarb, isopropalin, isoxathion, malathion, maneb, MCPA esters, mecoprop-P esters, mephospholan, Metaldehyde, methidathion, Methomyl, methoprene, methoxychlor, mevinphos, monalide, myclobutanil, myclobutanil, N-2, napropamide, nitrofen, nuarimol, oxadiazon, oxycarboxin, oxyfluorfen, penconazole, permethrin, phenisopham, phenmedipham, phenothrin, phenthoate, phosalone, phosfolan, phosmet, picloram esters, pirimicarb, pirimiphos-ethyl, pirimiphos-methyl, pretilachlor, prochloraz, profenofos, profluralin, promecarb, propachlor, propanil, propaphos, propaquizafop, propargite, propetamphos, pymetrozine, pyridate, pyrifenox, quinalphos, quizalofop-P, resmethrin, Spinetoram J, Spinetoram L, Spinosad A, Spinosad B, tau-fluvalinate, Tebufenozide, tefluthrin, temephos, terbufos, tetrachlorinphos, tetraconazole, tetradifon, tetramethrin, Thiamethoxam, tolclofos-methyl, tralomethrin, triadimenol, triazophos, triclopyr esters, tridemorph, tridiphane, triflumizole, trifluralin, xylylcarb and any combination thereof.", "In an eighth aspect the invention comprises a method for manufacturing of a pharmaceutical formulation comprising the step of combining an effective amount of the biologically active material prepared by a method described herein together with acceptable excipients to produce a formulation that can deliver a therapeutically effective amount of active to the pulmonary or nasal area.", "Such a formulation could be, but is not limited to a dry powder formulation for oral inhalation to the lungs or a formulation for nasal inhalation.", "Preferably the method for manufacturing such a formulation uses lactose, mannitol, sucrose, sorbitol, xylitol or other sugars or polyols as the co-grinding matrix together with surfactant such as, but not limited to lecithin, DPPC (dipalmitoyl phosphatidylcholine), PG (phosphatidylglycerol), dipalmitoyl phosphatidyl ethanolamine (DPPE), dipalmitoyl phosphatidylinositol (DPPI) or other phospholipid.", "The particle size of the material produced by the invention disclosed herein results in the materials being readily aerosolized and suitable for methods of delivery to a subject in need thereof, including pulmonary and nasal delivery methods.", "While the method of the present invention has particular application in the preparation of poorly water-soluble biologically active materials, the scope of the invention is not limited thereto.", "For example, the method of the present invention enables production of highly water-soluble biologically active materials.", "Such materials may exhibit advantages over conventional materials by way of, for example, more rapid therapeutic action or lower dose.", "In contrast, wet grinding techniques utilizing water (or other comparably polar solvents) are incapable of being applied to such materials, as the particles dissolve appreciably in the solvent.", "Other aspects and advantages of the invention will become apparent to those skilled in the art from a review of the ensuing description.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 shows the particle size distribution of Meloxicam milled in Lactose for 1 minute (B) or 2 minutes (C), respectively, compared to the particle size distribution of commercially available Meloxicam (A).", "FIG.", "2 shows the dissolution of Meloxicam milled in Lactose for 1 minute (B) or 2 minutes (C), respectively, compared to the dissolution of commercially available Meloxicam (A).", "FIG.", "3 shows the particle size distribution of Diclofenac milled in Lactose for 1 minute (B) or 2 minutes (C), respectively, compared to the particle size distribution of commercially obtained Diclofenac (A).", "FIG.", "4 shows the dissolution of Diclofenac milled in Lactose for 1 minute (B) or 2 minutes (C), respectively, compared to the dissolution of commercially available Diclofenac (A).", "FIG.", "5 shows the Differential Scanning calorimetry (DSC) traces of mannitol, 10% meloxicam milled in mannitol for 2 minutes (example 3) and 20% meloxicam milled in mannitol for 2 minutes (example 11).", "FIG.", "6 shows the XRD spectra of Meloxicam (A), milled lactose monohydrate (B), Meloxicam milled in Lactose at 20% for 2 minutes (example 10) (C) and Meloxicam milled in Lactose with 1% SDS at 50% for 10 minutes (example 17) (D).", "FIG.", "7 shows the XRD spectra of Meloxicam (A), mannitol (B), a physical mixture of 20 Meloxicam in Lactose (C) and Meloxicam milled in mannitol at 20% for 2 minutes (example 11) (D).", "FIG.", "8 shows the XRD spectra of Diclofenac milled in Lactose with 1% SDS at 20% for 10 minutes (A), Diclofenac milled in Lactose with 1% SDS at 30% for 10 minutes (example 12) (B), Diclofenac milled in Lactose with 1% SDS at 40% for 10 minutes (example 13) (C) and Diclofenac milled in Lactose with 1% SDS at 50% for 10 minutes (example 14) (D).", "FIG.", "9 shows the XRD spectra of a physical mixture of 20% Diclofenac in Lactose with 1% SDS (A), 30% Diclofenac in Lactose with 1% SDS (B), 40% Diclofenac in Lactose with 1% SDS (C) and 50% Diclofenac in Lactose with 1% SDS (D).", "FIG.", "10 shows the XRD spectra of a Diclofenac acid (A), Lactose monohydrate (B) and milled Lactose monohydrate (C).", "FIG.", "11 shows the XRD spectra of a Meloxicam (A), a physical mixture of 50% Meloxicam in Lactose with 1% SDS (B) and milled Lactose monohydrate (C).", "DETAILED DESCRIPTION OF THE INVENTION General Those skilled in the art will appreciate that the invention described herein is susceptible to variations and modifications other than those specifically described.", "It is to be understood that the invention includes all such variations and modifications.", "The invention also includes all of the steps, features, compositions and materials referred to or indicated in the specification, individually or collectively and any and all combinations or any two or more of the steps or features.", "The present invention is not to be limited in scope by the specific embodiments described herein, which are intended for the purpose of exemplification only.", "Functionally equivalent products, compositions and methods are clearly within the scope of the invention as described herein.", "The invention described herein may include one or more ranges of values (e.g.", "size, concentration etc).", "A range of values will be understood to include all values within the range, including the values defining the range, and values adjacent to the range that lead to the same or substantially the same outcome as the values immediately adjacent to that value which defines the boundary to the range.", "The entire disclosures of all publications (including patents, patent applications, journal articles, laboratory manuals, books, or other documents) cited herein are hereby incorporated by reference.", "Inclusion does not constitute an admission is made that any of the references constitute prior art or are part of the common general knowledge of those working in the field to which this invention relates.", "Throughout this specification, unless the context requires otherwise, the word “comprise” or variations, such as “comprises” or “comprising” will be understood to imply the inclusion of a stated integer, or group of integers, but not the exclusion of any other integers or group of integers.", "It is also noted that in this disclosure, and particularly in the claims and/or paragraphs, terms such as “comprises”, “comprised”, “comprising” and the like can have the meaning attributed to it in US patent law; e.g., they can mean “includes”, “included”, “including”, and the like.", "“Therapeutically effective amount” as used herein with respect to methods of treatment and in particular drug dosage, shall mean that dosage that provides the specific pharmacological response for which the drug is administered in a significant number of subjects in need of such treatment.", "It is emphasized that “therapeutically effective amount,” administered to a particular subject in a particular instance will not always be effective in treating the diseases described herein, even though such dosage is deemed a “therapeutically effective amount” by those skilled in the art.", "It is to be further understood that drug dosages are, in particular instances, measured as oral dosages, or with reference to drug levels as measured in blood.", "The term “inhibit” is defined to include its generally accepted meaning which includes prohibiting, preventing, restraining, and lowering, stopping, or reversing progression or severity, and such action on a resultant symptom.", "As such the present invention includes both medical therapeutic and prophylactic administration, as appropriate.", "The term “biologically active material” is defined to mean a biologically active compound or a substance which comprises a biologically active compound.", "In this definition, a compound is generally taken to mean a distinct chemical entity where a chemical formula or formulas can be used to describe the substance.", "Such compounds would generally, but not necessarily be identified in the literature by a unique classification system such as a CAS number.", "Some compounds may be more complex and have a mixed chemical structure.", "For such compounds they may only have a empirical formula or be qualitatively identified.", "A compound would generally be a pure material, although it would be expected that up to 10%, 20%, 30%, 40%, 50%, 60%, 70%, 80%, 90% of the substance could be other impurities and the like.", "Examples of biologically active compounds are, but not limited to, pharmaceutical actives, fungicides, pesticides, herbicides, nutraceuticals, cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids.", "A substance that contains a biologically active compound is any substance which has as one of its components a biologically active compound .", ".", ".", ".", "Examples of substances containing biologically active compounds are, but not limited to, pharmaceutical formulations and products, cosmetic formulations and products, industrial formulations and products, agricultural formulations and products, foods, seeds, cocoa and cocoa solids, coffee, herbs, spices, other plant materials, minerals, animal products, shells and other skeletal material.", "Any of the terms, “biological(ly) active”, “active”, “active material” shall have the same meaning as biologically active material.", "The term “grinding matrix” is defined as any inert substance that a biologically active material can or is combined with and milled.", "The terms “co-grinding matrix” and “matrix” are interchangeable with “grinding matrix”.", "Particle Size There are a wide range of techniques that can be utilized to characterize the particle size of a material.", "Those skilled in the art also understand that almost all these techniques do not physically measure the actually particle size, as one might measure something with a ruler, but measure a physical phenomena which is interpreted to indicate a particle size.", "As part of the interpretation process some assumptions need to be made to enable mathematical calculations to be made.", "These assumptions deliver results such as an equivalent spherical particle size, or a hydrodynamic radius.", "Amongst these various methods, two types of measurements are most commonly used.", "Photon correlation spectroscopy (PCS), also known as ‘dynamic light scattering’ (DLS) is commonly used to measure particles with a size less than 10 micron.", "Typically this measurement yields an equivalent hydrodynamic radius often expressed as the average size of a number distribution.", "The other common particle size measurement is laser diffraction which is commonly used to measure particle size from 100 nm to 2000 micron.", "This technique calculates a volume distribution of equivalent spherical particles that can be expressed using descriptors such as the median particle size or the % of particles under a given size.", "Those skilled in the art recognize that different characterization techniques such as photon correlation spectroscopy and laser diffraction measure different properties of a particle ensemble.", "As a result multiple techniques will give multiple answers to the question, “what is the particle size.” In theory one could convert and compare the various parameters each technique measures, however, for real world particle systems this is not practical.", "As a result the particle size used to describe this invention will be given as two different sets of values that each relate to these two common measurement techniques, such that measurements could be made with either technique and then evaluated against the description of this invention.", "For measurements made using a photo correlation spectroscopy instrument, or an equivalent method known in the art, the term “number average particle size” is defined as the average particle diameter as determined on a number basis.", "For measurements made using a laser diffraction instrument, or an equivalent method known in the art, the term “median particle size” is defined as the median particle diameter as determined on an equivalent spherical particle volume basis.", "Where the term median is used, it is understood to describe the particle size that divides the population in half such that 50% of the population is greater than or less than this size.", "The median particle size is often written as D50, D(0.50) or D[0.5] or similar.", "As used herein D50, D(0.50) or D[0.5] or similar shall be taken to mean ‘median particle size’.", "The term “Dx of the particle size distribution” refers to the xth percentile of the distribution; thus, D90 refers to the 90th percentile, D95 refers to the 95th percentile, and so forth.", "Taking D90 as an example this can often be written as, D(0.90) or D[0.9] or similar.", "With respect to the median particle size and Dx an upper case D or lowercase d are interchangeable and have the same meaning.", "Another commonly used way of describing a particle size distribution measured by laser diffraction, or an equivalent method known in the art, is to describe what % of a distribution is under or over a nominated size.", "The term “percentage less than” also written as “%<” is defined as the percentage, by volume, of a particle size distribution under a nominated size—for example the %<1000 nm.", "The term “percentage greater than” also written as “%>” is defined as the percentage, by volume, of a particle size distribution over a nominated size—for example the %>1000 nm.", "The particle size used to describe this invention should be taken to mean the particle size as measured at or shortly before the time of use.", "For example, the particle size is measured 2 months after the material is subject to the milling method of this invention.", "In a preferred form, the particle size is measured at a time selected from the group consisting of: 1 day after milling, 2 days after milling, 5 days after milling, 1 month after milling, 2 months after milling, 3 months after milling, 4 months after milling, 5 months after milling, 6 months after milling, 1 year after milling, 2 years after milling, 5 years after milling.", "For many of the materials subject to the methods of this invention the particle size can be easily measured.", "Where the active material has poor water solubility and the matrix it is milled in has good water solubility the powder can simply be dispersed in an aqueous solvent.", "In this scenario the matrix dissolves leaving the active material dispersed in the solvent.", "This suspension can then be measured by techniques such as PCS or laser diffraction.", "Suitable methods to measure an accurate particle size where the active material has substantive aqueous solubility or the matrix has low solubility in a water based dispersant are outlined below.", "1.In the circumstance where insoluble matrix such as microcrystalline cellulose prevents the measurement of the active material, separation techniques such as filtration or centrifugation could be used to separate the insoluble matrix from the active material particles.", "Other ancillary techniques would also be required to determine if any active material was removed by the separation technique so that this could be taken into account.", "2.In the case where the active material is too soluble in water other solvents could be evaluated for the measurement of particle size.", "Where a solvent could be found that active material is poorly soluble in but is a good solvent for the matrix a measurement would be relatively straight forward.", "If such a solvent is difficult to find another approach would be to measure the ensemble of matrix and active material in a solvent (such as iso-octane) which both are insoluble in.", "Then the powder would be measured in another solvent where the active material is soluble but the matrix is not.", "Thus with a measurement of the matrix particle size and a measurement of the size of the matrix and active material together an understanding of the active material particle size can be obtained.", "3.In some circumstances image analysis could be used to obtain information about the particle size distribution of the active material.", "Suitable image measurement techniques might include transmission electron microscopy (TEM), scanning electron microscopy (SEM), optical microscopy and confocal microscopy.", "In addition to these standard techniques some additional technique would be required to be used in parallel to differentiate the active material and matrix particles.", "Depending on the chemical makeup of the materials involved possible techniques could be elemental analysis, raman spectroscopy, FTIR spectroscopy or fluorescence spectroscopy.", "Other Definitions Throughout this specification, unless the context requires otherwise, the phrase “dry mill” or variations, such as “dry milling”, should be understood to refer to milling in at least the substantial absence of liquids.", "If liquids are present, they are present in such amounts that the contents of the mill retain the characteristics of a dry powder.", "“Flowable” means a powder having physical characteristics rendering it suitable for further processing using typical equipment used for the manufacture of pharmaceutical compositions and formulations.", "Other definitions for selected terms used herein may be found within the detailed description of the invention and apply throughout.", "Unless otherwise defined, all other scientific and technical terms used herein have the same meaning as commonly understood to one of ordinary skill in the art to which the invention belongs.", "The term “millable” means that the grinding matrix is capable of being physically degraded under the dry milling conditions of the method of the invention.", "In one embodiment of the invention, the milled grinding matrix is of a comparable particle size to the biologically active material.", "In another embodiment of the invention the particle size of the matrix is substantially reduced but not as small as the biologically active material Other definitions for selected terms used herein may be found within the detailed description of the invention and apply throughout.", "Unless otherwise defined, all other scientific and technical terms used herein have the same meaning as commonly understood to one of ordinary skill in the art to which the invention belongs.", "Specific In one embodiment, the present invention is directed to a method for improving the dissolution profile of a biologically active material, the method comprising the step of: dry milling a mixture of a solid biologically active material and a millable grinding matrix, in a mill comprising a plurality of milling bodies, to produce particles of a biologically active material dispersed in at least partially milled grinding matrix.", "The mixture of active material and matrix may then be separated from the milling bodies and removed from the mill.", "In one aspect the mixture of active material and matrix is then further processed.", "In another aspect, the grinding matrix is separated from the particles of biologically active material.", "In a further aspect, at least a portion of the milled grinding matrix is separated from the particulate biologically active material.", "The milling bodies are essentially resistant to fracture and erosion in the dry milling process.", "The quantity of the grinding matrix relative to the quantity of biologically active material in particulate form, and the extent of milling of the grinding matrix, is sufficient to improve the dissolution profile of the active material milled.", "The present invention also relates to biologically active materials produced by said methods, to medicaments produced using said biologically active materials and to methods of treatment of an animal, including man, using a therapeutically effective amount of said biologically active materials administered by way of said medicaments.", "Improving the Dissolution Profile The present invention leads to the improved dissolution profile.", "An improved dissolution profile has significant advantages including the improvement of bioavailability of the biologically active material in vivo.", "Preferably, the improved dissolution profile is observed in vitro.", "Alternatively, the improved dissolution profile is observed in vivo by the observation of an improved bioavailability profile.", "Standard methods for determining the dissolution profile of a material in vitro are available in the art.", "A suitable method to determine an improved dissolution profile in vitro may include determining the concentration of the sample material in a solution over a period of time and comparing the results from the sample material to a control sample.", "An observation that peak solution concentration for the sample material was achieved in less time than the control sample would indicate (assuming it is statistically significant), that the sample material has an improved dissolution profile.", "The measurement sample is herein defined as the mixture of biologically active material with grinding matrix and/or other additives that has been subject to the processes of the invention described here.", "Herein a control sample is defined as a physical mixture (not subject to the processes described in this invention) of the components in the measurement sample with the same relative proportions of active, matrix and/or additive as the measurement sample.", "For the purposes of the dissolution testing a prototype formulation of the measurement sample could also be used.", "In this case the control sample would be formulated in the same way.", "Standard methods for determining the improved dissolution profile of a material in vivo are available in the art.", "A suitable method to determine an improved dissolution profile in a human may be after delivering the dose to measure the rate of active material absorption by measuring the plasma concentration of the sample compound over a period of time and comparing the results from the sample compound to a control.", "An observation that peak plasma concentration for the sample compound was achieved in less time than the control would indicate (assuming it is statistically significant) that the sample compound has improved bioavailability and an improved dissolution profile.", "Preferably, the improved dissolution profile is observed at a relevant gastrointestinal pH, when it is observed in vitro.", "Preferably, the improved dissolution profile is observed at a pH which is favourable at indicating improvements in dissolution when comparing the measurement sample to the control compound.", "Suitable methods for quantifying the concentration of a compound in an in vitro sample or an in vivo sample are widely available in the art.", "Suitable methods could include the use of spectroscopy or radioisotope labeling.", "In one preferred embodiment the method of quantification of dissolution is determined in a solution with a pH selected from the group consisting of: pH 1, pH 2, pH 3, pH 4, pH 5, pH 6, pH 7, pH 7.3, pH 7.4, pH 8, pH 9, pH 10, pH 11, pH 12, pH 13, pH 14 or a pH with 0.5 of a pH unit of any of this group.", "Crystallization Profile Methods for determining the crystallinity profile of the biologically active material are widely available in the art.", "Suitable methods may include X-ray diffraction, differential scanning calorimetry, raman or IR spectroscopy.", "Amorphicity Profile Methods for determining the amorphous content of the biologically active material are widely available in the art.", "Suitable methods may include X-ray diffraction, differential scanning calorimetry, raman or IR spectroscopy.", "Grinding Matrix As will be described subsequently, selection of an appropriate grinding matrix affords particular advantageous applications of the method of the present invention.", "A highly advantageous application of the method of the invention is the use of a water-soluble grinding matrix in conjunction with a poorly water-soluble biologically active material.", "This affords at least two advantages.", "The first being when the powder containing the biologically active material is placed into water—such as the ingestion of the powder as part of an oral medication—the matrix dissolves, releasing the particulate active material such that there is maximum surface area exposed to solution, thereby allowing a rapid dissolution of the active compound.", "The second key advantage is the ability, if required, to remove or partially remove the matrix prior to further processing or formulation.", "Another advantageous application of the method of the invention is the use of a water-insoluble grinding matrix, particularly in the area of agricultural use, when a biologically active material such as a fungicide is commonly delivered as part of a dry powder or a suspension.", "The presence of a water insoluble matrix will afford benefits such as increased rain fastness.", "Without wishing to be bound by theory, it is believed that the physical degradation (including but not limited to particle size reduction) of the millable grinding matrix affords the advantage of the invention, by acting as a more effective diluent than grinding matrix of a larger particle size.", "Again, as will be described subsequently, a highly advantageous aspect of the present invention is that certain grinding matrixes appropriate for use in the method of the invention are also appropriate for use in a medicament.", "The present invention encompasses methods for the production of a medicament incorporating both the biologically active material and the grinding matrix or in some cases the biologically active material and a portion of the grinding matrix, medicaments so produced, and methods of treatment of an animal, including man, using a therapeutically effective amount of said biologically active materials by way of said medicaments.", "Analogously, as will be described subsequently, a highly advantageous aspect of the present invention is that certain grinding matrixes appropriate for use in the method of the invention are also appropriate for use in a carrier for an agricultural chemical, such as a pesticide, fungicide, or herbicide.", "The present invention encompasses methods for the production of an agricultural chemical composition incorporating both the biologically active material in particulate form and the grinding matrix, or in some cases the biologically active material, and a portion of the grinding matrix, and agricultural chemical compositions so produced.", "The medicament may include only the biologically active material together with the milled grinding matrix or, more preferably, the biologically active material and milled grinding matrix may be combined with one or more pharmaceutically acceptable carriers, as well as any desired excipients or other like agents commonly used in the preparation of medicaments.", "Analogously, the agricultural chemical composition may include only the biologically active material together with the milled grinding matrix or, more preferably, the biologically active materials and milled grinding matrix may be combined with one or more carriers, as well as any desired excipients or other like agents commonly used in the preparation of agricultural chemical compositions.", "In one particular form of the invention, the grinding matrix is both appropriate for use in a medicament and readily separable from the biologically active material by methods not dependent on particle size.", "Such grinding matrixes are described in the following detailed description of the invention.", "Such grinding matrixes are highly advantageous in that they afford significant flexibility in the extent to which the grinding matrix may be incorporated with the biologically active material into a medicament.", "In a highly preferred form, the grinding matrix is harder than the biologically active material, and is thus capable of improving the dissolution profile of the active material under the dry milling conditions of the invention.", "Again without wishing to be bound by theory, under these circumstances it is believed that the millable grinding matrix affords the advantage of the present invention through a second route, with the smaller particles of grinding matrix produced under the dry milling conditions enabling greater interaction with the biologically active material.", "The quantity of the grinding matrix relative to the quantity of biologically active material, and the extent of physical degradation of the grinding matrix, is sufficient to improve the dissolution profile of the milled biologically active material.", "The grinding matrix is not generally selected to be chemically reactive with the biologically active material under the milling conditions of the invention, excepting for example, where the matrix is deliberately chosen to undergo a mechanico-chemical reaction.", "Such a reaction might be the conversion of a free base or acid to a salt or vice versa.", "As stated above, the method of the present invention requires the grinding matrix to be milled with the biologically active material; that is, the grinding matrix will physically degrade under the dry milling conditions of the invention to facilitate the formation and retention of particulates of the biologically active material with improved dissolution profiles.", "The precise extent of degradation required will depend on certain properties of the grinding matrix and the biologically active material, the ratio of biologically active material to grinding matrix, and the particle size distribution of the particles comprising the biologically active material.", "The physical properties of the grinding matrix necessary to achieve the requisite degradation are dependent on the precise milling conditions.", "For example, a harder grinding matrix may degrade to a sufficient extent provided [it is subjected to] more vigorous dry milling conditions.", "Physical properties of the grinding matrix relevant to the extent that the agent will degrade under dry milling conditions include hardness, friability, as measured by indicia such as hardness, fracture toughness and brittleness index.", "A low hardness (typically a Mohs Hardness less than 7) of the biologically active material is desirable to ensure fracture of the particles during processing, so that composite microstructures develop during milling.", "Preferably, the hardness is less than 3 as determined using the Mohs Hardness scale.", "Preferably, the grinding matrix is of low abrasivity.", "Low abrasivity is desirable to minimise contamination of the mixture of the biologically active material in the grinding matrix by the milling bodies and/or the milling chamber of the media mill.", "An indirect indication of the abrasivity can be obtained by measuring the level of milling-based contaminants.", "Preferably, the grinding matrix has a low tendency to agglomerate during dry milling.", "While it is difficult to objectively quantify the tendency to agglomerate during milling, it is possible to obtain a subjective measure by observing the level of “caking” of the grinding matrix on the milling bodies and the milling chamber of the media mill as dry milling progresses.", "The grinding matrix may be an inorganic or organic substance.", "In one embodiment, the grinding matrix is selected from the following, either as a single substance or a combination of two or more substances: Polyols (sugar alcohols) for example (but not limited to) mannitol, sorbitol, isomalt, xylitol, maltitol, lactitol, erythritol, arabitol, ribitol, monosaccharides for example (but not limited to) glucose, fructose, mannose, galactose, disaccharides and trisaccharides for example (but not limited to) anhydrous lactose, lactose monohydrate, sucrose, maltose, trehalose, polysaccharides for example (but not limited to) maltodextrins, dextrin, Inulin, dextrates, polydextrose, other carbohydrates for example (but not limited to) starch, wheat flour, corn flour, rice flour, rice starch, tapioca flour, tapioca starch, potato flour, potato starch, other flours and starches, soy flour, soy meal or other soy products, cellulose, microcrystalline cellulose, microcrystalline cellulose based co blended excipients, chemically modified excipients such as pregelatinized (or partially) starch, modified celluloses such as HPMC, CMC, HPC, enteric polymer coatings such as hypromellose phthalate, cellulose acetate phthalate (Aquacoat®), polyvinyl acetate phthalate (Sureteric®), hypromellose acetate succinate (AQOAT®), and polmethacrylates (Eudragit® and Acryl-EZE®), Milk products for example (but not limited to) milk powder, skim milk powders, other milk solids and derivatives, other functional Excipients, organic acids for example (but not limited to) citric acid, tartaric acid, malic acid, maleic acid fumaric acid, ascorbic acid, succinic acid, the conjugate salt of organic acids for example (but not limited to) sodium citrate, sodium tartrate, sodium malate, sodium ascorbate, potassium citrate, potassium tartrate, potassium malate, potassium ascorbate, inorganics such as sodium carbonate, potassium carbonate, magnesium carbonate, sodium bicarbonate, potassium bicarbonate and calcium carbonate.", "dibasic calcium phosphate, tribasic calcium phosphate, sodium sulfate, sodium chloride, sodium metabisulphite, sodium thiosulfate, ammonium chloride, Glauber's salt, ammonium carbonate, sodium bisulfate, magnesium sulfate, potash alum, potassium chloride, sodium hydrogen sulfate, sodium hydroxide, crystalline hydroxides, hydrogen carbonates, hydrogen carbonates of pharmaceutical acceptable alkali metals, such as but not limited by, sodium, potassium, lithium, calcium, and barium, ammonium salts (or salts of volatile amines), for example (but not limited to) ammonium chloride, methylamine hydrochloride, ammonium bromide, other inorganics for example (but not limited to), thermal silica, chalk, mica, silica, alumina, titanium dioxide, talc, kaolin, bentonite, hectorite, magnesium trisilicate, other clay or clay derivatives or aluminium silicates, a surfactant for example (but not limited to) sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 338, poloxamer 407 polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "In a preferred embodiment, the grinding matrix is a matrix that is considered GRAS (generally regarded as safe) by persons skilled in the pharmaceutical arts.", "In another preferred aspect a combination of two or more suitable matrices, such as those listed above, can be used as the grinding matrix to provide improved properties such as the reduction of caking, and greater improvement of particle size reduction.", "Combination matrices may also be advantageous when the matrices have different solubility's allowing the removal or partial removal of one matrix, while leaving the other or part of the other to provide encapsulation or partial encapsulation of the biologically active material.", "Another highly preferred aspect of the method is the inclusion of a suitable milling aid in the matrix to improve milling performance.", "Improvements to milling performance would be things such as, but not limited to, a reduction in caking or higher recovery of powder from the mill.", "Examples of suitable milling aids include surfactants, polymers and inorganics such as silica (including colloidal silica), aluminium silicates and clays.", "There are a wide range of surfactants that will make suitable milling aids.", "The highly preferred form is where the surfactant is a solid, or can be manufactured into a solid.", "Preferably, the surfactant is selected from the group consisting of: polyoxyethylene alkyl ethers, polyoxyethylene stearates, polyethylene glycols (PEG), poloxamers, poloxamines, sarcosine based surfactants, polysorbates, aliphatic alcohols, alkyl and aryl sulfates, alkyl and aryl polyether sulfonates and other sulfate surfactants, trimethyl ammonium based surfactants, lecithin and other phospholipids, bile salts, polyoxyethylene castor oil derivatives, polyoxyethylene sorbitan fatty acid esters, Sorbitan fatty acid esters, Sucrose fatty acid esters, alkyl glucopyranosides, alkyl maltopyranosides, glycerol fatty acid esters, Alkyl Benzene Sulphonic Acids, Alkyl Ether Carboxylic Acids, Alkyl and aryl Phosphate esters, Alkyl and aryl Sulphate esters, Alkyl and aryl Sulphonic acids, Alkyl Phenol Phosphates esters, Alkyl Phenol Sulphates esters, Alkyl and Aryl Phosphates, Alkyl Polysaccharides, Alkylamine Ethoxylates, Alkyl-Naphthalene Sulphonates formaldehyde condensates, Sulfosuccinates, lignosulfonates, Ceto-Oleyl Alcohol Ethoxylates, Condensed Naphthalene Sulphonates, Dialkyl and Alkyl Naphthalene Sulphonates, Di-alkyl Sulphosuccinates, Ethoxylated nonylphenols, Ethylene Glycol Esters, Fatty Alcohol Alkoxylates, Hydrogenated tallowalkylamines, Mono-alkyl Sulphosuccinamates, Nonyl Phenol Ethoxylates, Sodium Oleyl N-methyl Taurate, Tallowalkylamines, linear and branched dodecylbenzene sulfonic acids.", "Preferably, the surfactant is selected from the group consisting of: sodium lauryl sulfate, sodium stearyl sulfate, sodium cetyl sulfate, sodium cetostearyl sulfate, sodium docusate, sodium deoxycholate, N-lauroylsarcosine sodium salt, glyceryl monostearate, glycerol distearate glyceryl palmitostearate, glyceryl behenate, glyceryl caprylate, glyceryl oleate, benzalkonium chloride, CTAB, CTAC, Cetrimide, cetylpyridinium chloride, cetylpyridinium bromide, benzethonium chloride, PEG 40 stearate, PEG 100 stearate, poloxamer 188, poloxamer 338, poloxamer 407 polyoxyl 2 stearyl ether, polyoxyl 100 stearyl ether, polyoxyl 20 stearyl ether, polyoxyl 10 stearyl ether, polyoxyl 20 cetyl ether, polysorbate 20, polysorbate 40, polysorbate 60, polysorbate 61, polysorbate 65, polysorbate 80, polyoxyl 35 castor oil, polyoxyl 40 castor oil, polyoxyl 60 castor oil, polyoxyl 100 castor oil, polyoxyl 200 castor oil, polyoxyl 40 hydrogenated castor oil, polyoxyl 60 hydrogenated castor oil, polyoxyl 100 hydrogenated castor oil, polyoxyl 200 hydrogenated castor oil, cetostearyl alcohol, macrogel 15 hydroxystearate, sorbitan monopalmitate, sorbitan monostearate, sorbitan trioleate, Sucrose Palmitate, Sucrose Stearate, Sucrose Distearate, Sucrose laurate, Glycocholic acid, sodium Glycholate, Cholic Acid, Sodium Cholate, Sodium Deoxycholate, Deoxycholic acid, Sodium taurocholate, taurocholic acid, Sodium taurodeoxycholate, taurodeoxycholic acid, soy lecithin, phosphatidylcholine, phosphatidylethanolamine, phosphatidylserine, phosphatidylinositol, PEG4000, PEG6000, PEG8000, PEG10000, PEG20000, alkyl naphthalene sulfonate condensate/Lignosulfonate blend, Calcium Dodecylbenzene Sulfonate, Sodium Dodecylbenzene Sulfonate, Diisopropyl naphthalenesulphonate, erythritol distearate, Naphthalene Sulfonate Formaldehyde Condensate, nonylphenol ethoxylate (poe-30), Tristyrylphenol Ethoxylate, Polyoxyethylene (15) tallowalkylamines, sodium alkyl naphthalene sulfonate, sodium alkyl naphthalene sulfonate condensate, sodium alkylbenzene sulfonate, sodium isopropyl naphthalene sulfonate, Sodium Methyl Naphthalene Formaldehyde Sulfonate, sodium n-butyl naphthalene sulfonate, tridecyl alcohol ethoxylate (poe-18), Triethanolamine isodecanol phosphate ester, Triethanolamine tristyrylphosphate ester, Tristyrylphenol Ethoxylate Sulfate, Bis(2-hydroxyethyl)tallowalkylamines.", "Preferably the polymer is selected from the list of: polyvinylpyrrolidones (PVP), polyvinylalcohol, Acrylic acid based polymers and copolymers of acrylic acid Preferably, the milling aid has a concentration selected from the group consisting of: 0.1-10% w/w, 0.1-5% w/w, 0.1-2.5% w/w, of 0.1-2% w/w, 0.1-1%, 0.5-5% w/w, 0.5-3% w/w, 0.5-2% w/w, 0.5-1.5%, 0.5-1% w/w, of 0.75-1.25% w/w, 0.75-1% and 1% w/w.", "Milling Bodies In the method of the present invention, the milling bodies are preferably chemically inert and rigid.", "The term “chemically-inert”, as used herein, means that the milling bodies do not react chemically with the biologically active material or the grinding matrix.", "As described above, the milling bodies are essentially resistant to fracture and erosion in the milling process.", "The milling bodies are desirably provided in the form of bodies which may have any of a variety of smooth, regular shapes, flat or curved surfaces, and lacking sharp or raised edges.", "For example, suitable milling bodies can be in the form of bodies having ellipsoidal, ovoid, spherical or right cylindrical shapes.", "Preferably, the milling bodies are provided in the form of one or more of beads, balls, spheres, rods, right cylinders, drums or radius-end right cylinders (i.e., right cylinders having hemispherical bases with the same radius as the cylinder).", "Depending on the nature of the biologically active material and the grinding matrix, the milling media bodies desirably have an effective mean particle diameter (i.e.", "“particle size”) between about 0.1 and 30 mm, more preferably between about 1 and about 15 mm, still more preferably between about 3 and 10 mm.", "The milling bodies may comprise various substances such as ceramic, glass, metal or polymeric compositions, in a particulate form.", "Suitable metal milling bodies are typically spherical and generally have good hardness (i.e.", "RHC 60-70), roundness, high wear resistance, and narrow size distribution and can include, for example, balls fabricated from type 52100 chrome steel, type 316 or 440C stainless steel or type 1065 high carbon steel.", "Preferred ceramics, for example, can be selected from a wide array of ceramics desirably having sufficient hardness and resistance to fracture to enable them to avoid being chipped or crushed during milling and also having sufficiently high density.", "Suitable densities for milling media can range from about 1 to 15 g/cm3′, preferably from about 1 to 8 g/cm3.Preferred ceramics can be selected from steatite, aluminum oxide, zirconium oxide, zirconia-silica, yttria-stabilized zirconium oxide, magnesia-stabilized zirconium oxide, silicon nitride, silicon carbide, cobalt-stabilized tungsten carbide, and the like, as well as mixtures thereof.", "Preferred glass milling media are spherical (e.g.", "beads), have a narrow size distribution, are durable, and include, for example, lead-free soda lime glass and borosilicate glass.", "Polymeric milling media are preferably substantially spherical and can be selected from a wide array of polymeric resins having sufficient hardness and friability to enable them to avoid being chipped or crushed during milling, abrasion-resistance to minimize attrition resulting in contamination of the product, and freedom from impurities such as metals, solvents, and residual monomers.", "Preferred polymeric resins, for example, can be selected from crosslinked polystyrenes, such as polystyrene crosslinked with divinylbenzene, styrene copolymers, polyacrylates such as polymethylmethacrylate, polycarbonates, polyacetals, vinyl chloride polymers and copolymers, polyurethanes, polyamides, high density polyethylenes, polypropylenes, and the like.", "The use of polymeric milling media to grind materials down to a very small particle size (as opposed to mechanochemical synthesis) is disclosed, for example, in U.S. Pat.", "Nos.", "5,478,705 and 5,500,331.Polymeric resins typically have densities ranging from about 0.8 to 3.0 g/cm3.Higher density polymeric resins are preferred.", "Alternatively, the milling media can be composite particles comprising dense core particles having a polymeric resin adhered thereon.", "Core particles can be selected from substances known to be useful as milling media, for example, glass, alumina, zirconia silica, zirconium oxide, stainless steel, and the like.", "Preferred core substances have densities greater than about 2.5 g/cm3.In one embodiment of the invention, the milling media are formed from a ferromagnetic substance, thereby facilitating removal of contaminants arising from wear of the milling media by the use of magnetic separation techniques.", "Each type of milling body has its own advantages.", "For example, metals have the highest specific gravities, which increase grinding efficiency due to increased impact energy.", "Metal costs range from low to high, but metal contamination of final product can be an issue.", "Glasses are advantageous from the standpoint of low cost and the availability of small bead sizes as low as 0.004 mm.", "However, the specific gravity of glasses is lower than other media and significantly more milling time is required.", "Finally, ceramics are advantageous from the standpoint of low wear and contamination, ease of cleaning, and high hardness.", "Dry Milling In the dry milling process of the present invention, the biologically active material and grinding matrix, in the form of crystals, powders, or the like, are combined in suitable proportions with the plurality of milling bodies in a milling chamber that is mechanically agitated (i.e.", "with or without stirring) for a predetermined period of time at a predetermined intensity of agitation.", "Typically, a milling apparatus is used to impart motion to the milling bodies by the external application of agitation, whereby various translational, rotational or inversion motions or combinations thereof are applied to the milling chamber and its contents, or by the internal application of agitation through a rotating shaft terminating in a blade, propeller, impeller or paddle or by a combination of both actions.", "During milling, motion imparted to the milling bodies can result in application of shearing forces as well as multiple impacts or collisions having significant intensity between milling bodies and particles of the biologically active material and grinding matrix.", "The nature and intensity of the forces applied by the milling bodies to the biologically active material and the grinding matrix is influenced by a wide variety of processing parameters including: the type of milling apparatus; the intensity of the forces generated, the kinematic aspects of the process; the size, density, shape, and composition of the milling bodies; the weight ratio of the biologically active material and grinding matrix mixture to the milling bodies; the duration of milling; the physical properties of both the biologically active material and the grinding matrix; the atmosphere present during activation; and others.", "Advantageously, the media mill is capable of repeatedly or continuously applying mechanical compressive forces and shear stress to the biologically active material and the grinding matrix.", "Suitable media mills include but are not limited to the following: high-energy ball, sand, bead or pearl mills, basket mill, planetary mill, vibratory action ball mill, multi-axial shaker/mixer, stirred ball mill, horizontal small media mill, multi-ring pulverizing mill, and the like, including small milling media.", "The milling apparatus also can contain one or more rotating shafts.", "In a preferred form of the invention, the dry milling is performed in a ball mill.", "Throughout the remainder of the specification reference will be made to dry milling being carried out by way of a ball mill.", "Examples of this type of mill are attritor mills, nutating mills, tower mills, planetary mills, vibratory mills and gravity-dependent-type ball mills.", "It will be appreciated that dry milling in accordance with the method of the invention may also be achieved by any suitable means other than ball milling.", "For example, dry milling may also be achieved using jet mills, rod mills, roller mills or crusher mills.", "Biologically Active Material The biologically active material includes active compounds, including compounds for veterinary and human use such as but not limited to, pharmaceutical actives, nutraceuticals, cosmeceuticals, cosmetics, complementary medicines, natural products, vitamins, nutrients, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids.", "and agricultural compounds such as pesticides, herbicides and fungicides, germinating agents and the like.", "Other biologically active materials include, but are not limited to, foods, seeds, cocoa and cocoa solids, coffee, herbs, spices, other plant materials, minerals, animal products, shells and other skeletal material.", "In a preferred form of the invention, the biologically active material is an organic compound.", "In a highly preferred form of the invention, the biologically active material is an organic, therapeutically active compound for veterinary or human use.", "In a preferred form of the invention, the biologically active material is an inorganic compound.", "In a highly preferred form of the invention, the biologically active material is sulphur, copper hydroxide, an organometallic complex or copper oxychloride.", "The biologically active material is ordinarily a material for which one of skill in the art desires improved dissolution properties.", "The biologically active material may be a conventional active agent or drug, although the process of the invention may be employed on formulations or agents that already have reduced particle size compared to their conventional form.", "Biologically active materials suitable for use in the invention include actives, biologics, amino acids, proteins, peptides, nucleotides, nucleic acids, and analogs, homologs and first order derivatives thereof.", "The biologically active material can be selected from a variety of known classes of drugs, including, but not limited to: anti-obesity drugs, central nervous system stimulants, carotenoids, corticosteroids, elastase inhibitors, anti-fungals, oncology therapies, anti-emetics, analgesics, cardiovascular agents, anti-inflammatory agents, such as NSAIDs and COX-2 inhibitors, anthelmintics, anti-arrhythmic agents, antibiotics (including penicillins), anticoagulants, antidepressants, antidiabetic agents, antiepileptics, antihistamines, antihypertensive agents, antimuscarinic agents, antimycobacterial agents, antineoplastic agents, immunosuppressants, antithyroid agents, antiviral agents, anxiolytics, sedatives (hypnotics and neuroleptics), astringents, alpha-adrenergic receptor blocking agents, beta-adrenoceptor blocking agents, blood products and substitutes, cardiac inotropic agents, contrast media, cough suppressants (expectorants and mucolytics), diagnostic agents, diagnostic imaging agents, diuretics, dopaminergics (anti-Parkinsonian agents), haemostatics, immunological agents, lipid regulating agents, muscle relaxants, parasympathomimetics, parathyroid calcitonin and biphosphonates, prostaglandins, radio-pharmaceuticals, sex hormones (including steroids), anti-allergic agents, stimulants and anoretics, sympathomimetics, thyroid agents, vasodilators, and xanthines.", "A description of these classes of active agents and a listing of species within each class can be found in Martindale's The Extra Pharmacopoeia, 31st Edition (The Pharmaceutical Press, London, 1996), specifically incorporated by reference.", "Another source of active agents is the Physicians Desk Reference (60th Ed., pub.", "2005), familiar to those of skill in the art.", "The active agents are commercially available and/or can be prepared by techniques known in the art.", "An exhaustive list of drugs for which the methods of the invention are suitable would be burdensomely long for this specification; however, reference to the general pharmacopoeia listed above would allow one of skill in the art to select virtually any drug to which the method of the invention may be applied.", "In addition it is also expected that new chemical entities (NCE) and other actives for which the methods of the invention are suitable will be created or become commercially available in the future.", "Notwithstanding the general applicability of the method of the invention, more specific examples of biologically active materials include, but are not limited to: haloperidol (dopamine antagonist), DL isoproterenol hydrochloride (β-adrenergic agonist), terfenadine (H1-antagonist), propranolol hydrochloride (β-adrenergic antagonist), desipramine hydrochloride (antidepressant), sildenafil citrate, tadalafil and vardenafil.", "Minor analgesics (cyclooxygenase inhibitors), fenamic acids, Piroxicam, Cox-2 inhibitors, and Naproxen, and others, may all benefit from being prepared.", "As discussed in the context of the background to the invention, biologically active materials that are poorly water soluble at gastrointestinal pH will particularly benefit from being prepared, and the method of the present invention is particularly advantageously applied to materials that are poorly water soluble at gastrointestinal pH.", "Such materials include, but are not limited to: albendazole, albendazole sulfoxide, alfaxalone, acetyl digoxin, acyclovir analogs, alprostadil, aminofostin, anipamil, antithrombin III, atenolol, azidothymidine, beclobrate, beclomethasone, belomycin, benzocaine and derivatives, beta carotene, beta endorphin, beta interferon, bezafibrate, binovum, biperiden, bromazepam, bromocryptine, bucindolol, buflomedil, bupivacaine, busulfan, cadralazine, camptothesin, canthaxanthin, captopril, carbamazepine, carboprost, cefalexin, cefalotin, cefamandole, cefazedone, cefluoroxime, cefinenoxime, cefoperazone, cefotaxime, cefoxitin, cefsulodin, ceftizoxime, chlorambucil, chromoglycinic acid, ciclonicate, ciglitazone, clonidine, cortexolone, corticosterone, cortisol, cortisone, cyclophosphamide, cyclosporin A and other cyclosporins, cytarabine, desocryptin, desogestrel, dexamethasone esters such as the acetate, dezocine, diazepam, diclofenac, dideoxyadenosine, dideoxyinosine, digitoxin, digoxin, dihydroergotamine, dihydroergotoxin, diltiazem, dopamine antagonists, doxorubicin, econazole, endralazine, enkephalin, enalapril, epoprostenol, estradiol, estramustine, etofibrate, etoposide, factor ix, factor viii, felbamate, fenbendazole, fenofibrate, fexofenedine, flunarizin, flurbiprofen, 5-fluorouracil, flurazepam, fosfomycin, fosmidomycin, furosemide, gallopamil, gamma interferon, gentamicin, gepefrine, gliclazide, glipizide, griseofulvin, haptoglobulin, hepatitis B vaccine, hydralazine, hydrochlorothiazide, hydrocortisone, ibuprofen, ibuproxam, indinavir, indomethacin, iodinated aromatic x-ray contrast agents such as iodamide, ipratropium bromide, ketoconazole, ketoprofen, ketotifen, ketotifen fumarate, K-strophanthin, labetalol, lactobacillus vaccine, lidocaine, lidoflazin, lisuride, lisuride hydrogen maleate, lorazepam, lovastatin, mefenamic acid, melphalan, memantin, mesulergin, metergoline, methotrexate, methyl digoxin, methylprednisolone, metronidazole, metisoprenol, metipranolol, metkephamide, metolazone, metoprolol, metoprolol tartrate, miconazole, miconazole nitrate, minoxidil, misonidazol, molsidomin, nadolol, nafiverine, nafazatrom, naproxen, natural insulins, nesapidil, nicardipine, nicorandil, nifedipine, niludipin, nimodipine, nitrazepam, nitrendipine, nitrocamptothesin, 9-nitrocamptothesin, olanzapine, oxazepam, oxprenolol, oxytetracycline, penicillins such as penicillin G benethamine, penecillin O, phenylbutazone, picotamide, pindolol, piposulfan, piretanide, piribedil, piroxicam, pirprofen, plasminogenici activator, prednisolone, prednisone, pregnenolone, procarbacin, procaterol, progesterone, proinsulin, propafenone, propanolol, propentofyllin, propofol, propranolol, raloxifene, rifapentin, simvastatin, semi-synthetic insulins, sobrerol, somastotine and its derivatives, somatropin, stilamine, sulfinalol hydrochloride, sulfinpyrazone, suloctidil, suprofen, sulproston, synthetic insulins, talinolol, taxol, taxotere, testosterone, testosterone propionate, testosterone undecanoate, tetracane HI, tiaramide HCl, tolmetin, tranilast, triquilar, tromantadine HCl, urokinase, valium, verapamil, vidarabine, vidarabine phosphate sodium salt, vinblastine, vinburin, vincamine, vincristine, vindesine, vinpocetine, vitamin A, vitamin E succinate, and x-ray contrast agents.", "Drugs can be neutral species or basic or acidic as well as salts of an acid or base.", "Specifically the chemical makeup and the functional groups, including an acid or base group, are generally not the determinant factor, excepting a possible chemical reaction with a specific matrix, for the successful creation of a biologically active substance with improved dissolution.", "This invention is not limited to any drug specific class, application type, chemical type or function grouping.", "Rather the suitability of a biologically active material for use in this invention is primarily determined by the mechanical properties of the material.", "In addition, some biologically active materials may have the benefit of absorption through the skin if presented in a particle formulation.", "Such biologically active materials include, but are not limited to, Voltaren (diclofenac), rofecoxib, and ibuprofen.", "Conveniently, the biologically active material is capable of withstanding temperatures that are typical in uncooled dry milling, which may exceed 80° C. Therefore, materials with a melting point about 80° C. or greater are highly suitable.", "For biologically active materials with lower melting points, the media mill may be cooled, thereby allowing materials with significantly lower melting temperatures to be processed according to the method of the invention.", "For instance, a simple water-cooled mill will keep temperatures below 50° C., or chilled water could be used to further lower the milling temperature.", "Those skilled in the art will understand that a high energy ball mill could be designed to run at any temperature between say −30 to 200° C. For some biologically active materials it may be advantageous to control the milling temperature to temperatures significantly below the melting points of the biologically active materials.", "The biologically active material is obtained in a conventional form commercially and/or prepared by techniques known in the art.", "It is preferred, but not essential, that the particle size of the biologically active material be less than about 1000 μm, as determined by sieve analysis.", "If the coarse particle size of the biologically active material is greater than about 1000 μm, then it is preferred that the particles of the biologically active material substrate be reduced in size to less than 1000 μm using another standard milling method.", "Processed Biologically Active Material Preferably, the biologically active materials, which have been subject to the methods of the invention, comprises particles of biologically active material of an average particle size diameter equal or greater than 1 μm, determined on a particle number basis.", "Preferably, the biologically active materials, which have been subject to the methods of the invention, comprises particles of biologically active material of a median particle size diameter equal or greater than 1 μm, determined on a particle volume basis.", "These sizes refer to particles either fully dispersed or partially agglomerated.", "Agglomerates of Biologically Active Material after Processing Agglomerates comprising particles of biologically active material, said particles having a particle size within the ranges specified above, should be understood to fall within the scope of the present invention.", "Agglomerates comprising particles of biologically active material, said agglomerates having a total agglomerate size within the ranges specified above, should be understood to fall within the scope of the present invention.", "Agglomerates comprising particles of biologically active material, should be understood to fall within the scope of the present invention if at the time of use, or further processing, the particle size of the agglomerate is within the ranges specified above.", "Processing Time Preferably, the biologically active material and the grinding matrix are dry milled for the shortest time necessary to form the mixture of the biologically active material in the grinding matrix such that the active material has improved dissolution to minimise any possible contamination from the media mill and/or the plurality of milling bodies.", "This time varies greatly, depending on the biologically active material and the grinding matrix, and may range from as short as 1 minute to several hours.", "Dry milling times in excess of 2 hours may lead to degradation of the biologically active material and an increased level of undesirable contaminants.", "Suitable rates of agitation and total milling times are adjusted for the type and size of milling apparatus as well as the milling media, the weight ratio of the biologically active material and grinding matrix mixture to the plurality of milling bodies, the chemical and physical properties of the biologically active material and grinding matrix, and other parameters that may be optimized empirically.", "Inclusion of the Grinding Matrix with the Biologically Active Material and Separation of the Grinding Matrix from the Biologically Active Material In a preferred aspect, the grinding matrix is not separated from the biologically active material but is maintained with the biologically active material in the final product.", "Preferably the grinding matrix is considered to be Generally Regarded as Safe (GRAS) for pharmaceutical products.", "In an alternative aspect, the grinding matrix is separated from the biologically active material.", "In one aspect, where the grinding matrix is not fully milled, the unmilled grinding matrix is separated from the biologically active material.", "In a further aspect, at least a portion of the milled grinding matrix is separated from the biologically active material.", "Any portion of the grinding matrix may be removed, including but not limited to 10%, 25%, 50%, 75%, or substantially all of the grinding matrix.", "In some embodiments of the invention, a significant portion of the milled grinding matrix may comprise particles of a size similar to and/or smaller than the particles comprising the biologically active material.", "Where the portion of the milled grinding matrix to be separated from the particles comprising the biologically active material comprises particles of a size similar to and/or smaller than the particles comprising the biologically active material, separation techniques based on size distribution are inapplicable.", "In these circumstances, the method of the present invention may involve separation of at least a portion of the milled grinding matrix from the biologically active material by techniques including but not limited to electrostatic separation, magnetic separation, centrifugation (density separation), hydrodynamic separation, froth flotation.", "Advantageously, the step of removing at least a portion of the milled grinding matrix from the biologically active material may be performed through means such as selective dissolution, washing, or sublimation.", "An advantageous aspect of the invention would be the use of grinding matrix that has two or more components where at least one component is water soluble and at least one component has low solubility in water.", "In this case washing can be used to remove the matrix component soluble in water leaving the biologically active material encapsulated in the remaining matrix components.", "In a highly advantageous aspect of the invention the matrix with low solubility is a functional excipient.", "A highly advantageous aspect of the present invention is that certain grinding matrixes appropriate for use in the method of the invention (in that they physically degrade to the desired extent under dry milling conditions) are also pharmaceutically acceptable and thus appropriate for use in a medicament.", "Where the method of the present invention does not involve complete separation of the grinding matrix from the biologically active material, the present invention encompasses methods for the production of a medicament incorporating both the biologically active material and at least a portion of the milled grinding matrix, medicaments so produced and methods of treatment of an animal, including man, using a therapeutically effective amount of said biologically active materials by way of said medicaments.", "The medicament may include only the biologically active material and the grinding matrix or, more preferably, the biologically active materials and grinding matrix may be combined with one or more pharmaceutically acceptable carriers, as well as any desired excipients or other like agents commonly used in the preparation of medicaments.", "Analogously, a highly advantageous aspect of the present invention is that certain grinding matrixes appropriate for use in the method of the invention (in that they physically degrade to a desirable extent under dry milling conditions) are also appropriate for use in an agricultural chemical composition.", "Where the method of the present invention does not involve complete separation of the grinding matrix from the biologically active material, the present invention encompasses methods for the production of a agricultural chemical composition incorporating both the biologically active material and at least a portion of the milled grinding matrix, agricultural chemical composition so produced and methods of use of such compositions.", "The agricultural chemical composition may include only the biologically active material and the grinding matrix or, more preferably, the biologically active materials and grinding matrix may be combined with one or more acceptable carriers, as well as any desired excipients or other like agents commonly used in the preparation of agricultural chemical compositions.", "In one particular form of the invention, the grinding matrix is both appropriate for use in a medicament and readily separable from the biologically active material by methods not dependent on particle size.", "Such grinding matrixes are described in the following detailed description of the invention.", "Such grinding matrixes are highly advantageous in that they afford significant flexibility in the extent to which the grinding matrix may be incorporated with the biologically active material into a medicament.", "The mixture of biologically active material and grinding matrix may then be separated from the milling bodies and removed from the mill.", "In one embodiment, the grinding matrix is separated from the mixture of biologically active material and grinding matrix.", "Where the grinding matrix is not fully milled, the unmilled grinding matrix is separated from the biologically active material.", "In a further aspect, at least a portion of the milled grinding matrix is separated from the biologically active material.", "The milling bodies are essentially resistant to fracture and erosion in the dry milling process.", "The quantity of the grinding matrix relative to the quantity of biologically active material, and the extent of milling of the grinding matrix, is sufficient to provide improved dissolution of the biologically active material.", "The grinding matrix is neither chemically nor mechanically reactive with the pharmaceutical material under the dry milling conditions of the method of the invention except, for example, where the matrix is deliberately chosen to undergo a mechanico-chemical reaction.", "Such a reaction might be the conversion of a free base or acid to a salt or vice versa.", "Preferably, the medicament is a solid dosage form, however, other dosage forms may be prepared by those of ordinary skill in the art.", "In one form, after the step of separating said mixture of biologically active material and grinding matrix from the plurality of milling bodies, and before the step of using said mixture of biologically active material and grinding matrix in the manufacture of a medicament, the method may comprise the step of: removing a portion of the grinding matrix from said mixture of biologically active material and grinding matrix to provide a mixture enriched in the biologically active material; and the step of using said mixture of biologically active material and grinding matrix in the manufacture of a medicament, more particularly comprises the step of using the mixture of biologically active material and grinding matrix enriched in the biologically active material form in the manufacture of a medicament.", "The present invention includes medicaments manufactured by said methods, and methods for the treatment of an animal, including man, by the administration of a therapeutically effective amount of the biologically active materials by way of said medicaments.", "In another embodiment of the invention, a facilitating agent or a combination of facilitating agents is also comprised in the mixture to be milled.", "Such facilitating agents appropriate for use in the invention include diluents, surfactants, polymers, binding agents, filling agents, lubricating agents, sweeteners, flavouring agents, preservatives, buffers, wetting agents, disintegrants, effervescent agents and agents that may form part of a medicament, including a solid dosage form, or other excipients required for other specific drug delivery, such as the agents and media listed below under the heading Medicinal and Pharmaceutical Compositions, or any combination thereof.", "Biologically Active Materials and Compositions The present invention encompasses pharmaceutically acceptable materials produced according to the methods of the present invention, compositions including such materials, including compositions comprising such materials together with the grinding matrix, with at least a portion of the grinding matrix or separated from the grinding matrix.", "The pharmaceutically acceptable materials within the compositions of the invention are present at a concentration of between about 0.1% and about 99.0% by weight.", "Preferably, the concentration of pharmaceutically acceptable materials within the compositions will be about 5% to about 80% by weight, while concentrations of 10% to about 50% by weight are highly preferred.", "Desirably, the concentration will be in the range of about 10 to 15% by weight, 15 to 20% by weight, 20 to 25% by weight, 25 to 30% by weight, 30 to 35% by weight, 35 to 40% by weight, 40 to 45% by weight, 45 to 50% by weight, 50 to 55% by weight, 55 to 60% by weight, 60 to 65% by weight, 65 to 70% by weight, 70 to 75% by weight or 75 to 80% by weight for the composition prior to any later removal (if desired) of any portion of the grinding matrix.", "Where part or all of the grinding matrix has been removed, the relative concentration of pharmaceutically acceptable materials in the composition may be considerably higher depending on the amount of the grinding matrix that is removed.", "For example, if all of the grinding matrix is removed the concentration of particles in the preparation may approach 100% by weight (subject to the presence of facilitating agents).", "Compositions produced according to the present invention are not limited to the inclusion of a single species of pharmaceutically acceptable materials.", "More than one species of pharmaceutically acceptable materials may therefore be present in the composition.", "Where more than one species of pharmaceutically acceptable materials is present, the composition so formed may either be prepared in a dry milling step, or the pharmaceutically acceptable materials may be prepared separately and then combined to form a single composition.", "Medicaments The medicaments of the present invention may include the pharmaceutically acceptable material, optionally together with the grinding matrix or at least a portion of the grinding matrix, combined with one or more pharmaceutically acceptable carriers, as well as other agents commonly used in the preparation of pharmaceutically acceptable compositions.", "As used herein “pharmaceutically acceptable carrier” includes any and all solvents, dispersion media, coatings, antibacterial and antifungal agents, isotonic and absorption delaying agents, and the like that are physiologically compatible.", "Preferably, the carrier is suitable for parenteral administration, intravenous, intraperitoneal, intramuscular, sublingual, pulmonary, transdermal or oral administration.", "Pharmaceutically acceptable carriers include sterile aqueous solutions or dispersions and sterile powders for the extemporaneous preparation of sterile injectable solutions or dispersion.", "The use of such media and agents for the manufacture of medicaments is well known in the art.", "Except insofar as any conventional media or agent is incompatible with the pharmaceutically acceptable material, use thereof in the manufacture of a pharmaceutical composition according to the invention is contemplated.", "Pharmaceutical acceptable carriers according to the invention may include one or more of the following examples: (1) surfactants and polymers, including, but not limited to polyethylene glycol (PEG), polyvinylpyrrolidone (PVP), polyvinylalcohol, crospovidone, polyvinylpyrrolidone-polyvinylacetate copolymer, cellulose derivatives, hydroxypropylmethyl cellulose, hydroxypropyl cellulose, carboxymethylethyl cellulose, hydroxypropylmethyl cellulose phthalate, polyacrylates and polymethacrylates, urea, sugars, polyols, and their polymers, emulsifiers, sugar gum, starch, organic acids and their salts, vinyl pyrrolidone and vinyl acetate; and or (2) binding agents such as various celluloses and cross-linked polyvinylpyrrolidone, microcrystalline cellulose; and or (3) filling agents such as lactose monohydrate, lactose anhydrous, microcrystalline cellulose and various starches; and or (4) lubricating agents such as agents that act on the flowability of the powder to be compressed, including colloidal silicon dioxide, talc, stearic acid, magnesium stearate, calcium stearate, silica gel; and or (5) sweeteners such as any natural or artificial sweetener including sucrose, xylitol, sodium saccharin, cyclamate, aspartame, and acesulfame K; and or (6) flavouring agents; and or (7) preservatives such as potassium sorbate, methylparaben, propylparaben, benzoic acid and its salts, other esters of parahydroxybenzoic acid such as butylparaben, alcohols such as ethyl or benzyl alcohol, phenolic chemicals such as phenol, or quaternary compounds such as benzalkonium chloride; and or (8) buffers; and or (9) Diluents such as pharmaceutically acceptable inert fillers, such as microcrystalline cellulose, lactose, dibasic calcium phosphate, saccharides, and/or mixtures of any of the foregoing; and or (10) wetting agents such as corn starch, potato starch, maize starch, and modified starches, croscarmellose sodium, crospovidone, sodium starch glycolate, and mixtures thereof; and or (11) disintegrants; and or (12) effervescent agents such as effervescent couples such as an organic acid (e.g., citric, tartaric, malic, fumaric, adipic, succinic, and alginic acids and anhydrides and acid salts), or a carbonate (e.g.", "sodium carbonate, potassium carbonate, magnesium carbonate, sodium glycine carbonate, L-lysine carbonate, and arginine carbonate) or bicarbonate (e.g.", "sodium bicarbonate or potassium bicarbonate); and or (13) other pharmaceutically acceptable excipients.", "Medicaments of the invention suitable for use in animals and in particular in man typically must be sterile and stable under the conditions of manufacture and storage.", "The medicaments of the invention comprising the biologically active material can be formulated as a solid, a solution, a microemulsion, a liposome, or other ordered structures suitable to high drug concentration.", "Actual dosage levels of the biologically active material in the medicament of the invention may be varied in accordance with the nature of the biologically active material, as well as the potential increased efficacy due to the advantages of providing and administering the biologically active material (e.g., increased solubility, more rapid dissolution, increased surface area of the biologically active material, etc.).", "Thus as used herein “therapeutically effective amount” will refer to an amount of biologically active material required to effect a therapeutic response in an animal.", "Amounts effective for such a use will depend on: the desired therapeutic effect; the route of administration; the potency of the biologically active material; the desired duration of treatment; the stage and severity of the disease being treated; the weight and general state of health of the patient; and the judgment of the prescribing physician.", "In another embodiment, the biologically active material, optionally together with the grinding matrix or at least a portion of the grinding matrix, of the invention may be combined into a medicament with another biologically active material, or even the same biologically active material.", "In the latter embodiment, a medicament may be achieved which provides for different release characteristics—early release from the biologically active material, and later release from a larger average size biologically active material.", "Modes of Administration of Medicaments Comprising Biologically Active Materials Medicaments of the invention can be administered to animals, including man, in any pharmaceutically acceptable manner, such as orally, rectally, pulmonary, intravaginally, locally (powders, ointments or drops), transdermal, parenteral administration, intravenous, intraperitoneal, intramuscular, sublingual or as a buccal or nasal spray Solid dosage forms for oral administration include capsules, tablets, pills, powders, pellets, and granules.", "Further, incorporating any of the normally employed excipients, such as those previously listed, and generally 5-95% of the biologically active agent, and more preferably at a concentration of 10%-75% will form a pharmaceutically acceptable non-toxic oral composition.", "Medicaments of the invention may be parenterally administered as a solution of the biologically active agent suspended in an acceptable carrier, preferably an aqueous carrier.", "A variety of aqueous carriers may be used, e.g.", "water, buffered water, 0.4% saline, 0.3% glycine, hyaluronic acid and the like.", "These compositions may be sterilized by conventional, well known sterilization techniques, or may be sterile filtered.", "The resulting aqueous solutions may be packaged for use as is, or lyophilized, the lyophilized preparation being combined with a sterile solution prior to administration.", "For aerosol administration, medicaments of the invention are preferably supplied along with a surfactant or polymer and propellant.", "The surfactant or polymer must, of course, be non-toxic, and preferably soluble in the propellant.", "Representative of such agents are the esters or partial esters of fatty acids containing from 6 to 22 carbon atoms, such as caproic, octanoic, lauric, palmitic, stearic, linoleic, linolenic, olesteric and oleic acids with an aliphatic polyhydric alcohol or its cyclic anhydride.", "Mixed esters, such as mixed or natural glycerides may be employed.", "The surfactant or polymer may constitute 0.1%-20% by weight of the composition, preferably 0.25-5%.", "The balance of the composition is ordinarily propellant.", "A carrier can also be included, as desired, as with, e.g., lecithin for intranasal delivery.", "Medicaments of the invention may also be administered via liposomes, which serve to target the active agent to a particular tissue, such as lymphoid tissue, or targeted selectively to cells.", "Liposomes include emulsions, foams, micelles, insoluble monolayers, liquid crystals, phospholipid dispersions, lamellar layers and the like.", "In these preparations the composite microstructure composition is incorporated as part of a liposome, alone or in conjunction with a molecule that binds to or with other therapeutic or immunogenic compositions.", "As described above, the biologically active material can be formulated into a solid dosage form (e.g., for oral or suppository administration), together with the grinding matrix or at least a portion of it.", "In this case there may be little or no need to add stabilizing agents since the grinding matrix may effectively act as a solid-state stabilizer.", "However, if the biologically active material is to be utilized in a liquid suspension, the particles comprising the biologically active material may require further stabilization once the solid carrier has been substantially removed to ensure the elimination, or at least minimisation of particle agglomeration.", "Therapeutic Uses Therapeutic uses of the medicaments of the invention include pain relief, anti-inflammatory, migraine, asthma, and other disorders that require the active agent to be administered with a high bioavailability.", "One of the main areas when rapid bioavailability of a biologically active material is required is in the relief of pain.", "The minor analgesics, such as cyclooxgenase inhibitors (aspirin related drugs) may be prepared as medicaments according to the present invention.", "Medicaments of the invention may also be used for treatment of eye disorders.", "That is, the biologically active material may be formulated for administration on the eye as an aqueous suspension in physiological saline, or a gel.", "In addition, the biologically active material may be prepared in a powder form for administration via the nose for rapid central nervous system penetration.", "Treatment of cardiovascular disease may also benefit from biologically active materials according to the invention, such as treatment of angina pectoris and, in particular, molsidomine may benefit from better bioavailability.", "Other therapeutic uses of the medicaments of the present invention include treatment of hair loss, sexual dysfunction, or dermal treatment of psoriasis.", "The present invention will now be described with reference to the following non-limiting Examples.", "The description of the Examples is in no way limiting on the preceding paragraphs of this specification, but is provided for exemplification of the methods and compositions of the invention.", "EXAMPLES It will be apparent to persons skilled in the milling and pharmaceutical arts that numerous enhancements and modifications can be made to the above described processes without departing from the basic inventive concepts.", "For example, in some applications the biologically active material may be pretreated and supplied to the process in the pretreated form.", "All such modifications and enhancements are considered to be within the scope of the present invention, the nature of which is to be determined from the foregoing description and the appended claims.", "Furthermore, the following Examples are provided for illustrative purposes only, and are not intended to limit the scope of the processes or compositions of the invention.", "The following materials were used in the examples: Meloxicam (Dayang, China), Diclofenac (Unique, India), Lactose monohydrate (Capsulac 60, Meggle, Germany), Mannitol (Sigma-Aldrich, US), Tartaric Acid (BDH, UK), Sorbitol (Sigma-Aldrich, US), Glucose (Ajax Finechem, Australia), Microcrystalline Cellulose (Sigma-Aldrich, US).", "A Union Process attritor mill (model 1HD, 110 mL milling chamber), fitted with a 4 arm rotating shaft, was used to conduct the milling experiments.", "Steel balls ( 5/16″, 300 g) were used as grinding media in the milling experiments.", "The mill was loaded through the loading port, with dry materials and matrices added initially, followed by the grinding media.", "The milling process was conducted at room temperature with the shaft rotating at 500 rpm.", "Upon completion of milling, the milled powder was discharged from the mill and sieved to remove grinding media.", "The particle size distribution (PSD) was determined using a Malvern Mastersizer 2000 fitted with a Malvern Hydro 2000S pump unit.", "Dispersant used (0.01M HCl, RI: 1.33).", "Measurement settings used: Measurement Time: 12 secs, Measurement cycles: 3.Result generated by averaging the 3 measurements.", "Meloxicam specific conditions: Refractive index (RI): 1.73, absorption: 0.01.Diclofenac specific conditions: RI: 1.69, absorption: 0.01.Samples were prepared by adding 200 mg of milled powder to 5.0 mL of a 1% PVP solution in 0.01M hydrochloric acid (HCl), vortexing for 1 min, then sonicating with a horn for 1 min until samples dispersed.", "From this solution enough was added into the dispersant to attain a desired obscuration level of the red laser of =2.0%.", "Dissolution behaviour of milled materials as well as unmilled controls were determined using an automated Varian 7025 dissolution unit fitted with a Cary 50 Tablet UV visible spectrometer.", "Dissolution settings used were according to USP 2 with stirrer speed at 100 rpm.", "Meloxicam specific conditions: wavelength A=362 nm, pH 6.1 (10 mM Phosphate buffer), standard sized gelatine capsules contained 15 mg Meloxicam, for example, a capsule prepared from a 10 wt % Meloxicam milling required 150 mg milled powder.", "Diclofenac specific conditions: wavelength λ=276 nm, pH 5.75 (10 mM Citrate buffer), standard sized gelatine capsules contained 20 mg Diclofenac, for example, a capsule prepared from a 10 wt % Diclofenac milling required 200 mg milled powder.", "Capsules of milled materials were filled using Profill® equipment.", "Un-milled control samples were prepared by hand-filling appropriately sized capsules.", "Each dissolution result was obtained by averaging results from 3 capsules.", "Quantitative results are given as the time to reach X and Y. X is defined as the concentration equal to the dissolution concentration achieved by a control sample (or prototype formulation thereof) of the biologically active material or compound after 60 minutes.", "Y is defined as the concentration equal to the dissolution concentration achieved by a control sample (or prototype formulation thereof) of the biologically active material or compound after 30 minutes.", "Powder X-Ray diffraction (XRD) patterns were measured with a Diffractometer D 5000, Kristalloflex (Siemens).", "The measurement range was from 5-18 degrees 2-Theta.", "The slit width was set to 2 mm and the cathode ray tube was operated at 40 kV and 35 mA.", "Measurements were recorded at room temperature.", "The recorded traces were subsequently processed using Bruker EVA software to obtain the diffraction pattern.", "DSC traces where measured using a TA instruments DSC Q10.The data was obtained using a heating rate of 10° C./min under nitrogen flow.", "AluminiumTzero open pans where used for the measurements.", "Example 1.10% Meloxicam in Lactose Mono-Hydrate A mixture of Meloxicam (0.60 g) and Lactose monohydrate (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) are shown in FIG.", "1.The dissolution behaviour is shown in FIG.", "2.Results are summarised in Table 1 together with results obtained for an un-milled control (A), prepared by physically mixing Meloxicam (0.40 g) and Lactose monohydrate (3.60 g) in a vial until the appearance was homogenous.", "FIG.", "1 shows that after 1 minute of milling the particle size is reduced by about half.", "After another minute of milling the particle size has further reduced but is still mostly in the range of 1-10 micron.", "In contrast to this the dissolution of the material milled for 1 minute is only slightly faster than the unmilled control sample.", "The dissolution at 2 minutes is dramatically improved over both the 1 minute and unmilled material.", "In Table 1 the median size and quantitative assessment of the dissolution are shown.", "According to the measures X and Y (set out above) the material milled for 2 minutes has a much improved dissolution compared with both the unmilled and the milled for 1 minute sample.", "As the change in size of material from 1 to 2 mins is of the same order as the change in size from unmilled to 1 minute the primary reason for the improved dissolution for the 2 minute sample cannot be particle size reduction.", "TABLE 1 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 4.86 μm 23 45 2 min (C) 2.53 μm 8 11 Example 2.10% Diclofenac in Lactose Mono-Hydrate A mixture of Diclofenac (0.60 g) and lactose monohydrate (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) are shown in FIG.", "3.The dissolution behaviour is shown in FIG.", "4.Results are summarised in Table 2 together with results obtained for an un-milled control (A), prepared by physically mixing Diclofenac (0.40 g) and Lactose monohydrate (3.60 g) in a vial until the appearance was homogenous.", "The data for Diclofenac milled in lactose monohydrate is very similar to the data in Example 1.FIG.", "3 shows that after 1 minute of milling the particle size is reduced by just over 50%.", "After another minute of milling the particle size has reduced a little more giving two milled materials in the range 2-4 micron.", "Again in contrast to this the dissolution of the material milled for 1 minute is only slightly faster than the unmilled control sample.", "The dissolution at 2 minutes is dramatically improved over both the 1 minute and unmilled material.", "In Table 1 the median size and quantitative assessment of the dissolution are shown.", "According to the measures X and Y (set out above) the material milled for 2 minutes has a much improved dissolution compared with both the unmilled and the milled for 1 minute sample.", "As the size of the material from 1 to 2 mins is quite similar this size difference cannot be the primary reason for the improved dissolution for the 2 minute sample.", "TABLE 2 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 1 min (B) 4.09 μm 18 29 2 min (C) 2.57 μm 8 10 Example 3.10% Meloxicam in Mannitol A mixture of Meloxicam (0.60 g) and Mannitol (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as the dissolution behaviour.", "Results are summarised in Table 3.The un-milled control (A) was prepared by physically mixing Meloxicam (0.40 g) and Mannitol (3.60 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material, but the size reduction is not dramatic.", "According to the dissolution measures X and Y both materials have a much improved dissolution rate compared with the unmilled sample.", "This data also shows that once enough milling energy has been input to deliver the improved dissolution (1 minute milling), further size reduction (2 minutes) has little impact on the dissolution rate.", "In FIG.", "5 a DSC trace of material milled for 2 minutes is shown compared with the DSC trace of mannitol.", "The trace only shows one melt other than mannitol at approximately 240° C. being the normal melting point of meloxicam.", "This DSC trace shows no indication of any amorphous material or other forms of meloxicam being present.", "This indicates the meloxicam has retained its crystallinity during the milling process.", "TABLE 3 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 3.80 μm 10 12 2 min (C) 2.19 μm 8 9 Example 4 10% Diclofenac in Mannitol A mixture of Diclofenac (0.60 g) and Mannitol (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as the dissolution behaviour.", "Results are summarised in Table 4.The un-milled control (A) was prepared by physically mixing Diclofenac (0.40 g) and Mannitol (3.60 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material, but the size is still in the range 1-10 microns.", "According to the dissolution measures X and Y both materials have a much improved dissolution rate compared with the unmilled sample.", "Again the data also shows that once enough milling energy has been input to deliver the improved dissolution (1 minute milling), further size reduction (2 minutes) has little impact on the dissolution rate.", "TABLE 4 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 1 min (B) 2.20 μm 8 11 2 min (C) 1.23 μm 8 11 Example 5 10% Meloxicam in Glucose A mixture of Meloxicam (0.60 g) and Glucose (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as the dissolution behaviour.", "Results are summarised in Table 5.The un-milled control (A) was prepared by physically mixing Meloxicam (0.40 g) and Glucose (3.60 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material.", "There is about a 50% reduction from unmilled to 1 minute and about another 50% reduction from 1 minute to 2 minutes.", "According to the dissolution measures X and Y both milled materials have a much improved dissolution rate compared with the unmilled sample.", "Again the data shows that the improved dissolution is independent of the final particle size, instead most improvement has come from the milling of the active with the grinding matrix.", "TABLE 5 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 4.04 μm 9 10 2 min (C) 1.61 μm 7 8 Example 6.10% Diclofenac in Glucose A mixture of Diclofenac (0.60 g) and Glucose (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as the dissolution behaviour.", "Results are summarised in Table 6.The un-milled control (A) was prepared by physically mixing Diclofenac (0.40 g) and Glucose (3.60 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material, There is about a 60% reduction from unmilled to 1 minute and about another 30% reduction from 1 minute to 2 minutes.", "According to the dissolution measures X and Y the material milled for 1 minute has a greatly improved dissolution rate compared with the unmilled sample.", "The material milled for 2 minutes has a much slower dissolution rate compared with sample B and is only slightly improved compared with the unmilled material even though the particle size is smaller.", "TABLE 6 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 1 min (B) 3.13 μm 15 24 2 min (C) 1.97 μm 25 55 Example 7.10% Meloxicam in Microcrystalline Cellulose A mixture of Meloxicam (0.60 g) and microcrystalline Cellulose (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "No PSD was measured due to interference from insoluble excipient.", "Dissolution behaviour of milled products and unmilled material (A) were measured.", "Results are summarised in Table 7.The un-milled control (A) was prepared by physically mixing Meloxicam (0.40 g) and microcrystalline Cellulose (3.60 g) in a vial until the appearance was homogenous.", "According to the dissolution measures X and Y both milled materials have an improved dissolution rate compared with the unmilled sample.", "TABLE 7 Time to Reach Concentration Milling Time Y (min) X (min) Un-milled (A) 30 60 1 min (B) 10 14 2 min (C) 9 10 Example 8.10% Diclofenac in Microcrystalline Cellulose A mixture of Diclofenac (0.60 g) and microcrystalline Cellulose (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "No PSD was measured due to interference from insoluble excipient.", "Dissolution behaviour of milled products and unmilled material (A) were measured.", "Results are summarised in Table 7.The un-milled control (A) was prepared by physically mixing Diclofenac (0.40 g) and microcrystalline Cellulose (3.60 g) in a vial until the appearance was homogenous.", "According to the dissolution measures X and Y both milled materials have an improved dissolution rate compared with the unmilled sample.", "TABLE 8 Time to Reach Concentration Milling Time Y (min) X (min) Un-milled (A) 30 60 1 min (B) 18 28 2 min (C) 24 31 Example 9.10% Meloxicam in Tartaric Acid A mixture of Meloxicam (0.60 g) and Tartaric acid (5.40 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as dissolution behaviour#.", "Results summarised in Table 9.The un-milled control (A) was prepared by physically mixing Meloxicam (0.40 g) and Tartaric acid (3.60 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material, There is about a 40% reduction from unmilled to 1 minute and about another 40% reduction from 1 minute to 2 minutes.", "According to the dissolution measures X and Y both milled materials have a much improved dissolution rate compared with the unmilled sample.", "The dissolution data indicates that both milled materials have very fast dissolution even though the size reduction upon milling is not large.", "TABLE 9 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 5.10 μm 9 11 2 min (C) 3.03 μm 8 9 #Dissolution test measured in 100 mM phosphate buffer at pH 5.8.Example 10.20% Meloxicam in Lactose Mono-Hydrate A mixture of Meloxicam (1.20 g) and Lactose monohydrate (4.80 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as dissolution behaviour.", "Results summarised in Table 10.The un-milled control (A) was prepared by physically mixing Meloxicam (0.80 g) and Lactose monohydrate (3.20 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material.", "According to the dissolution measures X and Y both milled materials have an improved dissolution rate compared with the unmilled sample.", "In FIG.", "6 the XRD spectra of the material milled for 2 minutes is shown.", "The spectra of pure meloxicam and pure milled lactose are also shown.", "These show that most meloxicam peaks are obscured by the lactose spectra.", "The clearest meloxicam peak is located at 2 theta 15°.", "For the material milled for 2 mins this peak is small (due to only 20% meloxicam) but evidence of the presence of crystalline meloxicam after milling.", "The spectra also indicate that the lactose is still crystalline after milling as well.", "TABLE 10 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 5.72 μm 14 26 2 min (C) 3.52 μm 17 20 Example 11.20% Meloxicam in Mannitol A mixture of Meloxicam (1.20 g) and Mannitol (4.80 g) was milled for either 1 (B) or 2 (C) minutes.", "PSDs of the milled products and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 11.The un-milled control (A) was prepared by physically mixing Meloxicam (0.80 g) and Mannitol (3.20 g) in a vial until the appearance was homogenous.", "The PSD shows that the material milled for 1 and 2 minutes has a reduced size compared with the unmilled material.", "The level of size reduction compared with the material milled at 10% (example 3) is the same.", "The dissolution rate for the material milled at 20% is slightly slower than the rate for material milled at 10% (example 3) but the rate is still a good improvement over that of the unmilled material.", "Again this data would indicate than the improvement in dissolution observed is not primarily a function of particle size.", "In FIG.", "5 a DSC trace of material milled for 2 minutes is shown compared with the DSC trace of mannitol.", "The trace only shows one melt other than mannitol at approximately 240° C. being the normal melting point of meloxicam.", "This DSC trace shows no indication of any amorphous material or other forms of meloxicam being present.", "This indicates the meloxicam has retained its crystallinity during the milling process.", "In FIG.", "7 the XRD spectra of the material milled for 2 minutes is shown.", "The spectra of pure meloxicam, pure mannitol and a 20% physical mixture of meloxicam in mannitol are also shown.", "These show that most meloxicam peaks are obscured by the mannitol spectra.", "The clearest meloxicam peak is located at 2 theta 13°.", "The spectra indicate that both the meloxicam and mannitol are still crystalline after milling.", "TABLE 11 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 1 min (B) 3.53 μm 14 22 2 min (C) 2.39 μm 18 21 Example 12.30% Diclofenac in 69% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Diclofenac (1.80 g), Lactose monohydrate (4.14 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 12.The un-milled control (A) was prepared by physically mixing Diclofenac (1.20 g), Lactose monohydrate (2.76 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "At the higher API content 1% SDS has been used as a milling aid to help provide good flow during milling.", "The same concentration of SDS was also include in the unmilled control sample for dissolution measurements so that any improvement in the dissolution due to the SDS is accounted for.", "At this API concentration the milling time has also been extended to provide more milling energy.", "The PSD achieved here is similar to the 2 minute sample from example 2 (10%) and the dissolution measures X and Y have also shown a similar level of improved dissolution.", "This example demonstrates that the improved dissolution through the synergistic milling of API and grinding matrix is achieved at higher API levels.", "In FIG.", "8 the XRD spectra of the diclofenac milled at various weight percentages from 20-50% is shown.", "The 20% material was produced in the same way as this example only with different amounts of diclofenac and lactose so as to achieve 20% w/w diclofenac overall.", "In FIG.", "9 spectra of unmilled physical mixtures of the same compositions are shown as a comparison.", "In FIG.", "10 spectra are also shown for pure diclofenac, pure lactose and pure milled lactose.", "FIG.", "10 indicates there are unobscured peaks located at 2 theta 11°, 15° and a partially obscured peak at 28°.", "When these peaks are compared between FIG.", "8 (milled) and FIG.", "9 (physical mixture) the spectra indicates that the material produced by this example is still crystalline after milling.", "TABLE 12 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 10 min (B) 2.75 μm 12 13 Example 13.40% Diclofenac in 59% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Diclofenac (2.40 g), Lactose monohydrate (3.54 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 13.The un-milled control (A) was prepared by physically mixing Diclofenac (1.60 g), Lactose monohydrate (2.36 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "At this API concentration the PSD achieved is slightly coarser compare to example 12 (30%).", "The dissolution measures X and Y showed improved dissolution.", "In FIG.", "8 the XRD spectra of the diclofenac milled at various weight percentages from 20-50% is shown.", "The 20% material was produced in the same way as example 12 only with different amounts of diclofenac and lactose so as to achieve 20% w/w diclofenac overall.", "In FIG.", "9 spectra of unmilled physical mixtures of the same compositions are shown as a comparison.", "In FIG.", "10 spectra are also shown for pure diclofenac, pure lactose and pure milled lactose.", "FIG.", "10 indicates there are unobscured peaks located at 2 theta 11°, 15° and a partially obscured peak at 28°.", "When these peaks are compared between FIG.", "8 (milled) and FIG.", "9 (physical mixture) the spectra indicates that the material produced by this example is still crystalline after milling.", "TABLE 13 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 10 min (B) 4.45 μm 18 25 Example 14.50% Diclofenac in 49% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Diclofenac (3.00 g), Lactose monohydrate (2.94 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 14.The un-milled control (A) was prepared by physically mixing Diclofenac (2.00 g), Lactose monohydrate (1.96 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "At this API concentration the PSD achieved is slightly coarser compare to example 12 (30%) and example 13 (40%).", "The dissolution measures X and Y still clearly indicate improved dissolution.", "This example demonstrates that the improved dissolution through the synergistic milling of API and grinding matrix is achieved at API levels up to at least 50%.", "In FIG.", "8 the XRD spectra of the diclofenac milled at various weight percentages from 20-50% is shown.", "The 20% material was produced in the same way as example 12 only with different amounts of diclofenac and lactose so as to achieve 20% w/w diclofenac overall.", "In FIG.", "9 spectra of unmilled physical mixtures of the same compositions are shown as a comparison.", "In FIG.", "10 spectra are also shown for pure diclofenac, pure lactose and pure milled lactose.", "FIG.", "10 indicates there are unobscured peaks located at 2 theta 11°, 15° and a partially obscured peak at 28°.", "When these peaks are compared between FIG.", "8 (milled) and FIG.", "9 (physical mixture) the spectra indicates that the material produced by this example is still crystalline after milling.", "TABLE 14 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 9.50 μm 30 60 10 min (B) 5.65 μm 23 33 Example 15.30% Meloxicam in 69% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Meloxicam (1.80 g), Lactose monohydrate (4.14 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 15.The un-milled control (A) was prepared by physically mixing Meloxicam (1.20 g), Lactose monohydrate (2.76 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "Like the Diclofenac examples at higher API content 1% SDS has also been used as a milling aid with the high Meloxicam content millings to help provide good flow during milling.", "The same concentration of SDS was also include in the unmilled control sample for dissolution measurements so that any improvement in the dissolution due to the SDS is accounted for.", "At this API concentration the milling time has also been extended to provide more milling energy.", "The PSD achieved here is slightly larger than the 2 minute sample from example 1 (10%).", "The dissolution measures X and Y show slightly more improvement in the dissolution compared with the 2 minute sample of example 1.TABLE 15 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 10 min (B) 3.69 μm 6 7 Example 16.40% Meloxicam in 59% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Meloxicam (2.40 g), Lactose monohydrate (3.54 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 16.The un-milled control (A) was prepared by physically mixing Meloxicam (1.60 g), Lactose monohydrate (2.36 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "The PSD achieved here is slightly larger than 30% sample (example 15) but the dissolution measures X and Y are virtually the same, again indicating strongly improved dissolution.", "TABLE 16 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 10 min (B) 4.91 μm 7 8 Example 17.50% Meloxicam in 49% Lactose Mono-Hydrate and 1% Sodium Dodecyl Sulfate A mixture of Meloxicam (3.00 g), Lactose monohydrate (2.94 g) and Sodium dodecyl sulfate (SDS) (0.06 g) was milled for 10 minutes (B).", "PSDs of the milled product and unmilled material (A) were measured as well as dissolution behaviour.", "Results are summarised in Table 17.The un-milled control (A) was prepared by physically mixing Meloxicam (2.00 g), Lactose monohydrate (1.96 g) and SDS (0.04 g) in a vial until the appearance was homogenous.", "The PSD achieved here is slightly larger than 40% sample (example 16) and is only slightly smaller than the unmilled material.", "The dissolution measures X and Y are very similar to the 30 and 40%, again indicating strongly improved dissolution.", "This series of millings at high Meloxicam content (example 15, 16, 17) clearly demonstrates that improved dissolution by synergistic milling of API with a grinding matrix is possible to at least 50%.", "The PSD distributions for this series also indicate that the improved dissolution observed from this process is independent of particle size.", "From 30% to 50% the PSD almost doubles yet the dissolution has remained relatively constant indicating little or no influence from particle size.", "In FIG.", "6 the XRD spectra of the material is shown (Spectra D).", "The spectra of pure meloxicam and pure milled lactose are also shown.", "These show that most meloxicam peaks are obscured by the lactose spectra.", "The clearest meloxicam peak is located at 2 theta 15°.", "In FIG.", "11 the spectra of a physical mixture of the material milled is also shown.", "The spectra indicates the presence of crystalline meloxicam after milling.", "The spectra also indicate that the lactose is still crystalline after milling as well.", "TABLE 17 Time to Reach Size Concentration Milling Time D (0.50) Y (min) X (min) Un-milled (A) 8.79 μm 30 60 10 min (B) 6.22 μm 10 13" ] ]
Patent_15875794
[ [ "NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, ENCODING APPARATUS, AND ENCODING METHOD", "A non-transitory computer-readable storage medium storing a program that causes a computer to execute a process including detecting, from a text, one of a plurality of first strings included in first information, each of the plurality of first strings being associated with control information, determining whether to execute a determination process based on the control information associated with a specified string when the specified string is detected from the text, the determination process determining whether the second string is included in second information, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string, executing the determination process when the determination process is determined to be executed and transforming the second string into the another coded string associated with the third string." ], [ "1.A non-transitory computer-readable storage medium storing a program that causes a computer to execute a process, the process comprising: detecting, from a text, one of a plurality of first strings included in first information stored in a storage device, each of the plurality of first strings being associated with control information and different coded strings respectively; determining whether to execute a determination process based on the control information associated with a specified string included in the plurality of first strings when the specified string is detected from the text, the control information indicating whether to execute the determination process, the determination process determining whether the second string is included in second information stored in the storage device, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string and being longer than the specified string; executing the determination process when the determination process is determined to be executed in the determining and transforming the second string into the another coded string associated with the third string when the second string is included in the second information; transforming the specified string into the coded string associated with the specified string; and outputting the text after at least one of the second string and the specified string is transformed.", "2.The non-transitory computer-readable storage medium according to claim 1, wherein each of the first strings is morphemes.", "3.The non-transitory computer-readable storage medium according to claim 2, wherein the second information includes a plurality of third strings; wherein each of the plurality of third strings is stored in different storage areas in the storage device respectively, in accordance with a morpheme at the beginning of each of the plurality of third strings; and wherein the determination process is executed by referring a storage area corresponding to the specified string.", "4.The non-transitory computer-readable storage medium according to claim 3, wherein each of the plurality of third strings is a combination of a plurality of fourth strings included in the plurality of first strings; wherein the storage device further stores position information indicating whether each of the different storage areas store the plurality of first strings; and wherein the determination process is executed by further referring the position information.", "5.The non-transitory computer-readable storage medium according to claim 4, wherein the position information further indicates a position of the plurality of fourth strings in each of the third string.", "6.An encoding apparatus comprising: a memory that stores first information and second information, the first information including a plurality of first strings associated with control information and different coded strings respectively; and a processor coupled to the memory and the processor configured to execute a process, the process including: detecting, from a text, one of a plurality of first strings included in the first information; determining whether to execute a determination process based on the control information associated with a specified string included in the plurality of first strings when the specified string is detected from the text, the control information indicating whether to execute the determination process, the determination process determining whether the second string is included in second information stored in the storage device, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string and being longer than the specified string; executing the determination process when the determination process is determined to be executed in the determining and transforming the second string into the another coded string associated with the third string when the second string is included in the second information; transforming the specified string into the coded string associated with the specified string; and outputting the text after at least one of the second string and the specified string is transformed.", "7.An encoding method executed by a computer, the encoding method comprising: detecting, from a text, one of a plurality of first strings included in first information stored in a storage device, each of the plurality of first strings being associated with control information and different coded strings respectively; determining whether to execute a determination process based on the control information associated with a specified string included in the plurality of first strings when the specified string is detected from the text, the control information indicating whether to execute the determination process, the determination process determining whether the second string is included in second information stored in the storage device, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string and being longer than the specified string; executing the determination process when the determination process is determined to be executed in the determining and transforming the second string into the another coded string associated with the third string when the second string is included in the second information; transforming the specified string into the coded string associated with the specified string; and outputting the text after at least one of the second string and the specified string is transformed." ], [ "<SOH> BACKGROUND <EOH>Morphological analysis is a process of dividing a text into morphemes and assigning information about parts of speech to each morpheme.", "In some cases, each morpheme obtained by using the morphological analysis is used as a word.", "A document information storage apparatus that encodes and stores morphemes extracted from document information has been known (see, for example, PTL 1).", "The document information storage apparatus performs the morphological analysis to extract the morphemes from inputted document information as components of the document information, encodes the extracted morphemes, compresses the encoded morphemes, and stores the compressed encoded morphemes in a storage unit." ], [ "<SOH> SUMMARY <EOH>At a suggestion, a non-transitory computer-readable storage medium storing a program that causes a computer to execute a process, the process including detecting, from a text, one of a plurality of first strings included in first information stored in a storage device, each of the plurality of first strings being associated with control information and different coded strings respectively, determining whether to execute a determination process based on the control information associated with the specified string when a specified string included in the plurality of first strings is detected from the text, the control information indicating whether to execute the determination process, the determination process determining whether the second string is included in second information stored in the storage device, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string and being longer than the specified string, executing the determination process when the determination process is determined to be executed in the determining and transforming the second string into the another coded string associated with the third string when the second string is included in the second information, transforming the specified string into the coded string associated with the specified string, and outputting the text after at least one of the second string and the specified string is transformed.", "The object and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the claims.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are not restrictive of the invention, as claimed." ], [ "CROSS-REFERENCE TO RELATED APPLICATION(S) This application is a continuation application of International Application PCT/JP2015/071171, filed on Jul.", "24, 2015, the entire contents of which are incorporated herein by reference.", "FIELD The present disclosure relates to a non-transitory computer-readable storage medium, an encoding apparatus, and an encoding method.", "BACKGROUND Morphological analysis is a process of dividing a text into morphemes and assigning information about parts of speech to each morpheme.", "In some cases, each morpheme obtained by using the morphological analysis is used as a word.", "A document information storage apparatus that encodes and stores morphemes extracted from document information has been known (see, for example, PTL 1).", "The document information storage apparatus performs the morphological analysis to extract the morphemes from inputted document information as components of the document information, encodes the extracted morphemes, compresses the encoded morphemes, and stores the compressed encoded morphemes in a storage unit.", "CITATION LIST Patent Literature PTL 1: Japanese Laid-open Patent Publication No.", "11-85790 SUMMARY At a suggestion, a non-transitory computer-readable storage medium storing a program that causes a computer to execute a process, the process including detecting, from a text, one of a plurality of first strings included in first information stored in a storage device, each of the plurality of first strings being associated with control information and different coded strings respectively, determining whether to execute a determination process based on the control information associated with the specified string when a specified string included in the plurality of first strings is detected from the text, the control information indicating whether to execute the determination process, the determination process determining whether the second string is included in second information stored in the storage device, the second information including at least one of third string being associated with another coded string, the second string being a string that includes the specified string at the beginning of the second string and being longer than the specified string, executing the determination process when the determination process is determined to be executed in the determining and transforming the second string into the another coded string associated with the third string when the second string is included in the second information, transforming the specified string into the coded string associated with the specified string, and outputting the text after at least one of the second string and the specified string is transformed.", "The object and advantages of the invention will be realized and attained by means of the elements and combinations particularly pointed out in the claims.", "It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory and are not restrictive of the invention, as claimed.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1 illustrates a morphological analysis dictionary.", "FIG.", "2 illustrates a postal code dictionary.", "FIG.", "3 illustrates an encoding process with the morphological analysis dictionary and the postal code dictionary.", "FIG.", "4 illustrates a morphological analysis dictionary to which codes in the postal code dictionary are added.", "FIG.", "5 illustrates a functional structure of an encoding apparatus.", "FIG.", "6 is a flowchart of the encoding process.", "FIG.", "7 illustrates a specific example of the functional structure of the encoding apparatus.", "FIG.", "8 illustrates a morphological analysis dictionary that includes switch information.", "FIG.", "9 illustrates an address dictionary.", "FIG.", "10 is a flowchart of a process of setting the switch information.", "FIG.", "11 is a flowchart of a specific example of the encoding process.", "FIG.", "12 illustrates a functional structure of the encoding apparatus in which search for strings that are not recorded is omitted.", "FIG.", "13 illustrates an address dictionary in which prefectures are divided into blocks.", "FIG.", "14 illustrates morpheme position information.", "FIG.", "15 is a flowchart of the encoding process in which search for strings that are not recorded is omitted.", "FIG.", "16 is a flowchart of a process of narrowing the blocks.", "FIG.", "17 illustrates filter information stored in a working area.", "FIG.", "18 illustrates an error message dictionary.", "FIG.", "19 illustrates the structure of an information processing apparatus.", "DESCRIPTION OF EMBODIMENTS In some cases where two dictionaries are used for a two-step encoding process to improve a compression ratio, the process time of the above conventional encoding process is long.", "In an aspect, it is an object of the present disclosure to improve the efficiency of the two-step encoding process on a text to be encoded.", "An embodiment will now be described in detail with reference to the drawings.", "The document information storage apparatus in PTL 1 encodes a document in a manner in which a code that is recorded in a postal code dictionary, a synonym dictionary, or another dictionary is assigned to one or more morphemes that are extracted from the document by using morphological analysis.", "FIG.", "1 illustrates an example of a morphological analysis dictionary used in morphological analysis.", "The morphological analysis dictionary in FIG.", "1 includes morphemes, parts of speech, and codes.", "The parts of speech represent the parts of speech of the morphemes.", "The codes represent the codes that are associated with the respective morphemes.", "FIG.", "2 illustrates an example of the postal code dictionary.", "The postal code dictionary in FIG.", "2 includes strings and codes.", "The strings each include morphemes representing an address to which a postal code is assigned.", "The codes represent the postal codes that are associated with the respective strings.", "FIG.", "3 illustrates an example of an encoding process with the morphological analysis dictionary in FIG.", "1 and the postal code dictionary in FIG.", "2.In the case where a text 301 to be encoded that is “Kanagawa Ken Kawasaki Shi Nakahara Ku Kamikodanaka in Live” is inputted, the text 301 to be encoded is first divided into morphemes by morphological analysis in a first pass.", "Each morpheme is converted into a code recorded in the morphological analysis dictionary, and an encoded text 302 is created.", "For example, “Kanagawa” that is the front morpheme in the text 301 to be encoded is converted into a hexadecimal code of 0×0105.Subsequently, encoding in a second pass is performed such that strings included in the encoded text 302 are compared with strings recorded in the postal code dictionary, and, in the case where the two strings match with each other, the strings are converted into the codes recorded in the postal code dictionary, and an encoded text 303 is created.", "According to this example, a string that is “Kanagawa Ken Kawasaki Shi Nakahara Ku Kamikodanaka” is converted into a code of 211-0053.Thus, the encoding in the second pass enables the result of encoding in the first pass to be further compressed because the morphemes representing the address are converted into the code.", "During the morphological analysis in the first pass, the morphological analysis dictionary is used to rapidly extract the morphemes, each of which is a minimum unit having a part of speech or a meaning.", "In the morphological analysis dictionary, a single code is assigned to a single morpheme.", "During the encoding in the second pass, the postal code dictionary is used to rapidly extract the strings representing the addresses.", "In the postal code dictionary, a single code is assigned to morphemes.", "The number of the morphemes, to which the code is assigned, differs between the two dictionaries as above.", "Accordingly, the encoding process is divided into two passes (two steps) of the first pass and the second pass.", "The two-pass encoding process takes a longer time and uses more calculation resources such as storage areas than a single-pass encoding process.", "FIG.", "4 illustrates an example of the morphological analysis dictionary to which the codes in the postal code dictionary are added to perform the same process as the two-pass encoding process in a single pass.", "In the morphological analysis dictionary in FIG.", "4, a string that is “Kanagawa Ken Kawasaki Shi Nakahara Ku Kamikodanaka” is recorded as the morpheme and associated with a code of 211-0053.However, the frequency of appearance of the string representing the address in the text is lower than the frequency of appearance of the morphemes representing place names included in the address.", "Accordingly, in the case where the morphemes are extracted in descending order of the statistical frequency of appearance during the morphological analysis, the string representing the address is much less likely to be extracted.", "For this reason, the encoded text 303 is not created from the text 301 to be encoded but the encoded text 302 is created even when the morphological analysis dictionary in FIG.", "4 is used.", "Consequently, the codes in the postal code dictionary are not used, and the compression ratio is not improved.", "During the encoding in the second pass, the postal code dictionary is searched for all of the morphemes of the result of the morphological analysis in an exact longest match manner to assign one of the codes in the postal code dictionary to the string representing the address.", "In this case, the postal code dictionary is referred the same number of times as the number of the morphemes for an exact longest match search, and this takes an enormous amount of time.", "This problem is not limited to the case where the postal code dictionary is used during the encoding in the second pass and arises also in the case where a dictionary that includes other strings other than the address is used.", "FIG.", "5 illustrates an example of a functional structure of an encoding apparatus according to the embodiment.", "An encoding apparatus 501 in FIG.", "5 includes a storage unit 511, an encoder 512, and an output unit 513.The storage unit 511 stores morpheme segment information 521 and string encoding information 522.The string encoding information 522 includes records.", "A recorded string and a code that is associated with the recorded string are recorded in each of the records.", "The morpheme segment information 521 includes records including respective morphemes and is used to segment the morphemes.", "The morpheme segment information 521 includes records including the respective front morphemes of the recorded strings of the records included in the string encoding information 522.The encoder 512 encodes a text to be encoded based on the morpheme segment information 521 and the string encoding information 522 and creates the encoded text.", "The output unit 513 outputs the encoded text.", "FIG.", "6 is a flowchart of an example of the encoding process that the encoding apparatus 501 in FIG.", "5 performs.", "The encoder 512 first analyzes the text to be encoded based on the morpheme segment information 521 (step 601).", "The encoder 512 searches the string encoding information for a string to be encoded that begins with one of the morphemes and that is included in the text to be encoded in response to identification of the one of the morphemes included in the record corresponding to one of the recorded strings among the records included in the morpheme segment information 521 (step 602).", "When the string to be encoded corresponds to the one of the recorded strings, the encoder 512 converts the string to be encoded into the code associated with the one of the recorded strings (step 603).", "The output unit 513 outputs the encoded text that includes the code after conversion (step 604).", "The encoding apparatus 501 can improve the efficiency of the two-step encoding process on the text to be encoded.", "FIG.", "7 illustrates a specific example of the encoding apparatus 501 in FIG.", "5.The encoder 512 in FIG.", "7 includes an analyzer 711, a determining unit 712, a converter 713, and a converter 714.The storage unit 511 stores a morphological analysis dictionary 721 and an address dictionary 722.The morphological analysis dictionary 721 and the address dictionary 722 correspond to the morpheme segment information 521 and the string encoding information 522 in FIG.", "5, respectively.", "FIG.", "8 illustrates an example of the morphological analysis dictionary 721.The morphological analysis dictionary 721 in FIG.", "8 includes morphemes, the parts of speech, codes, and flags.", "The parts of speech represent the parts of speech of the morphemes.", "The codes represent the codes that are associated with the respective morphemes.", "The flags are switch information representing whether the morphological analysis dictionary 721 is switched to the address dictionary 722.A flag value of a logic “1” represents that switching is performed.", "A flag value of a logic “0” represents that switching is not performed.", "According to this example, the flags corresponding to the front morphemes of the strings representing the prefectures are set to a logic “1”.For example, the part of speech of “Tokyo” is a noun, the code thereof is C1,and the flag thereof is a logic “1”.", "The part of speech of “Kawasaki” is a noun, the code thereof is C4, and the flag thereof is a logic “0”.", "FIG.", "9 illustrates an example of the address dictionary 722.The address dictionary 722 in FIG.", "9 includes strings and codes.", "The strings include the morphemes representing the address.", "The codes represent the codes that are associated with the respective strings.", "The codes may be the postal codes.", "The strings recorded in the address dictionary 722 are divided into blocks corresponding to the prefectures.", "A separator 901 represents the start position of a block for “Tokyo To”.", "A separator 902 represents the start position of a block for “Kanagawa Ken”.", "For example, the code of “Tokyo To Suginami Ku” is D1.The code of “Kanagawa Ken Kawasaki Shi Nakahara Ku” is D3.The analyzer 711 sets the switch information in the morphological analysis dictionary 721 and performs the morphological analysis on the text to be encoded based on the morphological analysis dictionary 721 to divide the text to be encoded into the morphemes.", "The determining unit 712 determines whether switching to the address dictionary 722 is performed for each morpheme created by the analyzer 711.The converter 713 converts the morphemes into the codes in the morphological analysis dictionary 721.The converter 714 converts the morphemes representing the address into the code in the address dictionary 722.FIG.", "10 is a flowchart of an example of a process of setting the switch information that the analyzer 711 performs.", "At the beginning of the process of setting the switch information, the flags of all of the morphemes in the morphological analysis dictionary 721 are set to a logic “0”.", "The analyzer 711 first performs the morphological analysis on the strings recorded in the address dictionary 722 based on the morphological analysis dictionary 721 to divide the strings into the morphemes (step 1001).", "The analyzer 711 selects the front morpheme of each string from the morphemes in the morphological analysis dictionary 721, changes the flag of the selected morpheme to a logic “1” to set the switch information (step 1002).", "The analyzer 711 may set a pointer representing the start position of each block in the address dictionary 722 as the switch information instead of the flag.", "In this case, a pointer representing the position of the separator 901 is set as the switch information for “Tokyo”, and a pointer representing the position of the separator 902 is set as the switch information for “Kanagawa”.", "FIG.", "11 is a flowchart of a specific example of the encoding process that the encoding apparatus 501 in FIG.", "7 performs.", "The analyzer 711 first performs the morphological analysis on the text to be encoded based on the morphological analysis dictionary 721 (step 1101) to extract the morphemes from the text to be encoded in order from the front (step 1102).", "Subsequently, the determining unit 712 determines whether the switch information for each morpheme extracted by the analyzer 711 in the morphological analysis dictionary 721 represents switching (step 1103).", "In the case where the switch information represents switching (step 1103, YES), the determining unit 712 searches the address dictionary 722 for a string to be encoded (morpheme group) that begins with the extracted morpheme and that is included in the text to be encoded (step 1104).", "At this time, the determining unit 712 searches the block indicated by the switch information for the string to be encoded and checks whether the string to be encoded is recorded in the block.", "The determining unit 712 can check whether the string to be encoded is recorded in the block in a manner in which the string to be encoded and each recorded string in the block are compared by using, for example, the exact longest match search.", "In the case where the string to be encoded is recorded in the address dictionary 722 (step 1104, YES), the converter 714 converts the string to be encoded into the code recorded in the address dictionary 722 (step 1105).", "The determining unit 712 checks whether the last morpheme in the text to be encoded is converted into the code (step 1106).", "In the case where the last morpheme is not converted into the code (step 1106, NO), the determining unit 712 repeatedly performs the processes at the step 1101 and later on the remaining text.", "In the case where the switch information does not represent switching (step 1103, NO), the converter 713 converts each morpheme extracted by the analyzer 711 into the code recorded in the morphological analysis dictionary 721 (step 1108).", "The encoder 512 performs the processes at the step 1106 and later.", "In the case where the string to be encoded is not recorded in the address dictionary 722 (step 1104, NO), the encoder 512 performs the processes at the step 1108 and later.", "In the case where the last morpheme is converted into the code (step 1106, YES), the output unit 513 outputs the encoded text that includes a row of the codes (step 1107).", "For example, a text to be encoded that is “Kanagawa Ken Kawasaki Shi Nakahara Ku In Live” is divided into “Kanagawa/Ken/Kawasaki/Shi/Nakahara/Ku/In/Live”.", "In the morphological analysis dictionary 721 in FIG.", "8, the flag “1” for “Kanagawa” represents switching, the block for “Kanagawa” in the address dictionary 722 in FIG.", "9 is searched for a string that begins with “Kanagawa”, and a recorded string that is “Kanagawa Ken Kawasaki Shi Nakahara Ku” is detected.", "Then, “Kanagawa/Ken/Kawasaki/Shi/Nakahara/Ku” is converted into the corresponding code D3, and the following “In” and “Live” are converted into the codes in the morphological analysis dictionary 721.In the case where the pointers are used in the morphological analysis dictionary 721 instead of the flags, the presence of a pointer corresponding to the morphemes results in determination that the switch information represents switching, and the absence of a pointer results in determination that the switch information does not represent switching.", "In this encoding process, the morphological analysis dictionary 721 is switched to the address dictionary 722 when each recorded string in the address dictionary 722 appears, and accordingly, the two-pass encoding process can be performed in a single pass.", "This enables the two-step encoding process to be speeded up and reduces calculation resources such as storage areas used for the encoding process.", "The recorded strings are divided into the blocks corresponding to the front morphemes and recorded in the address dictionary 722.This enables the subject of the search to be limited to the block corresponding to the front morpheme of the string to be encoded.", "Consequently, the blocks to be searched are limited, and the efficiency of the search process is improved.", "However, in the encoding process in FIG.", "11, whenever the switch information representing switching is detected, the string to be encoded included in the text to be encoded is compared with all of the recorded strings in the corresponding block in the address dictionary 722 by using the exact longest match search.", "For this reason, in the case where a large number of the recorded strings are included in the block, the search process at the step 1104 takes a long time.", "For example, a text to be encoded that is “Kanagawa To Go” is divided into “Kanagawa/To/Go”.", "In the morphological analysis dictionary 721 in FIG.", "8, the flag “1” for “Kanagawa” represents switching, and the address dictionary 722 is searched for a string that begins with “Kanagawa”.", "However, none of the recorded strings in the address dictionary 722 include “To”.", "For this reason, “Kanagawa” is converted into the code in the morphological analysis dictionary 721.In the case where one of the morphemes in the text to be encoded matches one of the front morphemes of the recorded strings in the address dictionary 722, but the string to be encoded that begins with the morpheme matches none of the recorded strings in the address dictionary 722 as described above, the search time wastes.", "In view of this, it is preferable that search for strings that are not recorded in the address dictionary 722 be omitted to further speed up the encoding process.", "FIG.", "12 illustrates a specific example of the encoding apparatus 501 in which search for strings that are not recorded in the address dictionary 722 is omitted.", "The structure of the encoding apparatus 501 in FIG.", "12 is the same as in the encoding apparatus 501 in FIG.", "7.The storage unit 511 stores morpheme position information 1211 in addition to the morphological analysis dictionary 721 and the address dictionary 722 and includes a working area 1212.The morpheme position information 1211 represents a recorded-string position of each morpheme included in the recorded strings in the address dictionary 722.The working area 1212 is used to determine whether a string is recorded in the address dictionary 722 by using the morpheme position information 1211 and may be a stack.", "FIG.", "13 illustrates an example of the address dictionary 722 in which the addresses of the prefectures are divided into the blocks.", "“Tokyo 1” and “Tokyo 2” represent the address block name of “Tokyo To”, and “Kanagawa 1” to “Kanagawa 5” represent the address block name of “Kanagawa Ken”.", "FIG.", "14 illustrates an example of the morpheme position information 1211 corresponding to the address dictionary 722 in FIG.", "13.The morpheme position information 1211 in FIG.", "14 includes morphemes, offsets, and filter information about the blocks of the prefectures.", "The morphemes represent the morphemes included in the recorded strings in the address dictionary 722.The offsets represent the recorded-string positions of the morphemes.", "For example, a combination of “Tokyo” and the offset “1” represents that the front morpheme of the recorded string is “Tokyo”, and a combination of “Tama” and the offset “3” represents that the third morpheme of the recorded string is “Tama”.", "The filter information is expressed by a bit string that includes bit values the number of which is equal to the number of the blocks.", "When one of the bit values for a block is a logic “1”, one of the recorded strings included in the block includes the morpheme at a position represented by the offset.", "When one of the bit values for a block is a logic “0”, all of the recorded strings included in the block do not include the morpheme at a position represented by the offset.", "For example, the bit value “1” for “Tokyo 1” corresponding to the combination of “Tokyo” and the offset “1” represents that the block for “Tokyo 1” includes a recorded string in which the front morpheme is “Tokyo”.", "The bit value “0” for “Kanagawa 1” corresponding to the combination of “Tokyo” and the offset “1” represents that the block for “Kanagawa 1” includes no recorded string in which the front morpheme is “Tokyo”.", "The bit value “1” for “Tokyo 1” corresponding to the combination of “Tama” and the offset “3” represents that the block for “Tokyo 1” includes a recorded string in which the third morpheme is “Tama”.", "The bit value “1” for “Kanagawa 3” corresponding to a combination of “Tama” and the offset “5” represents that the block for “Kanagawa 3” includes a recorded string in which the fifth morpheme is “Tama”.", "The use of the morpheme position information 1211 enables determination whether a string to be encoded is recorded in the address dictionary 722 without comparison between the string to be encoded and the recorded strings.", "In addition, in the case where the string to be encoded is recorded in the address dictionary 722, the block that includes the string to be encoded can be narrowed from the blocks corresponding to the same front morpheme.", "FIG.", "15 is a flowchart of a specific example of the encoding process that the encoding apparatus 501 in FIG.", "12 performs.", "Processes at step 1501 to step 1503 and step 1506 to step 1509 are the same as the processes at the step 1101 to the step 1103 and the step 1105 to the step 1108 in FIG.", "11.In the case where the switch information represents switching (step 1503, YES), the determining unit 712 narrows the blocks by using the morpheme position information 1211 to specify the block in the address dictionary 722 that is to be searched (step 1504).", "The determining unit 712 searches the block to be searched for the string to be encoded (step 1505).", "In the case where there is the block to be searched, the string to be encoded is recorded in the block to be searched (step 1505, YES), and accordingly, the encoder 512 performs the processes at the step 1506 and later.", "In the case where there is no block to be searched, the string to be encoded is not recorded in the address dictionary 722 (step 1505, NO), and accordingly, the encoder 512 performs the processes at the step 1509 and later.", "In this case, the address dictionary 722 is not searched for the string to be encoded.", "FIG.", "16 is a flowchart of an example of the process of narrowing the blocks that is performed at the step 1504 in FIG.", "15.The determining unit 712 first sets a variable X, which represents an offset, to 1 (step 1601) and sets a variable W, which represents a morpheme, to the morpheme extracted at the step 1502 (step 1602).", "Subsequently, the determining unit 712 searches the morpheme position information 1211 for the bit string of the filter information corresponding to X and W (step 1603) and checks whether there is the corresponding filter information (step 1604).", "In the case where there is the corresponding filter information (step 1604, YES), the determining unit 712 adds the filter information to the working area 1212 (step 1607) and increments X by 1 (step 1608).", "The determining unit 712 sets W to the next morpheme in the text to be encoded (step 1602) and repeatedly performs the processes at the step 1603 and later.", "In the case where there is no corresponding filter information (step 1604, NO), the determining unit 712 refers the filter information stored in the working area 1212 to specify the blocks in which the bit values for all of the morphemes are “1” (step 1605).", "The determining unit 712 checks the number of the specified blocks (step 1606).", "In the case where the number of the specified block is 1 (step 1606, YES), the determining unit 712 decides that this block is the block to be searched (step 1607).", "In the case where the number of the specified blocks is 2 or more (step 1606, NO), the determining unit 712 determines that the string to be encoded is not recorded in the address dictionary 722 and finishes the process.", "FIG.", "17 illustrates an example of the filter information stored in the working area 1212.For example, a text to be encoded that is “Kanagawa Ken Kawasaki Shi Tama Ku Noborito In Live” is divided into “Kanagawa/Ken/Kawasaki/Shi/Tama/Ku/Noborito/In/Live”.", "In the morphological analysis dictionary 721 in FIG.", "8, the flag “1” for “Kanagawa” at the front represents switching, and accordingly, the morpheme position information 1211 in FIG.", "14 is searched for the filter information corresponding to a combination of “Kanagawa” and the offset “1”.", "The bit string of the corresponding filter information includes the bit value “1” in the blocks for “Kanagawa 1” to “Kanagawa 5”.", "Accordingly, this bit string is added to the working area 1212.Similarly, the morpheme position information 1211 is searched for the filter information corresponding to the following combinations of the morphemes and the offsets, and the filter information is added to the working area 1212.“Ken” and “2” “Kawasaki” and “3” “Shi” and “4” “Tama” and “5” “Ku” and “6” “Noborito” and “7” However, the morpheme position information 1211 includes no filter information corresponding to the next combination of the morpheme “In” and the offset “8”, and accordingly, seven pieces of the filter information in FIG.", "17 are referred to specify the blocks in which the bit values for all of the morphemes are “1”.", "At this time, the determining unit 712 may specify the blocks in which the bit values for all of the morphemes are “1” by an operation of logical conjunction of the bit strings in the vertical direction that include seven bit values and that correspond to the respective blocks in FIG.", "17 and a bit string that includes seven bit values of “1”.", "In this case, the block for “Kanagawa 3” alone is the block in which the bit values for all of the morphemes are “1”, and accordingly, this block is determined to be the block to be searched.", "Subsequently, the block for “Kanagawa 3” in the address dictionary 722 in FIG.", "13 is searched for the string to be encoded that is “Kanagawa/Ken/Kawasaki/Shi/Tama/Ku/Noborito”.", "“Kanagawa/Ken/Kawasaki/Shi/Tama/Ku/Noborito” is converted into the corresponding code.", "The following “In” and “Live ” are converted into the codes in the morphological analysis dictionary 721.A text to be encoded that is “Tokyo To Tama Shi Ichinomiya In Live” is divided into “Tokyo/To/Tama/Shi/Ichinomiya/In/Live”.", "In the morphological analysis dictionary 721 in FIG.", "8, the flag “1” for “Tokyo” at the front represents switching, and accordingly, the morpheme position information 1211 in FIG.", "14 is searched for the filter information corresponding to the combination of “Tokyo” and the offset “1”.", "The bit string of the corresponding filter information includes the bit value “1” in the blocks of “Tokyo 1” and “Tokyo 2”, and accordingly, this bit string is added to the working area 1212.Similarly, the morpheme position information 1211 is searched for the filter information corresponding to the following combinations of the morphemes and the offsets, and the filter information is added to the working area 1212.“To” and “2” “Tama” and “3” “Shi” and “4” “Ichinomiya” and “5” However, the morpheme position information 1211 includes no filter information corresponding to the next combination of the morpheme “In” and the offset “6”, and accordingly, five pieces of the filter information are referred to specify the blocks in which the bit values for all of the morphemes are “1”.", "In the case where the block for “Tokyo 1” alone is the concerned block, and this block is determined to be the block to be searched.", "Subsequently, the block for “Tokyo 1” in the address dictionary 722 in FIG.", "13 is searched for the string to be encoded that is “Tokyo/To/Tama/Shi/Ichinomiya”.", "“Tokyo/To/Tama/Shi/Ichinomiya” is converted into the corresponding code.", "The following “In” and “Live” are converted into the codes in the morphological analysis dictionary 721.The text to be encoded that is “Kanagawa To Go” is divided into “Kanagawa/To/Go”.", "In the morphological analysis dictionary 721 in FIG.", "8, the flag “1” for “Kanagawa” at the front represents switching, the morpheme position information 1211 in FIG.", "14 is searched for the filter information corresponding to the combination of “Kanagawa” and the offset “1”.", "The bit string of the corresponding filter information includes the bit value “1” in the blocks for “Kanagawa 1” to “Kanagawa 5”, and accordingly, this bit string is added to the working area 1212.However, the morpheme position information 1211 includes no filter information corresponding to the next combination of the morpheme “To” and the offset “2”, and accordingly, the filter information for “Kanagawa” is referred to specify the blocks in which the bit values are “1”.", "In this case, the five blocks for “Kanagawa 1” to “Kanagawa 5” are concerned, and it is determined that no strings that begin with “Kanagawa” are recorded in the address dictionary 722.Accordingly, the address dictionary 722 is not searched for “Kanagawa”, and “Kanagawa” is converted into the code in the morphological analysis dictionary 721.In the case where one of the morphemes in the text to be encoded matches one of the front morphemes of the recorded strings in the address dictionary 722, but the string to be encoded that begins with the morpheme matches none of the recorded strings as described above, the encoding apparatus 501 in FIG.", "12 omits search of the address dictionary 722.This reduces an unnecessary search process and further speeds up the encoding process.", "A dictionary that includes other strings other than the addresses can be used for the encoding in the second pass instead of the address dictionary 722.For example, a proper noun dictionary that includes proper noun strings, a phrase dictionary that includes phrase strings, an idiomatic phrase dictionary that includes idiomatic phrase strings, an error message dictionary that includes error message strings, or another dictionary may be used instead of the address dictionary 722.FIG.", "18 illustrates an example of the error message dictionary.", "The error message dictionary in FIG.", "18 includes error messages and codes.", "The error messages include morphemes representing the error messages.", "The codes represent the codes that are associated with the respective error messages.", "For example, the code of “File Deliver Start” is 0×e001, and the code of “Memory Allocate Error” is 0×e002.The error messages may be divided into blocks corresponding to the front morphemes and recorded in the error message dictionary as in the case of the address dictionary 722 in FIG.", "9.The structures of the encoding apparatus 501 in FIG.", "5, FIG.", "7, and FIG.", "12 are illustrated by way of example.", "Some components may be omitted or changed in accordance with the usage and conditions of the encoding apparatus 501.The flow charts in FIG.", "6, FIG.", "10, FIG.", "11, FIG.", "15, and FIG.", "16 are illustrated by way of example.", "Some processes may be omitted or changed in accordance with the structure and conditions of the encoding apparatus 501.For example, in the case where the switch information is set in the morphological analysis dictionary 721 in advance, the process of setting the switch information in FIG.", "10 can be omitted.", "The morphological analysis dictionary in FIG.", "8, the address dictionaries in FIG.", "9 and FIG.", "13, the morpheme position information in FIG.", "14, and the error message dictionary in FIG.", "18 are illustrated by way of example.", "Another morphological analysis dictionary, another address dictionary, another morpheme position information, or another error message dictionary may be used in accordance with the structure and conditions of the encoding apparatus 501.For example, in the encoding apparatus 501 in FIG.", "12, the address dictionary in FIG.", "9 may be used instead of the address dictionary in FIG.", "13.The encoding apparatus 501 in FIG.", "5, FIG.", "7, and FIG.", "12 can be made by using, for example, an information-processing apparatus (computer) illustrated in FIG.", "19.The information-processing apparatus in FIG.", "19 includes a central processing unit (CPU) 1901, a memory 1902, an input device 1903, an output device 1904, an auxiliary storage device 1905, a medium drive 1906, and a network connection device 1907.These components are connected to each other with a bus 1908.The memory 1902 is, for example, a semiconductor memory such as a read only memory (ROM), a random access memory (RAM), or a flash memory.", "The memory 1902 stores a program for the encoding process and data.", "The memory 1902 can be used as the storage unit 511 in FIG.", "5, FIG.", "7, and FIG.", "12.The CPU 1901 (processor) executes the program by using, for example, the memory 1902 and thereby functions as the encoder 512, the analyzer 711, the determining unit 712, the converter 713, and the converter 714 in FIG.", "5, FIG.", "7, and FIG.", "12 to perform the encoding process.", "The input device 1903 is, for example, a keyboard or a pointing device and is used to input instructions and information from a user or an operator.", "The output device 1904 is, for example, a display device, a printer, or a speaker and is used to output an enquiry or a processing result to a user or an operator.", "The auxiliary storage device 1905 is, for example, a magnetic disk device, an optical disk device, a magneto-optical disk device, or a tape device.", "The auxiliary storage device 1905 may be a hard disk drive or a flash memory.", "The information-processing apparatus stores the program and the data in the auxiliary storage device 1905 and can use the program and the data that are loaded into the memory 1902.The auxiliary storage device 1905 can be used as the storage unit 511 in FIG.", "5, FIG.", "7, and FIG.", "12.The medium drive 1906 drives a portable recording medium 1909 and accesses recorded contents thereof.", "Examples of the portable recording medium 1909 include a memory device, a flexible disk, an optical disk, and a magneto-optical disk.", "The portable recording medium 1909 may be a compact disk read only memory (CD-ROM), a digital versatile disk (DVD), or a universal serial bus (USB) memory.", "A user or an operator stores the program and the data into the portable recording medium 1909 and can use the program and the data that are loaded into the memory 1902.Such a readable recording medium of the computer that stores the program and the data is a physical (non-volatile) recording medium such as the memory 1902, the auxiliary storage device 1905, and the portable recording medium 1909.The network connection device 1907 is a communication interface that is connected to a communication network such as a local area network (LAN) or the Internet and that performs data conversion during communication.", "The information-processing apparatus uses the network connection device 1907 to receive the program and the data from an external device and can use the program and the data that are loaded into the memory 1902.The network connection device 1907 can be used as the output unit 513 in FIG.", "5, FIG.", "7, and FIG.", "12.The information-processing apparatus does not necessarily include all of the components in FIG.", "19.Some components can be omitted in accordance with the usage and the conditions.", "For example, in the case where no instructions or information is inputted from a user or an operator, the input device 1903 may be omitted.", "In the case where neither enquiry nor processing result is outputted to a user or an operator, the output device 1904 may be omitted.", "In the case where the information-processing apparatus does not access the portable recording medium 1909 or the communication network, the medium drive 1906 or the network connection device 1907 may be omitted.", "The embodiment of the disclosure and the advantages thereof are described in detail.", "A person skilled in the art can made various modifications, additions, and omissions without departing from the scope of the present disclosure that is clearly recited in claims.", "All examples and conditional language recited herein are intended for pedagogical purposes to aid the reader in understanding the invention and the concepts contributed by the inventor to furthering the art, and are to be construed as being without limitation to such specifically recited examples and conditions, nor does the organization of such examples in the specification relate to a showing of the superiority and inferiority of the invention.", "Although the embodiment of the present invention has been described in detail, it should be understood that the various changes, substitutions, and alterations could be made hereto without departing from the spirit and scope of the invention." ] ]
Patent_15875802
[ [ "SYSTEM AND METHOD FOR THE DISPLAY OF RESTRICTED INFORMATION ON PRIVATE DISPLAYS", "The present invention is directed to a system and method for restricting data, or portions thereof, to specific display devices when accessed by a user.", "Furthermore, the system and method of the invention are directed, in part, to evaluating in real time, the access level of a device and restricting the availability of sensitive information on the device according to the access level as determined by device location and hardware configuration." ], [ "1.A computer-implemented method for preventing inadvertent violations of confidentiality, the method comprising: by one or more processors executing program instructions: determining, for a dataset including patient medical information, an access restriction level of the dataset; receiving, from a display device, a request for the dataset; accessing data indicating that a physical size of the display device is larger than a threshold physical size; determining, based at least in part on the data indicating that the physical size of the display device is larger than a threshold physical size, an access restriction level of the display device; comparing the access restriction level of the display device and the access restriction level of the dataset; and in response to the comparison, denying the request for the dataset that includes patient medical information.", "2.The computer-implemented method of claim 1, wherein the request is associated with access credentials from a user, the method further comprising: by one or more processors executing program instructions: receiving, from a second display device that is smaller than the threshold physical size, a second request for the dataset, wherein the second request is associated with the access credentials; accessing second data indicative of a physical size of the second display device; determining, based at least in part on the physical size of the second display device, a second access restriction level of the second display device; comparing the second access restriction level of the second display device and the access restriction level of the dataset; and in response to the comparison of the second access restriction level of the second display device and the access restriction level of the dataset, communicating the dataset to the second display device.", "3.The computer-implemented method of claim 1, wherein the physical size is an area or a length associated with a dimension of the display device.", "4.The computer-implemented method of claim 1 further comprising: by one or more processors executing program instructions: monitoring a hardware configuration of the display device; and dynamically updating the access restriction level of the display device in response to a change in the hardware configuration that causes a change in the physical size of the display device.", "5.The computer-implemented method of claim 1, further comprising: by one or more processors executing program instructions: authenticating a user of the display device; and updating the access restriction level of the display device in response to authenticating the user.", "6.The computer-implemented method of claim 1, wherein the physical size is an area or a length associated with a dimension of a display screen of the display device.", "7.The computer-implemented method of claim 1, wherein determining the access restriction level of the display device further comprises: by one or more processors executing program instructions: determining the access restriction level of the display device based at least in part on a location of the display device.", "8.The computer-implemented method of claim 1, wherein the computer-implemented method further comprises: by one or more processors executing program instructions: receiving, from the display device, a request for an audio feature associated with the dataset; determining an audio configuration of the display device; and in response to determining that the audio configuration is not configured to output audio through a private audio device, denying the request for the audio feature.", "9.The computer-implemented method of claim 1, wherein the computer-implemented method further comprises: by one or more processors executing program instructions: receiving, from the display device, a request for an audio feature associated with the dataset; determining an audio configuration of the display device; and in response to determining that the audio configuration is configured to output audio through a private audio device, communicating the audio feature to the display device.", "10.The computer-implemented method of claim 1, further comprising: by one or more processors executing program instructions: receiving input data from the display device when the access restriction level of the display device satisfies a threshold; and updating the dataset to include the input data.", "11.A system for preventing inadvertent disclosure of private information, the system comprising: a non-transitory computer readable storage medium having program instructions embodied therewith; and one or more processors configured to execute the program instructions to cause the one or more processors to: receive, from a display device, a request for a dataset of patient medical information, wherein the dataset includes a first visual feature and a second visual feature; determine a first access restriction level of the first visual feature and a second access restriction level of the second visual feature; access data indicative of a physical size characteristic of the display device; determine, based at least in part on the physical size characteristic of the display device, an access restriction level of the display device; compare the access restriction level of the display device and the first access restriction level; compare the access restriction level of the display device and the second access restriction level; in response to the comparison of the access restriction level of the display device to the first access restriction level, communicate the first visual feature of the dataset to the display device; and in response to the comparison of the access restriction level of the display device to the second access restriction level, restrict the display device from accessing the second visual feature.", "12.The system of claim 11, wherein the first visual feature and the second visual feature show different categories of medical information.", "13.The system of claim 11, wherein the one or more processors are configured to execute the program instructions to further cause the one or more processors to: access data indicative of a physical size characteristic of a second display device; determine, based at least in part on the physical size characteristic of the second display device, an access restriction level of the second display device; compare the access restriction level of the second display device and the access restriction level of the dataset; and in response to the comparison, communicate the first visual feature and the second visual feature of the dataset to the second display device.", "14.The system of claim 11, wherein the physical size characteristic includes at least one of: a display screen length of the display device; a display screen area of the display device; or a category of device type, wherein the device type is associated with a range of display sizes.", "15.The system of claim 11, wherein determining the access restriction level of the display device further comprises: determining the access restriction level of the display device based at least in part on a location of the display device.", "16.The system of claim 11, wherein the one or more processors are configured to execute the program instructions to further cause the one or more processors to: in response to the comparison of the access restriction level of the display device to the second access restriction level, cause the display device to show an indicator that the access of the second visual feature is restricted.", "17.A computer program product comprising a non-transitory computer readable storage medium having program instructions embodied therewith, the program instructions executable by one or more processors to cause the one or more processors to: determine, based at least in part on patient medical information in a dataset, an access restriction level of the dataset; receive, from a display device, a request for the dataset; access data indicative of a physical size characteristic of the display device; determine, based at least in part on the physical size characteristic of the display device, an access restriction level of the display device; compare the access restriction level of the display device and the access restriction level of the dataset; and in response to the comparison, communicate the dataset to the display device.", "18.The computer program product of claim 17, wherein the program instructions are executable by one or more processors to further cause the one or more processors to: receive a request for an audio feature associated with the dataset; determine an audio configuration of the display device; and in response to determining that the audio configuration is configured to output audio through a private audio device, communicate the audio feature to the display device.", "19.The computer program product of claim 17, wherein the program instructions are executable by one or more processors to further cause the one or more processors to: receive a request for an audio feature associated with the dataset; determine an audio configuration of the display device; and in response to determining that the audio configuration is not configured to output audio through a private audio device, restricting access of the audio feature from the display device.", "20.The computer program product of claim 17, wherein data indicative of the physical size characteristic includes at least one of: a length; an area; and a category of device type, wherein the device type is associated with a range of display sizes." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>In many environments where people use computers, their computer display may be visible to other users.", "This can be a significant problem when the displayed information is private, restricted, or sensitive.", "For example, doctors and other healthcare workers routinely display patients' private healthcare information on computer monitors in hospitals, clinics, and doctors' offices.", "With the migration of medical records to digital form, including the use of Electronic Medical Records systems (EMR), this practice is only set to increase.", "A doctor using a computer to view his patient's healthcare information at a nursing station may be authorized to view that information.", "However, other doctors and healthcare workers at the nursing station, unassociated with the patient, may not be authorized to view that same private information, or some portions of the patent's information.", "Laws such as HIPAA require that the privacy of healthcare information be protected, and significant penalties can be incurred for failing to do so.", "Therefore, a problem arises of restricting data to only authorized users, when such data is only obtainable or useful in a public, or semi-private setting.", "This problem extends beyond the medical field to other situations in which private, restricted, or sensitive information may be viewed on computers.", "For example, financial, or other confidential information might be displayed at inopportune times and locations in an office, showroom or factory." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>In one arrangement of a system and method described herein, a computer system is configured to selectively display, or to transmit for display, data depending on the accessibility level of the requesting device.", "In one particular configuration, the present invention is directed to a computer implemented method for selectively displaying information stored in a server or database on a remote display device, the method comprising, using a remote display device to request, using a data request module, a dataset stored in the memory of a remote computer, the dataset having a specified access restriction level; transmitting, to a computer controlling access to the dataset having a specified access restriction level, at least data relating to the display characteristics of the remote display device using a device configuration module which comprises code executing in a processor.", "The method also includes identifying the access restriction level of the display device using a restriction level module which comprises code executing in the processor; and comparing, with a comparison module configured as code executing in the processor, the access restriction level of the display device and the access restriction level of the requested dataset.", "Furthermore, once the access level of the requesting device has been compared to the access level of the requested data, the processor is configured to transmit the requested dataset to the display device when (i.e., upon determination that) the access restriction level of the display device is above a pre-set threshold using a transmission module.", "The display device is then caused to display the dataset with a display module configured as code executing in a processor.", "In a further, optional arrangement, in addition to conditioning the transmission of the requested dataset upon the access restriction level being determined as being above a pre-set threshold, the transmission can be further conditioned to be based upon a determination of the device type and or ID of the device to which the dataset is to be transmitted for an assessment as to whether to complete that task, and arranged to not transmit the requested dataset in the event that the transmission is being directed to hardware fails the assessment.", "As such, requested datasets can be controllably distributed in response to requests only to approved or known displays, mobile devices, and so on.", "The system and method, in more particular arrangements in accordance with certain implementations of the invention, can also include the use of a location awareness module, configured as code executed on a processor, which cause the processor to evaluate the access condition level of the features of the data object and determines based on location, to display or restrict the information.", "The system and method described further includes providing a user with options for displaying sensitive or private information across a combination of conventional computer displays, specialized computer displays, and mobile devices that have screens that are easily viewed by the user but not by others.", "This combination allows a user to efficiently interact with a computer with a standard computer monitor, but only view restricted information using devices that have “private displays,” i.e.", "displays that are easily viewed by the user but not others nearby.", "These and other aspects, features, and arrangements of the invention can be appreciated from the accompanying drawing figures and discussion of certain embodiments of the invention." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "15/356,082, filed Nov. 18, 2016, which is a continuation of U.S. patent application Ser.", "No.", "14/509,721, filed Oct. 8, 2014, which claims the benefit of U.S.", "Provisional Patent Application No.", "61/888,757, filed Oct. 9, 2013 and U.S.", "Provisional Patent Application No.", "61/888,372, filed Oct. 8, 2013.The entire disclosure of each of the above items is hereby made part of this specification as if set forth fully herein and incorporated by reference for all purposes, for all that it contains.", "Any and all applications for which a foreign or domestic priority claim is identified in the Application Data Sheet as filed with the present application are hereby incorporated by reference under 37 CFR 1.57.FIELD OF THE INVENTION The present invention is directed to a system and method for restricting data, or portions thereof, to specific display devices when accessed by a user.", "Furthermore, the system and method of the invention are directed, in part, to evaluating in real time, the access level of a device and restricting the availability of sensitive information on the device according to the access level.", "BACKGROUND OF THE INVENTION In many environments where people use computers, their computer display may be visible to other users.", "This can be a significant problem when the displayed information is private, restricted, or sensitive.", "For example, doctors and other healthcare workers routinely display patients' private healthcare information on computer monitors in hospitals, clinics, and doctors' offices.", "With the migration of medical records to digital form, including the use of Electronic Medical Records systems (EMR), this practice is only set to increase.", "A doctor using a computer to view his patient's healthcare information at a nursing station may be authorized to view that information.", "However, other doctors and healthcare workers at the nursing station, unassociated with the patient, may not be authorized to view that same private information, or some portions of the patent's information.", "Laws such as HIPAA require that the privacy of healthcare information be protected, and significant penalties can be incurred for failing to do so.", "Therefore, a problem arises of restricting data to only authorized users, when such data is only obtainable or useful in a public, or semi-private setting.", "This problem extends beyond the medical field to other situations in which private, restricted, or sensitive information may be viewed on computers.", "For example, financial, or other confidential information might be displayed at inopportune times and locations in an office, showroom or factory.", "SUMMARY OF THE INVENTION In one arrangement of a system and method described herein, a computer system is configured to selectively display, or to transmit for display, data depending on the accessibility level of the requesting device.", "In one particular configuration, the present invention is directed to a computer implemented method for selectively displaying information stored in a server or database on a remote display device, the method comprising, using a remote display device to request, using a data request module, a dataset stored in the memory of a remote computer, the dataset having a specified access restriction level; transmitting, to a computer controlling access to the dataset having a specified access restriction level, at least data relating to the display characteristics of the remote display device using a device configuration module which comprises code executing in a processor.", "The method also includes identifying the access restriction level of the display device using a restriction level module which comprises code executing in the processor; and comparing, with a comparison module configured as code executing in the processor, the access restriction level of the display device and the access restriction level of the requested dataset.", "Furthermore, once the access level of the requesting device has been compared to the access level of the requested data, the processor is configured to transmit the requested dataset to the display device when (i.e., upon determination that) the access restriction level of the display device is above a pre-set threshold using a transmission module.", "The display device is then caused to display the dataset with a display module configured as code executing in a processor.", "In a further, optional arrangement, in addition to conditioning the transmission of the requested dataset upon the access restriction level being determined as being above a pre-set threshold, the transmission can be further conditioned to be based upon a determination of the device type and or ID of the device to which the dataset is to be transmitted for an assessment as to whether to complete that task, and arranged to not transmit the requested dataset in the event that the transmission is being directed to hardware fails the assessment.", "As such, requested datasets can be controllably distributed in response to requests only to approved or known displays, mobile devices, and so on.", "The system and method, in more particular arrangements in accordance with certain implementations of the invention, can also include the use of a location awareness module, configured as code executed on a processor, which cause the processor to evaluate the access condition level of the features of the data object and determines based on location, to display or restrict the information.", "The system and method described further includes providing a user with options for displaying sensitive or private information across a combination of conventional computer displays, specialized computer displays, and mobile devices that have screens that are easily viewed by the user but not by others.", "This combination allows a user to efficiently interact with a computer with a standard computer monitor, but only view restricted information using devices that have “private displays,” i.e.", "displays that are easily viewed by the user but not others nearby.", "These and other aspects, features, and arrangements of the invention can be appreciated from the accompanying drawing figures and discussion of certain embodiments of the invention.", "BRIEF DESCRIPTIONS OF THE DRAWINGS FIGS.", "1A-1C are illustrative diagrams of an information restriction system in accordance with various embodiments.", "FIG.", "2 is a diagram of a mobile device and a private and non-private (public) display as can be used in the information restriction system such as shown in FIGS.", "1A-1C.", "FIG.", "3 is an example of private and non-private displays according to one embodiment of the described system.", "FIG.", "4 is an example of a display device configured in accordance with an embodiment of the described system.", "FIG.", "5 is an alternative example of a display device configured in accordance with an embodiment of the described system.", "FIGS.", "6A-6D provides examples of the steps taken by an information restriction system in accordance with embodiments described herein.", "FIG.", "7 provides a block diagram of an example system in accordance with an embodiment of the present invention.", "FIG.", "8 provides a flow detailing the steps of an embodiment of the method described herein.", "FIG.", "9 provides examples of displays with various restriction levels and examples of device privacy levels and information privacy rules according to an embodiment of the present invention.", "FIG.", "10 is an illustrated diagram of the elements of the system of an embodiment of the present invention.", "DETAILED DESCRIPTION OF CERTAIN EMBODIMENTS OF THE INVENTION By way of overview and introduction, the system and methods describe a computer system configured to restrict access and distribute private information to approved access devices depending on a privacy level associated with that device.", "As used herein, and for the purposes of discussion, information that a user deems to be particularly private, restricted or sensitive, will be termed “restricted” or “private.” For example, private or restricted information is data or information which a user prefers, or is obligated, legally or contractually, to keep confidential or not to disclose to a third party without consent.", "As will be appreciated from context, the verb “restricting” refers to the actions taken in response to instructions executing in a processor to control information flow such as to a particular display.", "Furthermore, the “privacy level” of a device is determined according to a combination of factors, including but not limited to, the type of private information the user seeks to display, the location of the user, and the nature of the display device that the user is attempting the access and display the information.", "For ease of explanation, the following examples refer to medical records.", "However, those possessing a level of ordinary skill in the art will appreciate that additional private material, such as government documents, financial records, personal communications, and commercial or journalistic materials are envisioned and contemplated by the described system and method.", "Likewise, as used herein, “data” can be any information conveyable to a user through a device.", "For example data can include audio data, audiovisual data, images, 3D renderings or simulations, as well as web links or system portals to remotely accessible software or datasets.", "Purely for illustration purposes, and as a non-limiting example, the data could incorporate multiple types of data, such as both an audio file and a 3D image associated with it.", "Furthermore, the terms “viewer” and “user” are used interchangeably to describe an individual (or group of individuals) that interfaces with a computing device of the present invention.", "As another non-limited example, users or viewers can include medical professionals such as radiologists and other doctors, as well as hospital staff, or other individuals involved in acquisition, interpretation, analysis, storage, management, or other tasks related to medical images.", "In other embodiments, users can include any individuals or groups of individuals that generate, transmit, view, and/or otherwise work with images of any type.", "Turning to FIG.", "1A, an example of the computer system configured to implement the selective display of information on remote devices is provided.", "In the illustrated configuration, the patient data is obtained from a local network 110 of hospital subsystems 120-144, and computer devices 150a-b, each configured to input, output, store or process information concerning a patient.", "In one arrangement, one or more medical scanners, such as MRI scanners 120, are connected to the network 110.As a non-limiting example, the MRI scanners 120 can be used to acquire MRI images from patients, and can share the acquired images with other devices on the network 110 by either directly storing MRI scanner images in a local storage, or uploading the images of a particular patient to that patient's data storage location in a patient database.", "One or more CT scanners 122 can also be coupled to the network 110.The CT scanners 122 can also be used to acquire images and, like the MRI scanner 120, can then store those images and/or share those images with other devices via the network 110.Any other scanner or device capable of inputting or generating information that can be presented to the user as images, graphics, text, or sound, including ultrasound, angiography, nuclear medicine, radiography, endoscopy, pathology, dermatology, etc.", "can be connected to network 110.In another arrangement, a Picture Archiving and Communications System (PACS) 136 and/or PACS workstation 138 can be connected to the network 110.The PACS 136 is typically used for the storage, retrieval, distribution and presentation of images (such as those created and/or generated by the MRI scanner 120 and CT Scanner 122).", "The medical images can be stored in an independent format, an open source format, or a proprietary format.", "A common format for image storage in the PACS system is the Digital Imaging and Communications in Medicine (DICOM) format.", "The stored images may be transmitted digitally to a network accessible storage location via the PACS system, thus often reducing or eliminating the need for manually creating, filing, or transporting film jackets.", "A Radiology Information System (RIS) 140 can also be connected to the network 110, as shown in the illustrated embodiment of FIG.", "1A.", "The radiology information system 140 is typically a computerized data storage system that is used by radiology departments to store, manipulate and distribute patient radiological information such as radiology reports.", "As shown, an Electronic Medical Record (EMR) system 142 and EMR workstation 137 can be connected to the network 110.The EMR system 142, when provided, is configured to store and make accessible to a plurality of medical practitioners computerized medical records.", "There can also be attached to the network 110 a Laboratory Information Systems 144.Laboratory Information System 144 is a system which typically is configured to store information created or generated by clinical laboratories Likewise, a Digital Pathology System 146 can be also attached to the network and used to digitally manage and store information related to medical pathology.", "Further components can be available on the network 110, such as a Computer Aided Diagnosis System (CAD) 148 and 3D Processing System 149, which can be used to analyze images and create new views of the information, e.g., 3D volumetric display, Multiplanar Reconstruction (MPR) and Maximum Intensity Projection reconstruction (MIP) respectively.", "In other embodiments, other computing devices that store, provide, acquire, and/or otherwise manipulate medical data can also be coupled to the network 110 and can be in communication with one or more of the devices illustrated in FIG.", "1A.", "For example, each of the devices described are configured to update a patient record stored in a database, such that all of the data for a given patient is accessible from a parent computing device having sufficient access privileges.", "As shown in more detail in FIG.", "1B, general and mobile computing devices, 150a and 150b, are connected to the network 110 and are used to access all or portions of the patient data.", "In one arrangement, the patient data is obtained from a file system located in the server 170.Alternatively, the computing devices are configured to access discrete pieces of information or data pertaining to a particular patient or group of patients from storage or memory locations connected directly to each of the subsystems.", "In FIGS.", "1a and 1b the computing device 150a is labeled as a mobile device and computing device 150b is labeled as a parent computer.", "However, alternative computing devices are possible and understood.", "In one particular configuration of the network 110, the computing devices 150a and 150b can be a computer having software or hardware modules 151-157.In other embodiments, the modules can reside on another computing device, such as a web server or other server, and the user directly interacts with a second computing device that is connected to the web server via a computer network.", "In one embodiment, the modules include some or all of the software utilized by the inventions described herein.", "In one non-limiting example, the computing device 150a or 150b is selected from one of a variety of computing platforms such as a server, a desktop computer, a workstation, a laptop computer, a mobile computer, a Smartphone, a tablet computer, a wearable computer such as a smart watch, a wearable computer that may be interfaced to a head mounted or heads-up display, a cell phone, a personal digital assistant, a gaming system, a kiosk, an audio player, any other device that utilizes a graphical user interface, including office equipment, automobiles, airplane cockpits, household appliances, automated teller machines, self-service checkouts at stores, information and other kiosks, ticketing kiosks, vending machines, industrial equipment, and/or a television, as a non-exhaustive set of examples.", "The computing devices 150a and 150b are configurable to interface with various networked devices in order to communicate, receive or update medical information that are stored centrally in a database or distributed among the various systems present in the network.", "It should be noted and appreciated that the computing devices 150a and 150b are general computing devices and are configurable to display any type of data commonly displayed on general computing systems.", "Depending on the embodiment, the other devices illustrated in FIG.", "1a (besides the computing device 150) can include some or all of the same components discussed above with reference to the computing device 150.As shown in FIGS.", "1A-1C, also connected to the network 110 are one or more databases 160, 162, 164, 166 that support functionality of the various computing devices connected to the network 110 and which provide a repository for instructions and algorithms related to the functioning of various embodiments of the present inventions described herein.", "The databases are commonly used and understood data structures or tables that are accessible remotely, either through a server or other type of direct or remote computer configured appropriately to enable access and retention of data.", "In one arrangement, the data stored in the databases are accessible to servers 170, desktop computers 150a, mobile computers 150b, or other computing devices directly connected to the network, or given access to the network 110 through a network link or portal.", "The databases of the system illustrated includes a User Preferences Database 160 configured as a data storage location which contains tables and indexes that allow the computer to store and obtain data regarding a particular users/site preferences.", "Site preferences allow a user to set privacy levels manually depending on the display device.", "For example, a user can store a global access level in the user preference database that sets the privacy level for all medical test results regardless of hardware configuration.", "Also attached to the network is an Authentication Database 162, configured as a data storage location accessible by a processor suitably configured by code executing therein to provide user authentication services for a user.", "Such services include signing into, or accessing, the network from a computer such as a mobile device.", "Furthermore, the Authentication Database 162 contains data used to configure a processor to authenticate a new user, or an already authenticated mobile user with a different display device.", "The Authentication Database 162 also contains code to configure a processor to access rules governing user privileges in terms of modifying the privacy level of a device depending on the privileges associated with that user.", "As an example, executives or high-ranking officials have user privileges that allow for the modification of the device privacy level so as to permit the display of private information on devices not independently possessing the required privacy level.", "As further provided in FIGS.", "1A-B, the system includes a Device Interaction Database 164.The Device Interaction Database 164 provides storage and indexing of data related to the input and output functionality or capabilities of a display of a given type or particular device identification (e.g., a MAC address in the case of a device with an integrated display).", "Furthermore, the Device Interaction Database 164, in one arrangement, also stores and provides the system with the capabilities of parent computers in terms of input and output functions.", "Additionally, the Device Interaction Database 164 stores and provides access to data relating to how different actions and inputs (such as inputs from a mobile device or remote computer) are to be handled by the system, e.g., multitouch, accelerometer, microphone, etc., are communicated to and presented as user input to parent computers.", "In one arrangement, this data is presented as algorithms for evaluating and determining the access level of a device based on how the device handles inputs and outputs of the device under evaluation.", "Additional rules or algorithms are stored in the database regarding different outputs from parent computers, such as images, user interfaces, sound, etc., are transmitted to and presented on mobile devices.", "As shown in further detail in FIG.", "1C, an Information Restriction Database 166 is also connected to the network 110 and is configured to provide and store data relating to characteristics of the data stored and accessible by the network.", "For example, the Information Restriction Database 166 provides data detailing the privacy levels or restrictions present for a given portion of patient data.", "For instance, the Information Restriction Database 166 provides data in the form of rules or algorithms that instructs the remote display device, and/or a computer having access to data requests by a remote display device, to restrict access to all, or a portion of the information depending on at least the hardware configurations and/or the location for a given portion of the data set.", "In this regard, the rules or algorithms maintained in the database 166 can configure a processor to make a determination on the basis of the hardware configuration of the requesting device, an identification of the requesting device, or both, as to whether to provide the requested dataset or not.", "For instance, the requested dataset can be restricted, and therefore not provided, in the event that the hardware fails this determination.", "In one example, the Information Restriction Database 166 is configured to store rules to evaluate medical exams to determine the restriction status Likewise, other rules for data, such as patient's information, site preferences regarding restricted information and user preferences regarding restricted information are stored in the Information Restriction Database 166.Information Restriction Database 166 contains information that configures a processor to assign a privacy level for data stored or accessible on the network.", "For example, data generated by each of the devices on the network, 120-144, are assigned a privacy level according to specific data handling rules stored in the Information Restriction Database 166.The Information Restriction Database 166 also includes rules accessible by a processor in order to evaluate data sets, objects, files, folders and other collections of data and determine, based on the nature of the data, the proper restriction level for the information.", "For example, the system described is configured to evaluate the contents of the medical record and determine, based on the content, if the information should be restricted to a private display.", "These evaluations can be made in response to a data request by a user of the system.", "Alternatively, the records evaluated by the present system are preconfigured or coded with a general privacy level, or specific privacy level for individual data elements within the record.", "In one arrangement, the Information Restriction Database 166 cooperates with a privacy classification module to configure a processor to assign a privacy level for data based on the type of information (audio, visual, etc.)", "as well as the information content.", "As an example, the privacy level classification module configures the processor to assign a given privacy level to the result of a lung biopsy according to the outcome of the test.", "In one arrangement, the privacy classification module cooperates with the Information Restriction Database 166 by instructing a processor to classify or assign data at a given privacy level based on pre-set rules.", "In one instance, all the data is assigned a specific level of privacy at different organizational levels.", "For example, the data is assigned a privacy level according to a hospital or department preference, an individual doctor preference (user preference), or a user group (group preference).", "In one further configuration the privacy module cooperates with the Information Restriction Database 166 to assign a set privacy level to all the data associated with an individual.", "Alternatively, the privacy level assignment module configures the processor to assign privacy levels for data depending on the accessing or requesting device.", "For example, the processor is configured, through an instruction set, to generate general or specific categories and privacy levels for restricted devices, such as Smartphones 210, Smartwatches 330, tablet computers, and Wearable computers with heads-up displays (HUD), such as the heads-up display 402, and virtual reality headsets.", "The system described is also configurable to evaluate the privacy level of desktop computers with heads-up displays or other small displays, where the restricted data may only be displayed on the private displays connected to these devices.", "The system described is also configurable to evaluate the privacy level of desktop computers with standard monitors, where the restricted data may be displayed on systems that are located in a pre-defined or user identified secure location, for example in an office where the display is only viewable by the user.", "In one arrangement, the system is configurable to only allow the display of certain types of restricted information on certain types of private displays.", "For example, positive results of an HIV test might only be allowed to be displayed on mobile devices with screens smaller than 5″.", "In a further embodiment, information restriction rules contained within the Information Restriction Database 166 apply to information that a user can input.", "For example, prescriptions for medication might be classified as restricted information so that doctors would only be able to enter prescriptions for medications on devices with private displays.", "Without loss of generality, any of the embodiments described herein can include restrictions that comprise controls which are responsive to the device connected at the end of the network path which has the display of the requesting device.", "The hardware connected on this path can be analyzed by code executing in a processor in order to determine the device type and/or ID of the device to which the dataset is to be transmitted.", "Whether transmission of the dataset is to be restricted can be assessed in view of this determination.", "If the device type or device ID is known to or approved by the system (e.g., based on look-ups to stored reference or authorization data), then that transmission can proceed.", "On the other hand, if the device type or device ID are not known or approved by the system, the system can be configured to not transmit the requested dataset in view of the hardware connected in that network path.", "The system described herein can be implemented as a series of modules comprising code and executed in a processor which responds to user events, hardware connections, or both.", "In part, the system illustrated herein is also configured to access, retrieve, obtain and otherwise receive information that is used in support of various functionalities described herein, and can include input and output devices or be configured to communicate with such devices through network ports and drivers configured or connected for that purpose.", "Turning to FIG.", "7, the system or network 110 utilized in the various embodiments of the invention implements a series of steps to control the display of data on remote accessing devices based on the hardware configuration and/or location of the remote accessing device.", "The methods described herein are implemented utilizing the elements of the network as provided in FIG.", "1.However, it should be appreciated that other additional or alternative arrangements are possible.", "For example, the described steps are implemented by one or more computing devices, such as the computing device 150a, but the methods are not limited to performance by the computing device 150a and should be interpreted to include performance by any one or more of the computing devices, such as additional computers or server.", "The information restriction and distribution system of the present invention selectively displays information requested by a computer user based on the access level of the data and the hardware and/or location data of the computer on which the data will be reviewed.", "The system enables a user to review some portions of private or restricted data on standard display devices, e.g.", "computers, smartphones, computer tablets, interactive displays, while still ensuring that other portions that are more sensitive, are only accessible to a user on a “private display,” i.e., those displays that are not easily viewed by others nearby.", "With reference to FIGS.", "7 and 8, the information restriction and distribution system is implemented and initiated by at least one request module 710 which comprises code executing in a processor 700 to request a specific data set, such as a medical record, from a medical record database 705 according to step 810.In the illustrated arrangement, access to the Device Interaction database 164, the Information restriction database 166 and the Medical Record Database 705 are controlled by a network controller 701, which comprises a processor configured with code executing therein.", "Contemporaneous with, or subsequent to, the requesting step 810, the hardware configuration and/or location of the requesting device (i.e., the display device) is transmitted to the controlling processor as in step 820, using a hardware configuration transmitting module 720 configured as code executing in the processor 700.In one arrangement the hardware and location data are obtained by a processor configured with sub-modules to query the device operating system or hardware modules to obtain the necessary information.", "For instance, geolocation data, such as coordinates obtained from a GPS or equivalent receiver or transponder are used to evaluate the location of the output device.", "Other examples of technologies that could be used to evaluate the location of the output device include near-field communication technologies, Bluetooth and/or other low energy proximity sensing technologies.", "Likewise, data relating to the hardware configuration of the output device, such as audio capabilities, video display capabilities and input capabilities can be obtained from an application or operating system residing on the output device.", "In the alternative, the location or hardware configuration is supplied manually and transmitted according to step 820.Once the display device has been evaluated for its location and capabilities, a privacy level is assigned to that output device and may be optionally stored in the device interaction database for future reference or transmitted in real-time to a server or database.", "For example, a privacy level assignment step 830 includes receiving, by the controlling processor 701, the location and configuration data transmitted by the display device processor 700 and comparing that information to preset privacy levels and rules in the Device Interaction Database and Information Restriction Database.", "In one arrangement this step is carried out using a privacy level assignment module 715, which operates as code executing in the controller processor 701.In one arrangement, if the privacy level of a given output device is above a minimum threshold, or pre-set limit, then the assignment module 715 configures the controller processor 701 to assign the output device a “private” level.", "This privacy level can, in one configuration, take the form of an entry in a table in the Information Restriction Database or the Device Interaction Database.", "In an alternative embodiment, the assignment module 715 instructs the controller processor 701 to assign different portions of output components of the output device differing privacy levels depending on the hardware configuration (e.g., device type, MAC address, or other hardware-identifying information or hardware setting information), or location of the output device.", "For example, the controller processor 701 is instructed by the privacy level module 715 to classify on output device as a “private” device for visual data only, but as a public device for audio data, or an audio component of multi-media data.", "In a further arrangement the privacy level assignment module 715 further instructs the controller processor 701 to query each output device connected to the network on a periodic basis and updates the privacy level depending on the function, location, size, and accessibility of the output device.", "In an alternative, the privacy level assignment module 715 includes a sub-module that configures the controller processor 701 to set privacy levels specific devices.", "For example, an administrator device is set to the maximum level of privacy regardless of the hardware or location data.", "In still a further arrangement, privacy level assignment module 715 includes a sub-module that configures the controller processor 701 to evaluate, in real-time, the privacy level of an output device depending the proximity of other individuals, general access level of data, or other factors, as can be obtained through a variety of system inputs.", "For example, where a output device is classified as a video “private”, audio “public” device, such as a nursing station computer, the privacy level assignment module 715 configures the controller processor 701 to reclassify the output device with an audio “private” level in the event that a private audio display device (e.g.", "a headset, wireless or wired to the network) is connected to the output device.", "It should be noted that in some cases, conventional computer monitors may be considered private displays, for example in cases where they are physically located in places were only the user of the computer can view the computer screen, such as an office.", "Alternatively, devices that can be classified as having private displays include smartphones, PDAs, tablets computers, interactive displays, and wearable computers, including devices with heads-up displays (HUD), such as Google Glass, virtual reality displays, such as Oculus Rift, and smartwatches, such as Apple Watch.", "In another example, a private display might be a computer display that is only clearly visible only to users wearing specialized glasses.", "In another example, a private display might be a computer display that is only clearly visible to a user who is positioned at a specific spatial position relative to the display.", "In the process steps of FIG.", "8, once the privacy level of the output device has been determined, it is compared to the privacy level of the data requested from the medical record database as in step 840.In one arrangement, a comparison module 725, configured as code executing in the controller processor 701, instructs the processor to evaluate the privacy level of the data requested and determine if the requesting output device has a sufficient privacy level to allow the display of the requested data.", "In the event that the requesting device has a sufficient privacy level compared to the requested information, the some or all of the requested data is transmitted from the medical database to the output device, as in step 850.In a particular embodiment, a data transmission module 735, configured as code executing in the controller processor 701 instructs the processor to transmit those portions of the requested data to the display device.", "Furthermore, the system described is configured to segment, or access segmented private information such that portions of the private information are distributed across multiple devices depending on the privacy level of the device and the privacy level of the information.", "Once the data has been received by the display device, it is displayed or output by the display device, according to step 860.In a particular embodiment, a display module 730 configured as code executing in the display device processor 700 instructions the processor to display the permitted data received from the medical record database.", "In the event that a hardware configuration or location changes in the output device, such as the connection of an external headset, a real-time device evaluation module 740 configures the display device processor to communicate the updated status of the display device to the controller processor 701.This, in turn, causes the controller processor 701 to update the privacy level of the output device and cause additional, newly available data to be sent to the output device for display.", "Returning to FIG.", "2, once a display device has been assigned a privacy level, data accessed by that output device will be conditioned based on the privacy level of the device as a whole, or the individual components of the output device.", "Requesting data from a device having an insufficient privacy level will result in a placeholder data being sent to the output device indicating that the data is not accessible from the given device.", "As illustrated, the display configuration 200 includes computer display 220, and a mobile device 210.In the illustrated configuration, both the computer and the mobile device are classified as private devices.", "As such, when data is requested, it can be displayed on either of the requesting devices.", "Alternatively, the display configuration 201 includes a computer display 222 and the same mobile device 201.In this configuration, the computer display 222 is not classified as a private device, while the mobile device 201 is classified as a private device for displaying the data requested.", "In one configuration, a placeholder note or statement indicating the user is not able to access the requested data using that particular device is supplied to the screen.", "In a further arrangement, the placeholder data indicates the necessary level of privacy needed for the data to be viewed on that device.", "In a further example, the mobile device 210 and the restricted computer 222 are used together to allow the user to view medical information on a same patient.", "However, in this configuration restricted information is only displayed on output device 210.Similarly, restricted information in the form of audio would only be playable on device 210, which is classified as a “private display” as it related to audio information.", "In one particular configuration as illustrated in FIG.", "3, a large, publically viewable display 310, as well as a mobile device 320, and wearable device 330 are incorporated into the system described.", "The computer display 310, because of its large size and location, is classifiable as a “non-private” display, and is prohibited from displaying private level information.", "Alternatively, the mobile device 320 is classified according to the system as a “private display.” In a circumstance where the data requested by the mobile device includes an audio and visual component, the data returned by the system will include only visual data, but not audio data.", "This is due to the privacy level assessment module 715 as described in FIG.", "7, instructing the processor to determine that the audio configuration of the mobile device did not permit the output of audio information.", "Thus, the privacy level assignment module 715 configures the controller processor 701 to classify the output device 320 as a private device for visual data, but as a public device for audio data.", "In the example shown, device 320 and the computer associated with display 310 are both accessing information on the same patient.", "Here, the user is authorized to view information on a particular patient.", "Since device 320 has a private display, the user can access restricted visual information.", "In the event that the user connected a private audio peripheral device to device 320, then restricted audio information is also accessible by the user.", "Furthermore, additional or alternative information is available to the smartwatch 330 based on the audio and display configurations available to the user.", "As shown in FIG.", "4, the display configuration 400 provides an example of a heads-up display (HUD) 402 connected to a conventional computer, such as a desktop or laptop computer, or that can be part of a wearable computer.", "View 401 is an example of the visual field observable while wearing a HUD such as example HUD 402.In the example shown the user is viewing information related to a patient that is being displayed on a computer monitor and being displayed on the HUD.", "In the example, region 414 is information in the user's visual field being presented by the HUD.", "In the example shown, the HUD is classified as a private display and therefore the user is able to view restricted information via the HUD (example region 414) that is not displayed on the computer display 412, which is a non-private display.", "Other head mounted display systems could also be classified as private displays, including those in which the display of the system is the only thing the user can see while wearing them, such as a virtual reality display system.", "Turning to a non-medical example of information restriction, FIG.", "5 illustrates the use of the system in a retail environment.", "Computer display 510 is evaluated by the restrictive data display system and determined to be a public display, thus only displaying information related to a specific good or service (e.g., cars) for sale.", "In this configuration, the controller processor of FIG.", "7 restricts portions of the data requested from the database depending on the privacy level of the display.", "As shown, the public display only provides general information concerning the item for sale.", "However, through the implementation of the steps and modules provided herein, a user of a private display configuration device is able to access pricing information or other data.", "FIGS.", "6A-6D illustrate flow charts detailing specific implementations and embodiments of the system and method for restricting information based on output device characteristics.", "FIG.", "6A is a flow chart illustrating steps related to systems and methods that manage the display and/or input of restricted information on devices with private vs. non-private displays.", "In a step 611 the user attempts to access data located or routed by the server 170.As a response, the characteristics of the requesting device are transmitted to the server 170.As shown in step 612, information rules are retrieved from Information Restriction Database 166 and the device characteristics are evaluated against the restriction rules in the database 166.For example, the device characteristics and information restriction rules are also utilized in the authentication process such that the user only is authenticated when he is using a device with a private display.", "For example, an EMR can be configured with Information Restriction Rules that prevent any access with devices that do not have private displays.", "The server 170, in one configuration, authenticates the user by requesting credentials (e.g., username, password, fingerprint, etc.,) as shown in step 614.In another embodiment, steps 612 and 614 are reversed, so that user authentication occurs before information restriction rules are retrieved.", "As provided in Step 616, the user utilizes computing device 180 to access information communicated by server 170, server 170 utilizes Information Restriction Rules stored in Information Restriction Database 166 to determine which information is restricted and will only communicate restricted information to devices characterized as having private displays.", "In an additional step 618, the system is configured to allow the input or transmission of private information, if that information is being entered on a device having a private display.", "The input or “display” of information could apply to visually displayed information, e.g., images, text, graphs, etc., as well as audio information.", "FIG.", "6B provides an example of a flow diagram of the implementation of the authentication step 614, for example, by a series of modules configured as code executing in a processor.", "As shown in step 612, the requesting device hardware configuration is provided to the server 170, using a transmitting module 720 as provided in FIG.", "7.In turn the server 170 implements an evaluation step 622 to determine if the hardware configuration meets the requirements for a private display.", "In one arrangement this is implemented using the module 715.In this particular configuration, if the display device does not have a private display, then the data is not accessed and provided to the mobile device.", "Alternatively, if the requesting device is equipped with a private display, then the server 170 requests authentication data as in step 624.In the event that the log-in credentials are verified, according to a verification step 625, the user is authenticated, as in step 627.In one configuration, the authentication is accomplished as a series of modules configured as code executing in the processor of the server and configured to instruct the processor to access the user database, compare the user credentials to a stored table of users and to confirm authentication of a user.", "Once the user is authenticated and the device characteristics have been evaluated by the system according to steps 631-633 of FIG.", "6c, the data requested is either transmitted to the display device or a placeholder statement is transmitted to the display device, according to the privacy level of the device, the user credentials and the privacy level of the information requested, as in step 635.FIG.", "6D provides an alternative flow diagram of the operation of the system of restricting access to data based on the privacy level of the device.", "As shown, the user is authenticated by the server as in step 641 and transmits the device configuration to the server.", "The server also retrieves the user preferences, the device configuration and the interface preferences as well as retrieving Information Restriction rules from the information restriction rules database 166 as in step 642.Once the rules are retrieved, the authenticated user is permitted by a processor suitably configured by code, to access a list of datasets, such as a patient list, that the authenticated user is permitted to access, as in step 644.Once the user causes the selection of a particular data set, the processor is configured by code to transmit only data that meets the privacy level on the device as in step 648.In step 649, the processor of the user device is configured by code to display the selected information received.", "FIG.", "9 provides examples of various display devices (910, 912, 914, 916) having differing restriction levels.", "FIG.", "9 also provides examples of the relative privacy levels and information privacy rules attached to each device according to a database or look-up table.", "Table 920 is an example of the Device Privacy Levels assigned to various devices based on the hardware configuration and/or location.", "The higher privacy levels are assigned to devices that are more secure in terms of their ability to prevent people other than the user from viewing and/or hearing information presented by the device.", "While the example shows six privacy levels, labeled 0 to 5, in practice any number of privacy levels greater than one can be utilized.", "In a particular arrangement, the device privacy levels may also vary depending on the device user.", "In one arrangement, the access level for a particular device can change in response to specific or authenticated user that is currently using the device.", "For example, a device that is authenticated using a login/password, fingerprint scanner, or other biometric based access device has a privacy level that reflects the privacy level assigned to that user regardless of the hardware configuration.", "The Device Privacy Levels may be set as a user, group and/or site preference, and may be stored, for example, in the Device Interaction Database 164 or Information Restriction Database 166.In the example of the Device Privacy Levels shown, the Privacy Level assigned to computer monitor 910 is dependent on its location, i.e., the privacy level is set to 4 if located in a private doctor's office, 2 if located in a nursing station, 0 if located in another location or if its location is unknown.", "In one arrangement, devices with unknown locations are assigned a privacy level based on the hardware configuration of the device.", "In the alternative, the system described assigns devices having an unknown location to a pre-set privacy level.", "In one particular arrangement, smartphone 912 is assigned a Privacy Level 4 when it is located in the hospital.", "The location of the smartphone 912 is determined in one instance by GPS transponders or another technology capable of locating its position.", "In this particular configuration, when the smartphone is no longer located within the hospital, the device is assigned a Privacy Level of 3.Alternatively, the smartwatch 914 is assigned a privacy level of 4, regardless of its location.", "Likewise, heads-up display 916 would be assigned a Privacy Level of 5, regardless of its location.", "Table 930 illustrates example Information Privacy Rules that determine whether information can be presented on a device based on the Device Privacy Level of a given device.", "Information Restriction Rules may be set as a user, group and/or site preference, and may be stored, for example, in Information Restriction Database 166.In one embodiment, information will be displayed on a device when the Privacy Level of the Device is greater than or equal to the Privacy Level of the Information.", "For example, the results of a Fetal Ultrasound are assigned an Information Privacy Level of 3 in the example shown.", "Therefore, the results of the Fetal Ultrasound would be displayed on any device with a Device Privacy Level of 3 or greater.", "Information Privacy Rules, in one arrangement, assigns an Information Privacy Level to virtually any type of information.", "For example, various types of information about a patient may be assigned different privacy levels.", "As illustrated, a patient's gender, which is generally apparent based on the patient's name and appearance, may be assigned a low Privacy Level, such as 0.Alternatively, the patient's date of birth may be assigned a higher Privacy Level, such as 1, as illustrated in Table 930.It should be appreciated that whether or not a particular test or exam has been ordered or performed on a patient may reveal private information about the patient, and therefore that information may also be assigned a Privacy Level.", "For example, the fact that a Fetal Ultrasound has been ordered or performed on a patient indicates that the patient was pregnant at that time of the test.", "Thus, the ordering of certain tests (e.g., Fetal Ultrasound) is assigned a Privacy Level of 2.Therefore, an Electronic Medical Records (EMR) or other medical record systems that contain a record of tests requested or performed are also subject to Device Privacy Levels.", "In the example shown, the results of the Fetal Ultrasound, which can include information such as images, audio information, measurements, a report, etc., are assigned an Information Privacy Level of 3.Information Privacy Rules may be stored, for example, in Information Restriction Database 166.Information Privacy Rules may vary based on user, group, and site preferences.", "In addition, Information Privacy Rules may vary based on characteristics of the patient and/or may be determined automatically based on characteristics of the patient.", "For example, Information Privacy Rules might differ for pregnant vs. non-pregnant women, minors vs. adults, and patient's with a particular diagnosis vs. those without that diagnosis.", "In addition, Information Restriction Rules could be set explicitly for a particular patient.", "In one configuration, the Information Restriction Rules are configured based on instructions or rules set by the patient or the patient's physician or care giver.", "In the alternative, a patient who is a notorious or public figure could implement Information Privacy Rules with elevated Information Privacy Levels based on instructions by the patient or an administrator of the hospital caring for the patient.", "Depending on the embodiment, the methods described with reference to the flowcharts, as well as any other methods discussed herein, can include fewer or additional blocks and/or the blocks can be performed in a different order than is illustrated.", "As illustrated in FIG.", "10, the server and other computers of the described system can be implemented according to the computing system 1300 illustrated in FIG.", "10.As shown, the computer system 1300 includes a processor 1302, a memory 1304, a storage device 1306, a high-speed interface 1308 connecting to the memory 1304 and multiple high-speed expansion ports 1310, and a low-speed interface 1312 connecting to a low-speed expansion port 1314 and the storage device 1306.Each of the processor 1302, the memory 1304, the storage device 1306, the high-speed interface 1308, the high-speed expansion ports 1310, and the low-speed interface 1312, are interconnected using various buses, and can be mounted on a common motherboard as shown in FIG.", "9, or in other manners as appropriate.", "The processor 1302 can process instructions for execution within the computing device 1300, including instructions stored in the memory 1304 or on the storage device 1306 to display graphical information for a GUI on an external input/output device, such as a display 1316 coupled to the high-speed interface 1308.In other embodiments, multiple processors and/or multiple buses can be used, as appropriate, along with multiple memories and types of memory.", "Also, multiple computing devices can be connected, with each device providing portions of the necessary operations (e.g., as a server bank, a group of blade servers, or a multi-processor system).", "A mobile computing device 1350 may include a processor 1352, a memory 1364, and an input/output device such as a display 1354, a communication interface 1366, and a transceiver 1368, among other components.", "The mobile computing device 1350 can also be provided with a storage device, such as a micro-drive or other device, to provide additional storage.", "Each of the processor 1352, the memory 1364, the display 1354, the communication interface 1366, and the transceiver 1368, are interconnected using various buses, and several of the components can be mounted on a common motherboard or in other manners as appropriate.", "The processor 1352 can communicate with a user through a control interface 1358 and a display interface 1356 coupled to the display 1354.The display 1354 can be, for example, a TFT (Thin-Film-Transistor Liquid Crystal Display) display or an OLED (Organic Light Emitting Diode) display, or other appropriate display technology.", "The display interface 1356 can comprise appropriate circuitry for driving the display 1354 to present graphical and other information to a user.", "The control interface 1358 can receive commands from a user and convert them for submission to the processor 1352.In addition, an external interface 1362 can provide communication with the processor 1352, so as to enable near area communication of the mobile computing device 1350 with other devices.", "The external interface 1362 can provide, for example, for wired communication in some embodiments, or for wireless communication in other embodiments, and multiple interfaces can also be used.", "The memory 1364 stores information within the mobile computing device 1350.The memory 1364 can be implemented as one or more of a computer-readable medium or media, a volatile memory unit or units, or a non-volatile memory unit or units.", "An expansion memory 1374 can also be provided and connected to the mobile computing device 1350 through an expansion interface 1372, which can include, for example, a SIMM (Single In Line Memory Module) card interface.", "The expansion memory 1374 can provide extra storage space for the mobile computing device 1350, or can also store applications or other information for the mobile computing device 1350.Specifically, the expansion memory 1374 can include instructions to carry out or supplement the processes described above, and can include secure information also.", "Thus, for example, the expansion memory 1374 can be provided as a security module for the mobile computing device 1350, and can be programmed with instructions that permit secure use of the mobile computing device 1350.In addition, secure applications can be provided via the SIMM cards, along with additional information, such as placing identifying information on the SIMM card in a non-hackable manner.", "The mobile computing device 1350 can communicate wirelessly through the communication interface 1366, which can include digital signal processing circuitry where necessary.", "The communication interface 1366 can provide for communications under various modes or protocols, such as GSM voice calls (Global System for Mobile communications), SMS (Short Message Service), EMS (Enhanced Messaging Service), or MMS messaging (Multimedia Messaging Service), CDMA (code division multiple access), TDMA (time division multiple access), PDC (Personal Digital Cellular), WCDMA (Wideband Code Division Multiple Access), CDMA2000, or GPRS (General Packet Radio Service), among others.", "Such communication can occur, for example, through the transceiver 1368 using a radio-frequency.", "In addition, short-range communication can occur, such as using a Bluetooth, WiFi, or other such transceiver (not shown).", "In addition, a GPS (Global Positioning System) receiver module 1370 can provide additional navigation- and location-related wireless data to the mobile computing device 1350, which can be used as appropriate by applications running on the mobile computing device 1350.The mobile computing device 1350 can also communicate audibly using an audio codec 1360, which can receive spoken information from a user and convert it to usable digital information.", "The audio codec 1360 can likewise generate audible sound for a user, such as through a speaker, e.g., in a handset of the mobile computing device 1350.Such sound can include sound from voice telephone calls, recorded sound (e.g., voice messages, music files, etc.)", "and sound generated by applications operating on the mobile computing device 1350.The mobile computing device 1350 can be implemented in a number of different forms, as shown in FIG.", "10.For example, it can be implemented as a cellular telephone 1380.It can also be implemented as part of a smart-phone 1382, personal digital assistant, or other similar mobile device.", "Various embodiments of the systems and techniques described here can be realized in digital electronic circuitry, integrated circuitry, specially designed ASICs (application specific integrated circuits), computer hardware, firmware, software, and/or combinations thereof.", "These various embodiments can include embodiment in one or more computer programs that are executable and/or interpretable on a programmable system including at least one programmable processor, which can be special or general purpose, coupled to receive data and instructions from, and to transmit data and instructions to, a storage system, at least one input device, and at least one output device.", "These computer programs (also known as programs, software, software applications or code) include machine instructions for a programmable processor, and can be implemented in a high-level procedural and/or object-oriented programming language, and/or in assembly/machine language.", "As used herein, the terms machine-readable storage medium and computer-readable storage medium refer to any non-transitory computer program product, apparatus and/or device (e.g., magnetic discs, optical disks, memory, Programmable Logic Devices (PLDs)) used to provide machine instructions and/or data to a programmable processor, including a machine-readable storage medium that receives machine instructions as a machine-readable signal.", "The term machine-readable signal refers to any signal used to provide machine instructions and/or data to a programmable processor.", "A non-transitory machine-readable storage medium does not include a transitory machine-readable signal.", "To provide for interaction with a user, the systems and techniques described here can be implemented on a computer having a display device (e.g., a CRT (cathode ray tube) or LCD (liquid crystal display) monitor) for displaying information to the user and one or more input devices, such as a keyboard, pointing device (e.g., a mouse or a trackball), touch screen, or microphone, by which the user can provide input to the computer.", "Other kinds of devices can be used to provide for interaction with a user as well; for example, feedback provided to the user can be any form of sensory feedback (e.g., visual feedback, auditory feedback, or tactile feedback); and input from the user can be received in any form, including acoustic, speech, or tactile input.", "The systems and techniques described here can be implemented in a computing system that includes a back end component (e.g., as a data server 1324), or that includes a middleware component (e.g., an application server 1320), or that includes a front end component (e.g., a client computer 1322 having a graphical user interface or a Web browser through which a user can interact with an embodiment of the systems and techniques described here), or any combination of such back end, middleware, or front end components.", "The components of the system can be interconnected by any form or medium of digital data communication (e.g., a communication network).", "Examples of communication networks include a local area network (LAN), a wide area network (WAN), and the Internet.", "The computing system can include clients and servers.", "A client and server are generally remote from each other and typically interact through a communication network.", "The relationship of client and server arises by virtue of computer programs running on the respective computers and having a client-server relationship to each other.", "While this specification contains many specific embodiment details, these should not be construed as limitations on the scope of any embodiment or of what can be claimed, but rather as descriptions of features that can be specific to particular embodiments of particular embodiments.", "Certain features that are described in this specification in the context of separate embodiments can also be implemented in combination in a single embodiment.", "Conversely, various features that are described in the context of a single embodiment can also be implemented in multiple embodiments separately or in any suitable subcombination.", "Moreover, although features can be described above as acting in certain combinations and even initially claimed as such, one or more features from a claimed combination can in some cases be excised from the combination, and the claimed combination can be directed to a subcombination or variation of a subcombination.", "Similarly, while operations are depicted in the drawings in a particular order, this should not be understood as requiring that such operations be performed in the particular order shown or in sequential order, or that all illustrated operations be performed, to achieve desirable results.", "In certain circumstances, multitasking and parallel processing can be advantageous.", "Moreover, the separation of various system components in the embodiments described above should not be understood as requiring such separation in all embodiments, and it should be understood that the described program components and systems can generally be integrated together in a single software product or packaged into multiple software products.", "The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention.", "As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise.", "It will be further understood that the terms “comprises” and/or “comprising”, when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.", "It should be noted that use of ordinal terms such as “first,” “second,” “third,” etc., in the claims to modify a claim element does not by itself connote any priority, precedence, or order of one claim element over another or the temporal order in which acts of a method are performed, but are used merely as labels to distinguish one claim element having a certain name from another element having a same name (but for use of the ordinal term) to distinguish the claim elements.", "Also, the phraseology and terminology used herein is for the purpose of description and should not be regarded as limiting.", "The use of “including,” “comprising,” or “having,” “containing,” “involving,” and variations thereof herein, is meant to encompass the items listed thereafter and equivalents thereof as well as additional items.", "Particular embodiments of the subject matter described in this specification have been described.", "Other embodiments are within the scope of the following claims.", "For example, the actions recited in the claims can be performed in a different order and still achieve desirable results.", "As one example, the processes depicted in the accompanying figures do not necessarily require the particular order shown, or sequential order, to achieve desirable results.", "In certain embodiments, multitasking and parallel processing can be advantageous.", "Unless the context clearly requires otherwise, throughout the description, the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense as opposed to an exclusive or exhaustive sense; that is to say, in a sense of “including, but not limited to.” Words using the singular or plural number also include the plural or singular number respectively.", "Additionally, the words “herein,” “hereunder,” “above,” “below,” and words of similar import refer to this application as a whole and not to any particular portions of this application.", "When the word “or” is used in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list and any combination of the items in the list.", "The above description of embodiments of the Restricted Display systems and methods is not intended to be exhaustive or to limit the systems and methods described to the precise form disclosed.", "While specific embodiments of, and examples for, the Restricted Display device systems and methods are described herein for illustrative purposes, various equivalent modifications are possible within the scope of other Restricted Display systems and methods, as those skilled in the relevant art will recognize.", "The teachings of the Restricted Display systems and methods provided herein can be applied to other processing and measurement systems and methods, not only for the systems and methods described above.", "While medical and commercial applications were used as examples, the systems and methods described herein can be applied to any field where it may be desirable to restrict display of private or sensitive information, such as military, financial, legal, insurance, and law enforcement applications.", "The elements and acts of the various embodiments described above can be combined to provide further embodiments.", "These and other changes can be made to the Restricted Display systems and methods in light of the above detailed description.", "In general, in the following claims, the terms used should not be construed to limit the Restricted Display systems and methods to the specific embodiments disclosed in the specification and the claims, but should be construed to include all systems and methods that operate under the claims.", "Accordingly, the Restricted Display systems and methods are not limited by the disclosure, but instead the scope of the Restricted Display systems and methods is to be determined entirely by the claims.", "It should be understood that various combinations, alternatives and modifications of the present invention could be devised by those skilled in the art in view of this disclosure.", "The present invention is intended to embrace all such alternatives, modifications and variances that fall within the scope of the appended claims.", "While the invention has been particularly shown and described with reference to a preferred embodiment thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention." ] ]
Patent_15875805
[ [ "IDENTIFYING RELATIONSHIPS OF INTEREST OF ENTITIES", "A method, system and computer program product for identifying relationships of interest of entities in a data modeling environment, where the data modeling environment represents objects as entities with links representing relationships between the entities.", "A query is received, where the query includes entity(ies) and link(s) with at least one of the entities or links designated as an output item.", "The query is analyzed to identify each dumbbell in the query, where a dumbbell is a link with a first entity at a first end of the link and a second entity at a second end of the link.", "Each identified dumbbell is examined to determine if the dumbbell includes an output item; and, if the dumbbell includes an output item, adding the dumbbell to a catalogue of relationships if a dumbbell is not already listed having the link type and entity types of the identified dumbbell." ], [ "1.A computer-implemented method for identifying relationships of interest of entities in a data modeling environment, wherein the data modeling environment represents objects as entities with links representing relationships between the entities, comprising: receiving a query, the query comprising one or more entities and one or more links with at least one of the entities or links designated as an output item; analyzing, by a processor, the query to identify each dumbbell in the query, wherein a dumbbell is a link with a first entity at a first end of the link and a second entity at a second end of the link; examining, by the processor, each identified dumbbell to determine if the dumbbell includes an output item; and adding the dumbbell to a catalogue of relationships including a link type and entity types of the dumbbell in response to the dumbbell including an output item.", "2.The method as recited in claim 1 further comprising: determining if a dumbbell having link types and entity types is already stored in the catalogue of relationships and adding the dumbbell in response to a stored dumbbell not existing.", "3.The method as recited in claim 1, wherein property values are discarded from the link and the entities of a dumbbell being added to the catalogue of relationships.", "4.The method as recited in claim 1 further comprising: repeating said receiving, analyzing, examining and adding for multiple queries to generate a list of relationships for each item type.", "5.The method as recited in claim 4 further comprising: using the list of relationships when a user runs a keyword search and is presented with a mixed item type list of matching results, wherein the list of relationships is used to filter item types.", "6.The method as recited in claim 4 further comprising: using the list of relationships for an item type to provide an advanced facet for searching.", "7.The method as recited in claim 4 further comprising: providing a filtered expansion for an item type based on the list of relationships for the item type.", "8.The method as recited in claim 4 further comprising: enabling automatic generation of suggested relationships of interest for an item type based on the list of relationships for the item type." ], [ "<SOH> BACKGROUND <EOH>In a rich data environment in which entities, links, and properties (ELP) data needs to be searched, facets can assist with the filtering of matching results.", "In most faceting user interfaces, facets are generated from properties and metadata of the items listed and the list of available facets can be navigated via scrolling.", "In an ELP data environment, facets can also be generated from linked entities and their properties.", "For example, a search for “John Smith” could return a list of matching person entities.", "Facets generated by legal end types specified by a schema could include “Linked to crime” or more specifically “Linked to crime: assault”.", "Given this capability, in a law enforcement deployment of a schema, the number of potential combinations of advanced facets could easily reach the 1000s and become unusable if presented to the user in their entirety.", "Consequently, configuration is required to ensure the user is only presented with advanced facets that are useful.", "In order to make the most of this capability, there is either a configuration overhead for the administrator or an interaction overhead for the user." ], [ "<SOH> SUMMARY <EOH>In one embodiment of the present invention, a computer-implemented method for identifying relationships of interest of entities in a data modeling environment comprises receiving a query, where the data modeling environment represents objects as entities with links representing relationships between the entities and where the query comprises one or more entities and one or more links with at least one of the entities or links designated as an output item.", "The method further comprises analyzing, by a processor, the query to identify each dumbbell in the query, wherein a dumbbell is a link with a first entity at a first end of the link and a second entity at a second end of the link.", "The method additionally comprises examining, by the processor, each identified dumbbell to determine if the dumbbell includes an output item.", "Furthermore, the method comprises adding the dumbbell to a catalogue of relationships including a link type and entity types of the dumbbell in response to the dumbbell including an output item.", "Other forms of the embodiment of the method described above are in a system and in a computer program product.", "The foregoing has outlined rather generally the features and technical advantages of one or more embodiments of the present invention in order that the detailed description of the present invention that follows may be better understood.", "Additional features and advantages of the present invention will be described hereinafter which may form the subject of the claims of the present invention." ], [ "TECHNICAL FIELD The present invention relates generally to identifying relationships of interest of entities, and more particularly to identifying relationships of interest of entities in a data analysis environment.", "BACKGROUND In a rich data environment in which entities, links, and properties (ELP) data needs to be searched, facets can assist with the filtering of matching results.", "In most faceting user interfaces, facets are generated from properties and metadata of the items listed and the list of available facets can be navigated via scrolling.", "In an ELP data environment, facets can also be generated from linked entities and their properties.", "For example, a search for “John Smith” could return a list of matching person entities.", "Facets generated by legal end types specified by a schema could include “Linked to crime” or more specifically “Linked to crime: assault”.", "Given this capability, in a law enforcement deployment of a schema, the number of potential combinations of advanced facets could easily reach the 1000s and become unusable if presented to the user in their entirety.", "Consequently, configuration is required to ensure the user is only presented with advanced facets that are useful.", "In order to make the most of this capability, there is either a configuration overhead for the administrator or an interaction overhead for the user.", "SUMMARY In one embodiment of the present invention, a computer-implemented method for identifying relationships of interest of entities in a data modeling environment comprises receiving a query, where the data modeling environment represents objects as entities with links representing relationships between the entities and where the query comprises one or more entities and one or more links with at least one of the entities or links designated as an output item.", "The method further comprises analyzing, by a processor, the query to identify each dumbbell in the query, wherein a dumbbell is a link with a first entity at a first end of the link and a second entity at a second end of the link.", "The method additionally comprises examining, by the processor, each identified dumbbell to determine if the dumbbell includes an output item.", "Furthermore, the method comprises adding the dumbbell to a catalogue of relationships including a link type and entity types of the dumbbell in response to the dumbbell including an output item.", "Other forms of the embodiment of the method described above are in a system and in a computer program product.", "The foregoing has outlined rather generally the features and technical advantages of one or more embodiments of the present invention in order that the detailed description of the present invention that follows may be better understood.", "Additional features and advantages of the present invention will be described hereinafter which may form the subject of the claims of the present invention.", "BRIEF DESCRIPTION OF THE DRAWINGS A better understanding of the present invention can be obtained when the following detailed description is considered in conjunction with the following drawings, in which: FIG.", "1 is a block diagram illustrating an example embodiment of a system in which the present invention may be implemented; FIGS.", "2A and 2B are schematic diagrams illustrating linked entities in accordance with aspects of the present invention; FIG.", "3 is a flow diagram of a first example embodiment of a method in accordance with the present invention; FIGS.", "4A and 4B are schematic diagrams of an example query with FIG.", "4B showing extracted relationships of interest in accordance with an aspect of the present invention; FIGS.", "5A and 5B are schematic diagrams illustrating an example user interface with advanced facets in accordance with an aspect of the present invention; FIG.", "6 is block diagram of an example embodiment of a system in accordance with the present invention; FIG.", "7 is a block diagram of an embodiment of a computer system or cloud server in which the present invention may be implemented; FIG.", "8 is a schematic diagram of a cloud computing environment in which the present invention may be implemented; and FIG.", "9 is a diagram of abstraction model layers of a cloud computing environment in which the present invention may be implemented.", "It will be appreciated that for simplicity and clarity of illustration, elements shown in the figures have not necessarily been drawn to scale.", "For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity.", "Further, where considered appropriate, reference numbers may be repeated among the figures to indicate corresponding or analogous features.", "DETAILED DESCRIPTION A method and a system are provided for identifying relationships of interest of entities.", "Information may be expressed in terms of entities, links, and properties (ELP) that may be analyzed using data models.", "For example, a data model may provide a platform for manipulating and storing types of entities, links, and properties as controlled by a deployment-specific schema.", "An example of a data model environment is IBM i2 Analyze (IBM and i2 are trademarks of International Business Machines Corporation) that is an enterprise intelligence analysis environment that facilitates information sharing and intelligence production with the flexibility of both web-based and rich desktop clients.", "It accelerates analysis of large volumes of data through an extensible, service-oriented environment, designed to integrate into existing enterprise infrastructure, and simplify database connectivity.", "i2 Analyze supports operational analysis and improves situational awareness by providing faster, more informed decision making across and inside organizations.", "The described method and system enables a user to create and save a query that is harvested to capture relationships between entities that may be deemed of interest.", "The method and system may use the types of link to obtain advanced facets for searching on the query.", "Referring to FIG.", "1, a block diagram illustrates an example embodiment of a system 100 in which the described method may be implemented.", "The system 100 may include a data modeling system 120 for providing data visualization and a database management system 111 with access to data sources 101, 102 from which data may be retrieved.", "The data modeling system 120 and database management system 111 may be provided on one or more servers 110 for provision as a web-based system with a data modeling system client 140 which may be a rich desktop client providing a client user interface (UI) 150.The described data modeling system 120 includes a relationship processing component 130 for providing the described functionality of relationship analysis and provision of advanced facets based on relationship types.", "The client UI 150 may include a query input component 151 for receiving input of a user query as described further below.", "The client UI 150 may display a generated data model of retrieved data from the data sources 101, 102 including entities 161-164, links 171-173, and properties 181, 182 of the entities and links.", "Data connectors may be used to acquire data from the data sources 101, 102 and may convert requested data into entities 161-164, links 171-173, and properties 181, 182 to be displayed to the user in the client UI 150.In a described data model environment, entities, links, and properties are defined as follows.", "Entities and links may be referred to as items.", "An “entity” represents an object.", "This may be a real world physical object such as a “Person” or a “Car”, or a conceptual object, such as a “Meeting” or an “Insurance Claim”.", "A “link” associates two entities with each other.", "For example, a “Person” entity might be associated with a “Car” entity through an “Owns” link.", "A “property” stores a value that characterizes an entity or a link.", "For example, a “Person” entity might have properties that record its given name, surname, date of birth, and hair color.", "The simplest relationship in an ELP data model involves two entities that are connected with a single link.", "These kinds of relationships are the building blocks for data structures that contain chains and networks of entities with any number of links between them.", "Because of what these relationships look like when they appear in visualizations, the structure is sometimes called a “dumbbell”.", "Referring to FIGS.", "2A and 2B, schematic diagrams 200, 250 show a dumbbell formed of two entities 161, 162 with a link 171.For example, the first entity 161 may be a person of name “Anna Harvey” with a date of birth of “May 5, 1974” and the second entity may be a car of manufacture “Ford”, make “Mondeo” (Ford and Mondeo are trademarks of Ford Motor Company), year “2007”.", "The link 171 may indicate that the person “owns” the car.", "The properties may be stored in cards as illustrated in FIG.", "2B.", "FIG.", "2B shows that the first entity 161 and the second entity 162 each have an identifier 211, 221 that is unique within a deployment of the platform, a type 212, 222 which determines what properties the entity can have, and metadata 213, 223 such as the time when the entity was created and modified.", "The entities 161, 162 may store their properties in one or more cards 214, 224 that they contain.", "Usually, a card contains properties whose values were supplied by a single source at a particular time.", "Different cards for a single entity may come from different sources.", "The cards themselves may have an identifier and metadata.", "The link 171 between two entities 161, 162 may also include an identifier 231, a type 232, metadata 233 and cards 234.In addition, the link 171 includes an indication of the entity 161 the link is from 237 and the entity 162 the link is to 238 such that the link 171 has a direction.", "In addition, a link 171 may include a direction 235 and a strength 236.The types 212, 222, 232 for entities and links are defined by the schema and the type categorizes the host and determines the categories it can have.", "A link type is similar to an entity type but contains more information.", "The link type also specifies what types of entities can be at the ends of that link.", "Referring to FIG.", "3, a flow diagram 300 shows an example embodiment of the described method.", "The method identifies links representing relationships of interest in a data modeling system.", "The data modeling system may represent objects as entities with links representing relationships between the entities.", "Entities and links are referred to as items.", "A link joining two entities is referred to as a dumbbell.", "The method may receive 301 a query, the query comprising one or more entities and, optionally, one or more links.", "The query may be created and saved by a user of the data modeling system.", "In a saved query, one or more of the items (i.e.", "an entity or a link) is designated as an output item.", "An output item may be interpreted as being of significant interest as the query returns items of these types to the user.", "An output item determines the item type that will be returned by the response to the query.", "The query may be analyzed 302 to identify each dumbbell in the query.", "It may be determined 303 if there is a dumbbell in the query that has not already been considered.", "If there are no dumbbells that have not already been considered, then the method may end 304.If there is a dumbbell that has not already been considered, it may be examined to determine 305 if the dumbbell includes an output item in the form of the dumbbell's link or one of the end entities.", "If the dumbbell does not include an output item, the dumbbell may be discarded 306 as the identified relationship does not contain an item to be returned in the query.", "If the dumbbell does include an output item, then the dumbbell is kept 307 and the property values of the items of the dumbbell are discarded.", "The property values are discarded as, when relationships are surfaced in other parts of the application, the property values will not be relevant.", "A kept dumbbell, including the link type and entity types, may be added 308 to a catalogue of relationships if a dumbbell is not already listed having the link type and entity types.", "A catalogue may be any data structure that stores relationships, for example, person-offender-crime.", "The method may then loop to determine 303 if there is another dumbbell in the query that has not yet been considered.", "The method may be repeated for each saved query so as to generate a list of relationships for each item type.", "A list of relationships may be generated for all saved queries input via the user interface.", "Alternatively, a list of relationships may be generated for saved queries for a specified user of the user interface.", "These lists may be used when a user runs a keyword search and is presented with a mixed item type list of matching results.", "The mixed item type list may be ordered or filtered by item types.", "For example, when viewing a list of person entities in a text search results user interface, filters may allow the user to shortlist only those persons linked to a crime by an offender link.", "Referring to FIGS.", "4A and 4B, in a data analysis environment, such as IBM i2 Analyze, a user may create and save queries 400 that may be re-run to find items of interest.", "These queries may be harvested to capture relationships between items that may be deemed interesting.", "Referring to FIG.", "4A a schematic diagram shows a query 400 including a person entity 401 that is designated as an output item.", "The query 400 will return person items 401 linked to a vehicle 402 by an owner link 411 and linked to a crime 403 in the form of an assault by an offender link 412, where the crime 403 is also linked to a specified location 404 of a town/city by any link type 413.In FIG.", "4A, the structure of the query 400 suggests the user is interested in persons 401 linked to assault crimes 403 by an offender link type 412 and also persons 401 who own 411 a vehicle 402.Curating a list of these relationships of interest can inform a shortlist of advanced facets to be displayed from the potential list of 1000s.", "The creation and maintenance of a list of relationships of interest may be carried out by crawling the saved queries available to a given user as well as those created and run by that user.", "All queries may be deconstructed into a list of dumbbells (i.e., a person entity linked to a crime entity).", "A dumbbell can be identified programmatically by finding each link and then identifying the entities at each end as entity creation is a precondition for creating a link.", "In a saved query, at least one item must be designated as the output of the query.", "In FIG.", "4A, the person entity 401 is marked as the output.", "Output items may be interpreted as being of significant interest as the query is going to return items of these types to the user.", "Applying the described method, the query 400 in FIG.", "4A may be deconstructed to the dumbbells 421, 422 illustrated in FIG.", "4B.", "As both of these dumbbells 421, 422 feature the designated output, in this case the person entity 401, they can both be considered relationships of interest.", "The relationship between the crime 403 and location 404 is not deemed of primary interest, as the user has not expressed an interest in being returned crimes or locations.", "In this case, the relationships to be included in the list for person items are: 1.Linked to vehicle by owner link; and 2.Linked to crime of type.", "It may be noted the value of the crime type is not included in this embodiment.", "Some embodiments may take values such as the crime type into account, so that the relationship Person-Offender-Crime (Burglary) is recorded as interesting whereas the relationship Person-Offender-Crime (Vandalism) is not.", "The value of these lists is realized when a user runs a basic keyword search and is presented with a mixed item type list of matching results.", "Upon filtering by “Person”, facets can be generated with reference to the list of relationships of interest for that type.", "Referring to FIG.", "5A a schematic diagram of options in the client UI 150 is shown for the person output.", "Standard facets 540 may be offered in the UI based on properties, for example, in the form of “Gender” 550 of “Male” 551 or “Female” 552, and “Nationality” 560 in the form of “British” 561 or “French” 562.Advanced facets 510 may be offered in the UI to the user based on the relationships of interest.", "For example, an advanced facet of “Vehicle” 520 may include an option of “Owner of vehicle” 521 which may return results.", "As another example, an advanced facet of “Crime” 530 may include “Offender of crime: Assault” 531, “Offender of crime: Burglary” 532, and “Offender of crime: Theft” 533.These allow the user to filter the list not by properties of the person items, but by their relationships with other item types, all without user configuration or administrator overhead.", "The method may provide automatic generation of suggested relationships of interest on link type, such as “Offender: Crime”, and “Offender: Burglary”.", "This may provide the ability to perform a filtered expand based on a relationship of interest on link type, “Offender: Crime”, and “Offender: Burglary”.", "High performance of this pattern is supported by dumbbell indexing, an indexing strategy that can be powered by search platforms.", "Dumbbell indexing is supported by search platforms such as Solr (Solr is a trade mark of Apache Software Foundation) and allows an index to be constructed based on the connectivity of items.", "When a filter is applied, an expensive query does not have to be executed as the index can already provide the results.", "In another embodiment, this list of relationships may be used to power an enhanced filtered expand of chart items.", "FIG.", "5A shows an example embodiment of a display of the client UI 150 that illustrates how a user may select a person item, in this example “Gene Hendricks” 570 on a network chart.", "The user may be offered options 571 including the option of “Advanced Expand” 572.“Advanced Expand” 572 may expand to options such as “Owner of vehicle” 573, “Offender of crime: Assault” 574, “Offender of crime: Burglary” 575, and “Offender of crime: Theft” 576 in accordance with the list above.", "Referring to FIG.", "6, a block diagram shows an example embodiment of the described relationship processing component 130.The relationship processing component 130 may include at least one processor 601, a hardware module, or a circuit for executing the functions of the described components which may be software units executing on the at least one processor.", "Multiple processors running parallel processing threads may be provided enabling parallel processing of some or all of the functions of the components.", "Memory 602 may be configured to provide computer instructions 603 to the at least one processor 601 to carry out the functionality of the components.", "As described in relation to FIG.", "1, the relationship processing component 130 may be provided as associated with or integral to a data modeling system 120 which has access to one or more data sources.", "The relationship processing component 130 may include a query receiving component 610 for receiving a user query as input and saved in a client UI of the relationship processing component 130.The query may include at least one output item identified by the query receiving component 610.The relationship processing component 130 may include a query analysis component 620 for analyzing the received query.", "The query analysis component 620 may include a dumbbell identifying component 621 for identifying dumbbells in the query in the form of a link with entities at either end.", "The query analysis component 620 may include a dumbbell analyzing component 622 for examining a dumbbell to determine if the dumbbell includes an output item of the query.", "The query analysis component 620 may further include a dumbbell adding component 623 for adding a dumbbell which includes an output item to a catalogue of relationships as maintained by a relationship catalogue component 630.The added dumbbell may include the link type and entity types.", "The method may be repeated for each saved query so as to generate a list of relationships for each item type maintained by a list of relationships component 632.These lists may be used when a user runs a keyword search and is presented with a mixed item type list of matching results.", "The data modeling system 120 may have a data modeling system client 140 for providing a user interface to a user.", "The data modeling system client 140 may include a user interface search filtering component 640 for using the list of relationships for filtering item types when a user runs a keyword search and is presented with a mixed item type list of matching results.", "The data modeling system client 140 may also include an advanced facet component 642 for using the list of relationships for an item type to provide an advanced facet for searching at the user interface.", "The data modeling system client 140 may also include a filtered expansion component 644 for providing a filtered expansion for an item type based on the list of relationships for the item type.", "The data modeling system client 140 may also include an automatic generation component 646 for enabling automatic generation of suggested relationships of interest for an item type based on the list of relationships for the item type.", "Referring now to FIG.", "7, a schematic of an example of a system 700 in the form of a computer system or server is shown.", "A computer system or server 712 may be operational with numerous other general purpose or special purpose computing system environments or configurations.", "Examples of well-known computing systems, environments, and/or configurations that may be suitable for use with computer system/server 712 include, but are not limited to, personal computer systems, server computer systems, thin clients, thick clients, hand-held or laptop devices, multiprocessor systems, microprocessor-based systems, set top boxes, programmable consumer electronics, network PCs, minicomputer systems, mainframe computer systems, and distributed cloud computing environments that include any of the above systems or devices, and the like.", "Computer system/server 712 may be described in the general context of computer system-executable instructions, such as program modules, being executed by a computer system.", "Generally, program modules may include routines, programs, objects, components, logic, data structures, and so on that perform particular tasks or implement particular abstract data types.", "Computer system/server 712 may be practiced in distributed cloud computing environments where tasks are performed by remote processing devices that are linked through a communications network.", "In a distributed cloud computing environment, program modules may be located in both local and remote computer system storage media including memory storage devices.", "In FIG.", "7, a computer system/server 712 is shown in the form of a general-purpose computing device.", "The components of the computer system/server 712 may include, but are not limited to, one or more processors or processing units 716, a system memory 728, and a bus 718 that couples various system components including system memory 728 to processor 716.Bus 718 represents one or more of any of several types of bus structures, including a memory bus or memory controller, a peripheral bus, an accelerated graphics port, and a processor or local bus using any of a variety of bus architectures.", "By way of example, and not limitation, such architectures include Industry Standard Architecture (ISA) bus, Micro Channel Architecture (MCA) bus, Enhanced ISA (EISA) bus, Video Electronics Standards Association (VESA) local bus, and Peripheral Component Interconnects (PCI) bus.", "Computer system/server 712 typically includes a variety of computer system readable media.", "Such media may be any available media that is accessible by computer system/server 712, and it includes both volatile and non-volatile media, removable and non-removable media.", "System memory 728 can include computer system readable media in the form of volatile memory, such as random access memory (RAM) 730 and/or cache memory 732.Computer system/server 712 may further include other removable/non-removable, volatile/non-volatile computer system storage media.", "By way of example only, storage system 734 can be provided for reading from and writing to a non-removable, non-volatile magnetic media (not shown and typically called a “hard drive”).", "Although not shown, a magnetic disk drive for reading from and writing to a removable, non-volatile magnetic disk (e.g., a “floppy disk”), and an optical disk drive for reading from or writing to a removable, non-volatile optical disk such as a CD-ROM, DVD-ROM or other optical media can be provided.", "In such instances, each can be connected to bus 718 by one or more data media interfaces.", "As will be further depicted and described below, memory 728 may include at least one program product having a set (e.g., at least one) of program modules that are configured to carry out the functions of embodiments of the invention.", "Program/utility 740, having a set (at least one) of program modules 742, may be stored in memory 728 by way of example, and not limitation, as well as an operating system, one or more application programs, other program modules, and program data.", "Each of the operating system, one or more application programs, other program modules, and program data or some combination thereof, may include an implementation of a networking environment.", "Program modules 742 generally carry out the functions and/or methodologies of embodiments of the invention as described herein.", "Computer system/server 712 may also communicate with one or more external devices 714 such as a keyboard, a pointing device, a display 724, etc.", "; one or more devices that enable a user to interact with computer system/server 712; and/or any devices (e.g., network card, modem, etc.)", "that enable computer system/server 712 to communicate with one or more other computing devices.", "Such communication can occur via Input/Output (I/O) interfaces 722.Still yet, computer system/server 712 can communicate with one or more networks such as a local area network (LAN), a general wide area network (WAN), and/or a public network (e.g., the Internet) via network adapter 720.As depicted, network adapter 720 communicates with the other components of computer system/server 712 via bus 718.It should be understood that although not shown, other hardware and/or software components could be used in conjunction with computer system/server 712.Examples, include, but are not limited to: microcode, device drivers, redundant processing units, external disk drive arrays, RAID systems, tape drives, and data archival storage systems, etc.", "The present invention may be a system, a method, and/or a computer program product.", "The computer program product may include a computer readable storage medium (or media) having computer readable program instructions thereon for causing a processor to carry out aspects of the present invention.", "The computer readable storage medium can be a tangible device that can retain and store instructions for use by an instruction execution device.", "The computer readable storage medium may be, for example, but is not limited to, an electronic storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing.", "A non-exhaustive list of more specific examples of the computer readable storage medium includes the following: a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), a static random access memory (SRAM), a portable compact disc read-only memory (CD-ROM), a digital versatile disk (DVD), a memory stick, a floppy disk, a mechanically encoded device such as punch-cards or raised structures in a groove having instructions recorded thereon, and any suitable combination of the foregoing.", "A computer readable storage medium, as used herein, is not to be construed as being transitory signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through a waveguide or other transmission media (e.g., light pulses passing through a fiber-optic cable), or electrical signals transmitted through a wire.", "Computer readable program instructions described herein can be downloaded to respective computing/processing devices from a computer readable storage medium or to an external computer or external storage device via a network, for example, the Internet, a local area network, a wide area network and/or a wireless network.", "The network may comprise copper transmission cables, optical transmission fibers, wireless transmission, routers, firewalls, switches, gateway computers and/or edge servers.", "A network adapter card or network interface in each computing/processing device receives computer readable program instructions from the network and forwards the computer readable program instructions for storage in a computer readable storage medium within the respective computing/processing device.", "Computer readable program instructions for carrying out operations of the present invention may be assembler instructions, instruction-set-architecture (ISA) instructions, machine instructions, machine dependent instructions, microcode, firmware instructions, state-setting data, or either source code or object code written in any combination of one or more programming languages, including an object oriented programming language such as Smalltalk, C++ or the like, and conventional procedural programming languages, such as the “C” programming language or similar programming languages.", "The computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server.", "In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider).", "In some embodiments, electronic circuitry including, for example, programmable logic circuitry, field-programmable gate arrays (FPGA), or programmable logic arrays (PLA) may execute the computer readable program instructions by utilizing state information of the computer readable program instructions to personalize the electronic circuitry, in order to perform aspects of the present invention.", "Aspects of the present invention are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems), and computer program products according to embodiments of the invention.", "It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer readable program instructions.", "These computer readable program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks.", "These computer readable program instructions may also be stored in a computer readable storage medium that can direct a computer, a programmable data processing apparatus, and/or other devices to function in a particular manner, such that the computer readable storage medium having instructions stored therein comprises an article of manufacture including instructions which implement aspects of the function/act specified in the flowchart and/or block diagram block or blocks.", "The computer readable program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other device to cause a series of operational steps to be performed on the computer, other programmable apparatus or other device to produce a computer implemented process, such that the instructions which execute on the computer, other programmable apparatus, or other device implement the functions/acts specified in the flowchart and/or block diagram block or blocks.", "The flowchart and block diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods, and computer program products according to various embodiments of the present invention.", "In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of instructions, which comprises one or more executable instructions for implementing the specified logical function(s).", "In some alternative implementations, the functions noted in the block may occur out of the order noted in the Figures.", "For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved.", "It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts or carry out combinations of special purpose hardware and computer instructions.", "Cloud Computing It is to be understood that although this disclosure includes a detailed description on cloud computing, implementation of the teachings recited herein are not limited to a cloud computing environment.", "Rather, embodiments of the present invention are capable of being implemented in conjunction with any other type of computing environment now known or later developed.", "Cloud computing is a model of service delivery for enabling convenient, on-demand network access to a shared pool of configurable computing resources (e.g., networks, network bandwidth, servers, processing, memory, storage, applications, virtual machines, and services) that can be rapidly provisioned and released with minimal management effort or interaction with a provider of the service.", "This cloud model may include at least five characteristics, at least three service models, and at least four deployment models.", "Characteristics are as follows: On-demand self-service: a cloud consumer can unilaterally provision computing capabilities, such as server time and network storage, as needed automatically without requiring human interaction with the service's provider.", "Broad network access: capabilities are available over a network and accessed through standard mechanisms that promote use by heterogeneous thin or thick client platforms (e.g., mobile phones, laptops, and PDAs).", "Resource pooling: the provider's computing resources are pooled to serve multiple consumers using a multi-tenant model, with different physical and virtual resources dynamically assigned and reassigned according to demand.", "There is a sense of location independence in that the consumer generally has no control or knowledge over the exact location of the provided resources but may be able to specify location at a higher level of abstraction (e.g., country, state, or datacenter).", "Rapid elasticity: capabilities can be rapidly and elastically provisioned, in some cases automatically, to quickly scale out and rapidly released to quickly scale in.", "To the consumer, the capabilities available for provisioning often appear to be unlimited and can be purchased in any quantity at any time.", "Measured service: cloud systems automatically control and optimize resource use by leveraging a metering capability at some level of abstraction appropriate to the type of service (e.g., storage, processing, bandwidth, and active user accounts).", "Resource usage can be monitored, controlled, and reported, providing transparency for both the provider and consumer of the utilized service.", "Service Models are as follows: Software as a Service (SaaS): the capability provided to the consumer is to use the provider's applications running on a cloud infrastructure.", "The applications are accessible from various client devices through a thin client interface such as a web browser (e.g., web-based e-mail).", "The consumer does not manage or control the underlying cloud infrastructure including network, servers, operating systems, storage, or even individual application capabilities, with the possible exception of limited user-specific application configuration settings.", "Platform as a Service (PaaS): the capability provided to the consumer is to deploy onto the cloud infrastructure consumer-created or acquired applications created using programming languages and tools supported by the provider.", "The consumer does not manage or control the underlying cloud infrastructure including networks, servers, operating systems, or storage, but has control over the deployed applications and possibly application hosting environment configurations.", "Infrastructure as a Service (IaaS): the capability provided to the consumer is to provision processing, storage, networks, and other fundamental computing resources where the consumer is able to deploy and run arbitrary software, which can include operating systems and applications.", "The consumer does not manage or control the underlying cloud infrastructure but has control over operating systems, storage, deployed applications, and possibly limited control of select networking components (e.g., host firewalls).", "Deployment Models are as follows: Private cloud: the cloud infrastructure is operated solely for an organization.", "It may be managed by the organization or a third party and may exist on-premises or off-premises.", "Community cloud: the cloud infrastructure is shared by several organizations and supports a specific community that has shared concerns (e.g., mission, security requirements, policy, and compliance considerations).", "It may be managed by the organizations or a third party and may exist on-premises or off-premises.", "Public cloud: the cloud infrastructure is made available to the general public or a large industry group and is owned by an organization selling cloud services.", "Hybrid cloud: the cloud infrastructure is a composition of two or more clouds (private, community, or public) that remain unique entities but are bound together by standardized or proprietary technology that enables data and application portability (e.g., cloud bursting for load-balancing between clouds).", "A cloud computing environment is service oriented with a focus on statelessness, low coupling, modularity, and semantic interoperability.", "At the heart of cloud computing is an infrastructure that includes a network of interconnected nodes.", "Referring now to FIG.", "8, illustrative cloud computing environment 850 is depicted.", "As shown, cloud computing environment 850 includes one or more cloud computing nodes 810 with which local computing devices used by cloud consumers, such as, for example, personal digital assistant (PDA) or cellular telephone 854A, desktop computer 854B, laptop computer 854C, and/or automobile computer system 854N may communicate.", "Nodes 810 may communicate with one another.", "They may be grouped (not shown) physically or virtually, in one or more networks, such as Private, Community, Public, or Hybrid clouds as described hereinabove, or a combination thereof.", "This allows cloud computing environment 850 to offer infrastructure, platforms and/or software as services for which a cloud consumer does not need to maintain resources on a local computing device.", "It is understood that the types of computing devices 854A-N shown in FIG.", "8 are intended to be illustrative only and that computing nodes 810 and cloud computing environment 850 can communicate with any type of computerized device over any type of network and/or network addressable connection (e.g., using a web browser).", "Referring now to FIG.", "9, a set of functional abstraction layers provided by cloud computing environment 950 (FIG.", "9) is shown.", "It should be understood in advance that the components, layers, and functions shown in FIG.", "9 are intended to be illustrative only and embodiments of the invention are not limited thereto.", "As depicted, the following layers and corresponding functions are provided: Hardware and software layer 960 includes hardware and software components.", "Examples of hardware components include: mainframes 961; RISC (Reduced Instruction Set Computer) architecture based servers 962; servers 963; blade servers 964; storage devices 965; and networks and networking components 966.In some embodiments, software components include network application server software 967 and database software 968.Virtualization layer 970 provides an abstraction layer from which the following examples of virtual entities may be provided: virtual servers 971; virtual storage 972; virtual networks 973, including virtual private networks; virtual applications and operating systems 974; and virtual clients 975.In one example, management layer 980 may provide the functions described below.", "Resource provisioning 981 provides dynamic procurement of computing resources and other resources that are utilized to perform tasks within the cloud computing environment.", "Metering and Pricing 982 provide cost tracking as resources are utilized within the cloud computing environment, and billing or invoicing for consumption of these resources.", "In one example, these resources may include application software licenses.", "Security provides identity verification for cloud consumers and tasks, as well as protection for data and other resources.", "User portal 983 provides access to the cloud computing environment for consumers and system administrators.", "Service level management 984 provides cloud computing resource allocation and management such that required service levels are met.", "Service Level Agreement (SLA) planning and fulfillment 985 provides pre-arrangement for, and procurement of, cloud computing resources for which a future requirement is anticipated in accordance with an SLA.", "Workloads layer 990 provides examples of functionality for which the cloud computing environment may be utilized.", "Examples of workloads and functions which may be provided from this layer include: mapping and navigation 991; software development and lifecycle management 992; virtual classroom education delivery 993; data analytics processing 994; transaction processing 995; and data model relationship processing 996.The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed.", "Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments.", "The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.", "Improvements and modifications can be made to the foregoing without departing from the scope of the present invention." ] ]
Patent_15875806
[ [ "FTM PROTOCOL WITH ANGLE OF ARRIVAL AND ANGLE OF DEPARTURE", "Apparatuses and methods are disclosed for performing ranging operations between a first device and a second device.", "The first device may receive, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information.", "The first device may transmit a first FTM frame to the second device, may receive an acknowledgement (ACK) frame from the second device, and may transmit, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames." ], [ "1.A method of performing a ranging operation between a first device and a second device, comprising: receiving, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "2.The method of claim 1, wherein the request is embedded within a vendor specific information element (VSIE) of the FTM request frame.", "3.The method of claim 1, wherein the angle information comprises at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "4.The method of claim 1, wherein the timing information indicates a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "5.The method of claim 1, wherein the angle information is embedded within one member of the group consisting of a time of departure (TOD) field and a time of arrival (TOA) field of the second FTM frame.", "6.The method of claim 5, wherein the timing information is embedded within the other member of the group consisting of the TOD field and the TOA field of the second FTM frame.", "7.The method of claim 1, further comprising: embedding, into a beacon frame, information indicating whether the first device is capable of estimating angle of arrival (AoA) information or angle of departure (AoD) information; and broadcasting the beacon frame to at least the second device.", "8.The method of claim 1, further comprising: transmitting, to the second device, a response frame indicating a level of accuracy with which the first device is to estimate the angle information.", "9.The method of claim 8, wherein the response frame comprises the first FTM frame, and the indicated level of accuracy is embedded in an information element (IE) of the first FTM frame.", "10.The method of claim 1, further comprising: estimating an angle of arrival (AoA) of the ACK frame using a plurality of different antenna patterns of the first device.", "11.The method of claim 1, further comprising: estimating an angle of departure (AoD) of the first FTM frame using a plurality of different antenna patterns of the first device.", "12.A first device, comprising: one or more processors; and a memory configured to store instructions that, when executed by the one or more processors, causes the first device to: receive, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmit a first FTM frame to the second device; receive an acknowledgement (ACK) frame from the second device; and transmit, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "13.The first device of claim 12, wherein the request is embedded within a vendor specific information element (VSIE) of the FTM request frame.", "14.The first device of claim 12, wherein the angle information comprises at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "15.The first device of claim 12, wherein the timing information indicates a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "16.The first device of claim 12, wherein the angle information is embedded within one member of the group consisting of a time of departure (TOD) field and a time of arrival (TOA) field of the second FTM frame.", "17.The first device of claim 16, wherein the timing information is embedded within the other member of the group consisting of the TOD field and the TOA field of the second FTM frame.", "18.The first device of claim 12, wherein execution of the instructions further causes the first device to: embed, into a beacon frame, information indicating whether the first device is capable of estimating angle of arrival (AoA) information or angle of departure (AoD) information; and broadcast the beacon frame to at least the second device.", "19.The first device of claim 12, wherein execution of the instructions further causes the first device to: transmit, to the second device, a response frame indicating a level of accuracy the with which first device is to estimate the angle information.", "20.The first device of claim 19, wherein the response frame comprises the first FTM frame, and the indicated level of accuracy is embedded in an information element (IE) of the first FTM frame.", "21.The first device of claim 12, wherein execution of the instructions further causes the first device to: estimate an angle of arrival (AoA) of the ACK frame using a plurality of different antenna patterns of the first device.", "22.The first device of claim 12, wherein execution of the instructions further causes the first device to: estimate an angle of departure (AoD) of the first FTM frame using a plurality of different antenna patterns of the first device.", "23.A non-transitory computer-readable storage medium comprising one or more programs containing instructions that, when executed by one or more processors of a first device, cause the first device to perform a ranging operation with a second device by performing operations comprising: receiving, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "24.The non-transitory computer-readable storage medium of claim 23, wherein the angle information comprises at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "25.The non-transitory computer-readable storage medium of claim 23, wherein the timing information indicates a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "26.The non-transitory computer-readable storage medium of claim 23, wherein the angle information is embedded within one member of the group consisting of a time of departure (TOD) field and a time of arrival (TOA) field of the second FTM frame.", "27.The non-transitory computer-readable storage medium of claim 26, wherein the timing information is embedded within the other member of the group consisting of the TOD field and the TOA field of the second FTM frame.", "28.The non-transitory computer-readable storage medium of claim 23, wherein execution of the instructions causes the first device to perform operations further comprising: estimating an angle of arrival (AoA) of the ACK frame using a plurality of different antenna patterns of the first device.", "29.The non-transitory computer-readable storage medium of claim 23, wherein execution of the instructions causes the first device to perform operations further comprising: estimating an angle of departure (AoD) of the first FTM frame using a plurality of different antenna patterns of the first device.", "30.A first device, comprising: means for receiving, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; means for transmitting a first FTM frame to the second device; means for receiving an acknowledgement (ACK) frame from the second device; and means for transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames." ], [ "<SOH> BACKGROUND OF RELATED ART <EOH>The recent proliferation of Wi-Fig access points in wireless local area networks (WLANs) has made it possible for positioning systems to use these access points for position determination, especially in areas where there are a large concentration of active Wi-Fi access points (e.g., urban cores, shopping centers, office buildings, sporting venues, and so on).", "For example, a wireless device such as a cell phone or tablet computer may use the round trip time (RTT) of signals exchanged with an access point (AP) to determine the distance between the wireless device and the AP.", "Once the distances between the wireless device and three APs having known locations are determined, the location of the wireless device may be determined using trilateration techniques.", "It would be desirable to determine the location of a wireless device using a fewer number of other devices as reference points without sacrificing accuracy." ], [ "<SOH> SUMMARY <EOH>This Summary is provided to introduce in a simplified form a selection of concepts that are further described below in the Detailed Description.", "This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to limit the scope of the claimed subject matter.", "One innovative aspect of the subject matter described in this disclosure can be implemented as a method for performing a ranging operation between a first device and a second device.", "The method may include receiving, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "The angle information may include at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "The timing information may indicate a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "In some aspects, the request may be embedded within a vendor specific information element (VSIE) of the FTM request frame.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a wireless network to perform ranging operations between a first device and a second device.", "In some implementations, the first device may include one or more processors and a memory configured to store instructions.", "The instructions, when executed by the one or more processors, may cause the first device to receive, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmit a first FTM frame to the second device; receive an acknowledgement (ACK) frame from the second device; and transmit, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a non-transitory computer-readable storage medium.", "The non-transitory computer-readable storage medium may store instructions that, when executed by one or more processors of a first device, cause the first device to perform a number of operations.", "In some implementations, the number of operations may include receiving, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a first device.", "In some implementations, the first device may include means for receiving, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; means for transmitting a first FTM frame to the second device; means for receiving an acknowledgement (ACK) frame from the second device; and means for transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application claims priority to U.S. patent application Ser.", "No.", "15/013,304 entitled “FTM Protocol with Angle of Arrival and Angle of Departure” filed Feb. 2, 2016, which claims priority to U.S.", "Provisional Patent Application No.", "62/114,479 entitled “FTM Protocol with Angle of Arrival and Angle of Departure” filed Feb. 10, 2015, the entireties of both of which are incorporated by reference herein.", "TECHNICAL FIELD The example embodiments relate generally to wireless networks, and specifically to ranging operations performed between wireless devices.", "BACKGROUND OF RELATED ART The recent proliferation of Wi-Fig access points in wireless local area networks (WLANs) has made it possible for positioning systems to use these access points for position determination, especially in areas where there are a large concentration of active Wi-Fi access points (e.g., urban cores, shopping centers, office buildings, sporting venues, and so on).", "For example, a wireless device such as a cell phone or tablet computer may use the round trip time (RTT) of signals exchanged with an access point (AP) to determine the distance between the wireless device and the AP.", "Once the distances between the wireless device and three APs having known locations are determined, the location of the wireless device may be determined using trilateration techniques.", "It would be desirable to determine the location of a wireless device using a fewer number of other devices as reference points without sacrificing accuracy.", "SUMMARY This Summary is provided to introduce in a simplified form a selection of concepts that are further described below in the Detailed Description.", "This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to limit the scope of the claimed subject matter.", "One innovative aspect of the subject matter described in this disclosure can be implemented as a method for performing a ranging operation between a first device and a second device.", "The method may include receiving, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "The angle information may include at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "The timing information may indicate a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "In some aspects, the request may be embedded within a vendor specific information element (VSIE) of the FTM request frame.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a wireless network to perform ranging operations between a first device and a second device.", "In some implementations, the first device may include one or more processors and a memory configured to store instructions.", "The instructions, when executed by the one or more processors, may cause the first device to receive, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmit a first FTM frame to the second device; receive an acknowledgement (ACK) frame from the second device; and transmit, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a non-transitory computer-readable storage medium.", "The non-transitory computer-readable storage medium may store instructions that, when executed by one or more processors of a first device, cause the first device to perform a number of operations.", "In some implementations, the number of operations may include receiving, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; transmitting a first FTM frame to the second device; receiving an acknowledgement (ACK) frame from the second device; and transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "Another innovative aspect of the subject matter described in this disclosure can be implemented in a first device.", "In some implementations, the first device may include means for receiving, from a second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information; means for transmitting a first FTM frame to the second device; means for receiving an acknowledgement (ACK) frame from the second device; and means for transmitting, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames.", "BRIEF DESCRIPTION OF THE DRAWINGS The example embodiments are illustrated by way of example and are not intended to be limited by the figures of the accompanying drawings.", "Like numbers reference like elements throughout the drawings and specification.", "FIG.", "1 is a block diagram of a WLAN system within which the example embodiments may be implemented.", "FIG.", "2 is a block diagram of a wireless device in accordance with example embodiments.", "FIG.", "3 shows a signal diagram of an example ranging operation.", "FIG.", "4 shows a signal diagram of another example ranging operation.", "FIG.", "5A shows a signal diagram of a ranging operation in accordance with example embodiments.", "FIG.", "5B shows a sequence diagram depicting the ranging operation of FIG.", "5A in accordance with example embodiments.", "FIG.", "6 depicts an example FTM frame in accordance with example embodiments.", "FIG.", "7A depicts an example angle of arrival field of the FTM frame of FIG.", "6, in accordance with example embodiments.", "FIG.", "7B depicts an example angle of departure field of the FTM frame of FIG.", "6, in accordance with example embodiments.", "FIG.", "8 depicts another example FTM frame in accordance with example embodiments.", "FIG.", "9 depicts an example angle of arrival and angle of departure field of the FTM frame of FIG.", "8, in accordance with example embodiments.", "FIG.", "10 depicts a coordinate system for use with example embodiments.", "FIG.", "11 shows an illustrative flowchart depicting an example ranging operation in accordance with example embodiments.", "FIG.", "12 shows an illustrative flowchart depicting another example ranging operation in accordance with example embodiments.", "DETAILED DESCRIPTION The example embodiments are described below in the context of ranging operations performed by and between Wi-Fi enabled devices for simplicity only.", "It is to be understood that the example embodiments are equally applicable for performing ranging operations using signals of other various wireless standards or protocols, and for performing ranging operations between various devices (e.g., between a STA and a wireless AP, between APs, between STAs, and so on).", "Thus, although the example embodiments are described below in the context of a WLAN system, the example embodiments are equally applicable to other wireless networks (e.g., cellular networks, pico networks, femto networks, satellite networks), as well as for systems using signals of one or more wired standards or protocols (e.g., Ethernet and/or HomePlug/PLC standards).", "As used herein, the terms WLAN and Wi-Fi may include communications governed by the IEEE 802.11 standards, Bluetooth, HiperLAN (a set of wireless standards, comparable to the IEEE 802.11 standards, used primarily in Europe), and other technologies having relatively short radio propagation range.", "Thus, the terms “WLAN” and “Wi-Fi” may be used interchangeably herein.", "In addition, although described below in terms of an infrastructure WLAN system including one or more APs and a number of STAs, the example embodiments are equally applicable to other WLAN systems including, for example, multiple WLANs, Independent Basic Service Set (IBSS) systems, peer-to-peer systems (e.g., operating according to the Wi-Fi Direct protocols), and/or Hotspots.", "In addition, although described herein in terms of exchanging data frames between wireless devices, the example embodiments may be applied to the exchange of any data unit, packet, frame, and/or signal between wireless devices.", "Thus, the term “frame” may include any signal, frame, packet, or data unit such as, for example, protocol data units (PDUs), media access control (MAC) protocol data units (MPDUs), and physical layer convergence procedure protocol data units (PPDUs).", "The term “A-MPDU” may refer to aggregated MPDUs.", "As used herein, the term “time value” may refer to a difference in time between a time of departure (TOD) of one frame from a given device and a time of arrival (TOA) of another frame at the given device.", "Further, the term “angle information” may refer to information indicating a direction of one device relative to another device and/or to information from which the direction of one device relative to another device may be derived.", "The terminology used herein is for the purpose of describing particular aspects only and is not intended to be limiting of the aspects.", "As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise.", "It will be further understood that the terms “comprises,” “comprising,” “includes” or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or groups thereof.", "Moreover, it is understood that the word “or” has the same meaning as the Boolean operator “OR,” that is, it encompasses the possibilities of “either” and “both” and is not limited to “exclusive or” (“XOR”), unless expressly stated otherwise.", "It is also understood that the symbol “I” between two adjacent words has the same meaning as “or” unless expressly stated otherwise.", "In the following description, numerous specific details are set forth such as examples of specific components, circuits, and processes to provide a thorough understanding of this disclosure.", "Also, in the following description and for purposes of explanation, specific nomenclature is set forth to provide a thorough understanding of the example embodiments.", "However, it will be apparent to one skilled in the art that these specific details may not be required to practice the example embodiments.", "In other instances, well-known circuits and devices are shown in block diagram form to avoid obscuring the present disclosure.", "The term “coupled” as used herein means connected directly to or connected through one or more intervening components or circuits.", "Any of the signals provided over various buses described herein may be time-multiplexed with other signals and provided over one or more common buses.", "Additionally, the interconnection between circuit elements or software blocks may be shown as buses or as single signal lines.", "Each of the buses may alternatively be a single signal line, and each of the single signal lines may alternatively be buses, and a single line or bus might represent any one or more of a myriad of physical or logical mechanisms for communication between components.", "The example embodiments are not to be construed as limited to specific examples described herein but rather to include within their scopes all embodiments defined by the appended claims.", "The techniques described herein may be implemented in hardware, software, firmware, or any combination thereof, unless specifically described as being implemented in a specific manner Any features described as modules or components may also be implemented together in an integrated logic device or separately as discrete but interoperable logic devices.", "If implemented in software, the techniques may be realized at least in part by a non-transitory processor-readable storage medium comprising instructions that, when executed, performs one or more of the methods described above.", "The non-transitory processor-readable data storage medium may form part of a computer program product, which may include packaging materials.", "The non-transitory processor-readable storage medium may comprise random access memory (RAM) such as synchronous dynamic random access memory (SDRAM), read only memory (ROM), non-volatile random access memory (NVRAM), electrically erasable programmable read-only memory (EEPROM), FLASH memory, other known storage media, and the like.", "The techniques additionally, or alternatively, may be realized at least in part by a processor-readable communication medium that carries or communicates code in the form of instructions or data structures and that may be accessed, read, and/or executed by a computer or other processor.", "The various illustrative logical blocks, modules, circuits and instructions described in connection with the embodiments disclosed herein may be executed by one or more processors, such as one or more digital signal processors (DSPs), general purpose microprocessors, application specific integrated circuits (ASICs), application specific instruction set processors (ASIPs), field programmable gate arrays (FPGAs), or other equivalent integrated or discrete logic circuitry.", "The term “processor,” as used herein may refer to any of the foregoing structure or any other structure suitable for implementation of the techniques described herein.", "In addition, in some aspects, the functionality described herein may be provided within dedicated software modules or hardware modules configured as described herein.", "Also, the techniques could be fully implemented in one or more circuits or logic elements.", "A general purpose processor may be a microprocessor, but in the alternative, the processor may be any conventional processor, controller, microcontroller, or state machine.", "A processor may also be implemented as a combination of computing devices (e.g., a combination of a DSP and a microprocessor), a plurality of microprocessors, one or more microprocessors in conjunction with a DSP core, or any other suitable configuration.", "FIG.", "1 is a block diagram of a wireless system 100 within which the example embodiments may be implemented.", "The wireless system 100 is shown to include four wireless stations STA1-STA4, a wireless access point (AP) 110, and a wireless local area network (WLAN) 120.The WLAN 120 may be formed by a plurality of Wi-Fi access points (APs) that may operate according to the IEEE 802.11 family of standards (or according to other suitable wireless protocols).", "Thus, although only one AP 110 is shown in FIG.", "1 for simplicity, it is to be understood that WLAN 120 may be formed by any number of access points such as AP 110.The AP 110 is assigned a unique media access control (MAC) address that is programmed therein by, for example, the manufacturer of the access point.", "Similarly, each of stations STA1-STA4 is also assigned a unique MAC address.", "For some embodiments, the wireless system 100 may correspond to a multiple-input multiple-output (MIMO) wireless network, and may support single-user MIMO (SU-MIMO) and multi-user (MU-MIMO) communications.", "Further, although the WLAN 120 is depicted in FIG.", "1 as an infrastructure BSS, for other example embodiments, WLAN 120 may be an IBSS, an ad-hoc network, or a peer-to-peer (P2P) network (e.g., operating according to the Wi-Fi Direct protocols).", "Each of stations STA1-STA4 may be any suitable Wi-Fi enabled wireless device including, for example, a cell phone, personal digital assistant (PDA), tablet device, laptop computer, or the like.", "Each of stations STA1-STA4 may also be referred to as a user equipment (UE), a subscriber station, a mobile unit, a subscriber unit, a wireless unit, a remote unit, a mobile device, a wireless device, a wireless communications device, a remote device, a mobile subscriber station, an access terminal, a mobile terminal, a wireless terminal, a remote terminal, a handset, a user agent, a mobile client, a client, or some other suitable terminology.", "For at least some embodiments, each of stations STA1-STA4 may include one or more transceivers, one or more processing resources (e.g., processors and/or ASICs), one or more memory resources, and a power source (e.g., a battery).", "The memory resources may include a non-transitory computer-readable medium (e.g., one or more nonvolatile memory elements, such as EPROM, EEPROM, Flash memory, a hard drive, etc.)", "that stores instructions for performing operations described below with respect to FIGS.", "5A, 5B, 11, and 12.The AP 110 may be any suitable device that allows one or more wireless devices to connect to a network (e.g., a local area network (LAN), wide area network (WAN), metropolitan area network (MAN), and/or the Internet) via AP 110 using Wi-Fi, Bluetooth, or any other suitable wireless communication standards.", "For at least one embodiment, AP 110 may include one or more transceivers, one or more processing resources (e.g., processors and/or ASICs), one or more memory resources, and a power source.", "The memory resources may include a non-transitory computer-readable medium (e.g., one or more nonvolatile memory elements, such as EPROM, EEPROM, Flash memory, a hard drive, etc.)", "that stores instructions for performing operations described below with respect to FIGS.", "5A, 5B, 11, and 12.For the stations STA1-STA4 and/or AP 110, the one or more transceivers may include Wi-Fi transceivers, Bluetooth transceivers, cellular transceivers, and/or other suitable radio frequency (RF) transceivers (not shown for simplicity) to transmit and receive wireless communication signals.", "Each transceiver may communicate with other wireless devices in distinct operating frequency bands and/or using distinct communication protocols.", "For example, the Wi-Fi transceiver may communicate within a 2.4 GHz frequency band, within a 5 GHz frequency band in accordance with the IEEE 802.11 specification, and/or within a 60 GHz frequency band.", "The cellular transceiver may communicate within various RF frequency bands in accordance with a 4G Long Term Evolution (LTE) protocol described by the 3rd Generation Partnership Project (3GPP) (e.g., between approximately 700 MHz and approximately 3.9 GHz) and/or in accordance with other cellular protocols (e.g., a Global System for Mobile (GSM) communications protocol).", "In other embodiments, the transceivers included within each of the stations STA1-STA4 may be any technically feasible transceiver such as a ZigBee transceiver described by a specification from the ZigBee specification, a WiGig transceiver, and/or a HomePlug transceiver described a specification from the HomePlug Alliance.", "For at least some embodiments, each of the stations STA1-STA4 and AP 110 may include radio frequency (RF) ranging circuitry (e.g., formed using well-known software modules, hardware components, and/or a suitable combination thereof) that may be used to estimate the distance between itself and another Wi-Fi enabled device and to determine the location of itself, relative to one or more other wireless devices, using ranging techniques described herein.", "In addition, each of the stations STA1-STA4 and/or AP 110 may include a local memory (not shown in FIG.", "1 for simplicity) to store a cache of Wi-Fi access point and/or station data.", "For at least some embodiments, ranging operations described herein may be performed without using the AP 110, for example, by having a number of the stations operating in an ad-hoc or peer-to-peer mode, thereby allowing the stations to range one another even when outside the reception range of AP 110 or a visible WLAN (or other wireless network).", "In addition, for at least some example embodiments, ranging operations described herein may be performed between two APs that are in wireless range of each other.", "FIG.", "2 shows a wireless device 200 that may be one embodiment of the stations STA1-STA4 and/or AP 110 of FIG.", "1.The wireless device 200 may include a PHY device 210 including at least a number of transceivers 211 and a baseband processor 212, may include a MAC 220 including at least a number of contention engines 221 and frame formatting circuitry 222, may include a processor 230, may include a memory 240, and may include a number of antennas 250(1)-250(n).", "The transceivers 211 may be coupled to antennas 250(1)-250(n), either directly or through an antenna selection circuit (not shown for simplicity).", "The transceivers 211 may be used to transmit signals to and receive signals from AP 110, other stations, and/or other suitable wireless devices (see also FIG.", "1), and may be used to scan the surrounding environment to detect and identify nearby access points and other wireless devices (e.g., within wireless range of wireless device 200).", "Although not shown in FIG.", "2 for simplicity, the transceivers 211 may include any number of transmit chains to process and transmit signals to other wireless devices via antennas 250(1)-250(n), and may include any number of receive chains to process signals received from antennas 250(1)-250(n).", "Thus, for example embodiments, the wireless device 200 may be configured for MIMO operations.", "The MIMO operations may include SU-MIMO operations and/or MU-MIMO operations.", "The baseband processor 212 may be used to process signals received from processor 230 and/or memory 240 and to forward the processed signals to transceivers 211 for transmission via one or more of antennas 250(1)-250(n), and may be used to process signals received from one or more of antennas 250(1)-250(n) via transceivers 211 and to forward the processed signals to processor 230 and/or memory 240.For purposes of discussion herein, MAC 220 is shown in FIG.", "2 as being coupled between PHY device 210 and processor 230.For actual embodiments, PHY device 210, MAC 220, processor 230, and/or memory 240 may be connected together using one or more buses (not shown for simplicity).", "The contention engines 221 may contend for access to one or more shared wireless mediums, and may also store packets for transmission over the one or more shared wireless mediums.", "For other embodiments, the contention engines 221 may be separate from MAC 220.For still other embodiments, the contention engines 221 may be implemented as one or more software modules (e.g., stored in memory 240 or stored in memory provided within MAC 220) containing instructions that, when executed by processor 230, perform the functions of contention engines 221.The frame formatting circuitry 222 may be used to create and/or format frames received from processor 230 and/or memory 240 (e.g., by adding MAC headers to PDUs provided by processor 230), and may be used to re-format frames received from PHY device 210 (e.g., by stripping MAC headers from frames received from PHY device 210).", "Memory 240 includes a Wi-Fi database 241 that may store location data, configuration information, data rates, MAC addresses, and other suitable information about (or pertaining to) a number of access points, stations, and/or other wireless devices.", "The Wi-Fi database 241 may also store profile information for a number of wireless devices.", "The profile information for a given wireless device may include information including, for example, the wireless device's service set identification (SSID), channel information, received signal strength indicator (RSSI) values, goodput values, channel state information (CSI), and connection history with wireless device 200.Memory 240 may also include a non-transitory computer-readable medium (e.g., one or more nonvolatile memory elements, such as EPROM, EEPROM, Flash memory, a hard drive, and so on) that may store the following software (SW) modules: a ranging SW module 242 to determine RTT values and/or to estimate the distance between wireless device 200 and one or more other devices, for example, as described below for one or more operations of FIGS.", "5A, 5B, 11, and 12; an angle determination SW module 243 to determine angle of arrival (AoA) information of signals received by wireless device 200 and/or to determine angle of departure (AoD) information of signals transmitted from wireless device 200, for example, as described below for one or more operations of FIGS.", "5A, 5B, 11, and 12; a timestamp SW module 244 to capture timestamps of signals received by wireless device 200 (e.g., TOA information) and/or to capture timestamps of signals transmitted from wireless device 200 (e.g., TOD information), for example, as described below for one or more operations of FIGS.", "5A, 5B, 11, and 12; a frame formation and exchange SW module 245 to create, send, and/or receive frames or packets and/or to embed TOA information, TOD information, AoA information, and/or AoD information into selected frames or packets, for example, as described below for one or more operations of FIGS.", "5A, 5B, 11, and 12; and a positioning SW module 246 to determine the location of wireless device 200 based on the distances determined by the ranging SW module 242 and/or the angle information determined by the angle determination SW module 243, for example, as described below for one or more operations of FIGS.", "5A, 5B, 11, and 12.Each software module includes instructions that, when executed by processor 230, cause the wireless device 200 to perform the corresponding functions.", "The non-transitory computer-readable medium of memory 240 thus includes instructions for performing all or a portion of the operations of 5A, 5B, 11, and 12.Processor 230, which is coupled to PHY 210, MAC 220, and memory 240, may be one or more suitable processors capable of executing scripts or instructions of one or more software programs stored in wireless device 200 (e.g., within memory 240).", "For example, processor 230 may execute the ranging SW module 242 to determine RTT values and/or to estimate the distance between wireless device 200 and one or more other devices.", "Processor 230 may execute the angle determination SW module 243 to determine AoA information of signals received by wireless device 200 and/or to determine AoD information of signals transmitted from wireless device 200.Processor 230 may execute the timestamp SW module 244 to capture timestamps of signals received by wireless device 200 (e.g., TOA information) and/or to capture timestamps of signals transmitted from wireless device 200 (e.g., TOD information).", "Processor 230 may execute the frame formation and exchange SW module 245 to create, send, and/or receive frames or packets and/or to embed TOA information, TOD information, AoA information, and/or AoD information into selected frames or packets.", "Processor 230 may execute the positioning SW module 246 to determine the location of wireless device 200 based on the distances determined by the ranging SW module 242, the angle information determined by the angle determination SW module 243, and/or other suitable information indicative of the position of wireless device 200 relative to one or more other devices.", "As mentioned above, the distance between a pair of devices may be determined using the RTT of signals exchanged between the devices.", "For example, FIG.", "3 shows a signal diagram of an example ranging operation 300 between a first device D1 and a second device D2.The distance (d) between the first device D1 and the second device D2 may be estimated as d=c*RTT/2, where c is the speed of light, and RTT is the summation of the actual signal propagation times of a request (REQ) frame and an acknowledgement (ACK) frame exchanged between device D1 and device D2.Device D1 and device D2 may each be, for example, an access point (e.g., AP 110 of FIG.", "1), a station (e.g., one of stations STA1-STA4 of FIG.", "1), or another suitable wireless device (e.g., wireless device 200 of FIG.", "2).", "More specifically, device D2 may estimate the RTT between itself and device D1 using the time of departure (TOD) of the REQ frame transmitted from device D2, the time of arrival (TOA) of the ACK frame received by device D2, and the SIFS duration of device D1.The SIFS duration, which stands for the short interframe space duration, indicates the duration of time between device D1 receiving the REQ frame and transmitting the ACK frame.", "The SIFS duration, a range of values for which are provided by the IEEE 802.11 standards, provides Wi-Fi enabled devices time to switch their transceivers from a receive mode (e.g., to receive the REQ frame) to a transmit mode (e.g., to transmit the ACK frame).", "Because different make-and-models (and sometimes even same make-and-models) of communication devices have different processing delays, the precise value of SIFS may vary between devices (and even between successive frame receptions/transmissions in the same device).", "As a result, the value of SIFS is typically estimated, which often leads to errors in estimating the distance between two devices.", "More specifically, the IEEE 802.11 standards define the SIFS duration as 10 us+/−900 ns at 2.4 GHz, 16 us+/−900 ns at 5 GHz, and 3 us+/−900 ns at 60 GHz.", "These “standard” SIFS durations include tolerances that may decrease the accuracy of RTT estimates.", "For example, even if the SIFS duration of device D1 may be estimated within +/−25 ns, a ranging error of +/−7.5 meters may result (which may be unacceptable for many positioning systems).", "To reduce ranging errors resulting from uncertainties in the value of SIFS, recent revisions to the IEEE 802.11 standards call for each ranging device to capture timestamps of incoming and outgoing frames so that the value of RTT may be determined without using SIFS.", "For example, FIG.", "4 shows a signal diagram of an example ranging operation 400 between device D1 and device D2 performed using Fine Timing Measurement (FTM) frames in accordance with the IEEE 802.11REVmc standards.", "Device D1 and device D2 may each be, for example, an access point (e.g., AP 110 of FIG.", "1), a station (e.g., one of stations STA1-STA4 of FIG.", "1), or other suitable wireless device (e.g., wireless device 200 of FIG.", "2).", "For the example of FIG.", "4, device D2 requests the ranging operation; thus, device D2 is the initiator device (or alternatively the requestor device) and device D1 is the responder device.", "Note that the term “initiator device” may also refer to an initiator STA, and the term “responder device” may also refer to a responder STA.", "Device D2 may request or initiate the ranging operation by transmitting an FTM request (FTM_REQ) frame to device D1.The FTM_REQ frame may also include a request for device D1 to capture timestamps (e.g., TOA information) of frames received by device D1 and to capture timestamps (e.g., TOD information) of frames transmitted from device D1.Device D1 receives the FTM_REQ frame, and may acknowledge the requested ranging operation by transmitting an acknowledgement (ACK) frame to device D2.The ACK frame may indicate whether device D1 is capable of capturing the requested timestamps.", "It is noted that the exchange of the FTM_REQ frame and the ACK frame is a handshake process that not only signals an intent to perform a ranging operation but also allows devices D1 and D2 to determine whether each other supports capturing timestamps.", "At time ta1, device D1 transmits a first FTM (FTM_1) frame to device D2, and may capture the TOD of the FTM_1 frame as time ta1.Device D2 receives the FTM_1 frame at time ta2, and may capture the TOA of the FTM_1 frame as time ta2.Device D2 responds by transmitting an ACK frame to device D1 at time ta3, and may capture the TOD of the ACK frame as time ta3.Device D1 receives the ACK frame at time ta4, and may capture the TOA of the ACK frame at time ta4.At time tb1, device D1 transmits to device D2 a second FTM (FTM_2) frame that includes the timestamps captured at times ta1 and ta4 (e.g., the TOD of the FTM_1 frame and the TOA of the ACK frame).", "Device D2 receives the FTM_2 frame at time tb2, and may capture its timestamp as time tb2.Device D2 transmits an ACK frame to device D1 at time tb3.Device D1 receives the ACK frame at time tb4.This process may continue for any number of subsequent FTM and ACK frame exchanges between devices D1 and D2, for example, where device D1 embeds the timestamps of a given FTM and ACK frame exchange into a subsequent FTM frame transmitted to device D2.Upon receiving the FTM_2 frame at time tb2, device D2 has timestamp values for times ta1, ta2, ta3, and ta4 that correspond to the TOD of the FTM_1 frame transmitted from device D1, the TOA of the FTM_1 frame at device D2, the TOD of the ACK frame transmitted from device D2, and the TOA of the ACK frame at device D1, respectively.", "Thereafter, device D2 may determine RTT as (ta4−ta3)+(ta2−ta1).", "Because the RTT estimate does not involve estimating SIFS for either device D1 or device D2, the RTT estimate does not involve errors resulting from uncertainties of SIFS durations.", "Consequently, the accuracy of the resulting estimate of the distance between devices D1 and D2 is improved (e.g., as compared to the ranging operation 300 of FIG.", "3).", "A device may perform this ranging operation with at least three other devices having known locations, and use known trilateration techniques to estimate its location.", "Note that the example ranging operation 400 may continue.", "For example, device D2 may transmit an ACK frame to device D1 at time tb3 (e.g., to acknowledge reception of the FTM_2 frame).", "Device D1 receives the ACK frame at time tm, and may record the TOA of the ACK frame as time tm.", "Device D1 may embed another time value in an FTM_3 frame, and then transmit the FTM_3 frame to device D2 at time tc1.The time value embedded in the FTM_3 frame may indicate a difference time value equal to tb4−tb1.While RTT techniques may be used to determine a given device's location relative to another device, the given device may need to perform ranging operations with three other devices to determine its actual position.", "More specifically, performing ranging operation 400 with three other devices may involve three separate exchanges of FTM frames (with the three other devices), which not only consumes valuable time but also consumes limited bandwidth of a shared wireless medium.", "These are at least some of the technical problems to be solved by the example embodiments.", "Two measurements that may be used in addition to RTT information to determine the relative positions of two wireless devices are the angle of arrival (AoA) of signals received by the devices and the angle of departure (AoD) of signals transmitted by the devices.", "For example, if a second device has RTT information between itself and a first device, then the second device may estimate the distance between itself and the first device, as described above with respect to FIG.", "4.If the second device also has AoA information and/or AoD information for frames exchanged with the first device, then the second device may determine a direction of itself relative to the first device (e.g., an angle between the first and second devices relative to a reference line or direction).", "The second device may then use the determined direction and the RTT information to estimate its position relative to the first device.", "Further, if the position of the first device is known by the second device, then the second device may determine its actual position using the known position of the first device, the distance between the first and second devices, and the direction of the second device relative to the first device.", "Accordingly, it would be advantageous to exchange AoA information and/or AoD information during ranging operations, for example, so that a wireless device may estimate its actual position using the known position of only one other wireless device.", "Thus, example apparatuses and methods are disclosed that may allow AoA information and/or AoD information to be exchanged between wireless devices during ranging operations without additional frame exchanges.", "More specifically, for at least some embodiments, a first device may determine AoA information and/or AoD information of frames exchanged with a second device during a ranging operation, and then embed the determined AoA information and/or AoD information in a subsequent FTM frame transmitted to the second device.", "In this manner, the second device may use the estimated distance to the first device, the direction of the first device relative to the second device, and a known location of the first device to determine the actual position of the second device.", "These and other details of the example embodiments, which provide one or more technical solutions to the aforementioned technical problems, are described in more detail below.", "FIG.", "5A shows a signal diagram of a ranging operation 500 between a first device D1 and a second device D2 in accordance with example embodiments, and FIG.", "5B shows a sequence diagram 510 depicting the example ranging operation 500 of FIG.", "5A.", "Device D1 and device D2 may each be, for example, an access point (e.g., AP 110 of FIG.", "1), a station (e.g., one of stations STA1-STA4 of FIG.", "1), or another suitable wireless device (e.g., wireless device 200 of FIG.", "2).", "As the initiator device, device D2 may transmit, to device D1, a request to perform a ranging operation and to indicate its capabilities (512).", "The requested capabilities may include, for example, the ability to estimate AoA and AoD information and/or the ability to capture timestamps.", "For some implementations, the request may be an FTM_REQ frame, as depicted in FIG.", "5A.", "The FTM_REQ frame may include a request for device D1 to estimate AoA and/or AoD information.", "The FTM_REQ frame may also request a level of accuracy for the estimated AoA and/or AoD information.", "Device D1 may receive the request to perform the ranging operation and to indicate its capabilities to device D2 (513).", "As the responder device, device D1 may respond to the request by transmitting a response to device D2 (514).", "For some implementations, the response may be an ACK frame, as depicted in FIG.", "5A.", "The ACK frame may indicate whether device D1 is capable of capturing timestamps, may indicate whether device D1 is capable of estimating AoA and AoD information, and/or may indicate a level of accuracy for the estimated AoA and AoD information.", "Device D2 may receive the response from device D1, and decode the capabilities (if any) provided by device D1 (515).", "After the above handshake process, devices D1 and D2 may exchange FTM frames to perform the ranging operation 500.At time ta1, device D1 transmits an FTM_1 frame to device D2, records the TOD of the FTM_1 frame as time ta1, and may determine the AoD of the FTM_1 frame (denoted in FIG.", "5A as AoDa) (516).", "Device D2 receives the FTM_1 frame from device D1 at time ta2, and records the TOA of the FTM_1 frame as time to (517).", "Device D2 then transmits an ACK frame to device D1 at time ta3, and records the TOD of the ACK frame as time ta3 (518).", "Device D1 receives the ACK frame from device D2 at time ta4, records the TOA of the ACK frame as time ta4, and may determine the AoA of the ACK frame (denoted in FIG.", "5A as AoAa) (519).", "Then, device D1 may embed a time value and angle information in an FTM_2 frame, and transmit the FTM_2 frame to device D2 at time tb1 (520).", "The time value (tvalue) may indicate a difference in time between the TOA of the ACK frame received at device D1 and the TOD of the FTM _1 frame transmitted from device D1 (e.g., tvalue=ta4−ta1).", "The angle information, which may indicate a direction of device D2 relative to device D1, may include the AoA of the ACK frame received at device D1 (e.g., AoAa) and/or the AoD of the FTM_1 frame transmitted from device D1 (e.g., AoDa).", "Note that although device D1 is depicted in FIG.", "5A as transmitting a difference time value (ta4−ta1), for other embodiments, device D1 may instead embed the timestamps ta1 and ta4 in the FTM_2 frame.", "In some aspects, device D1 may record the TOD of the FTM_2 frame as time tb1, and may determine the AoD of the FTM_2 frame (denoted in FIG.", "5A as AoDb).", "Device D2 receives the FTM_2 frame at time tb2, and decodes the embedded time value and angle information (521).", "At this point, device D2 has sufficient information to estimate its location relative to device D1.More specifically, device D2 may estimate that it is a distance d=c*RTT/2 from device D1 along a direction indicated by the angle information embedded within the FTM_2 frame, where RTT=(ta4−ta3)+(ta2−ta1) (522).", "Because device D2 may estimate the distance to device D1 (e.g., based on the RTT value) as well as its direction relative to device D1 (e.g., based on the AoA and/or AoD information embedded in the FTM_2 frame), device D2 may estimate its location relative to device D2.If the actual location of device D1 is known, then device D2 may determine its actual location based on the known location of device D1 and its location relative to device D1.For example, if device D1 is an access point and device D2 is a station, then device D2 may retrieve the location of device D1 (e.g., from a local memory such as the Wi-Fi database 241 of FIG.", "2 and/or from any suitable service that stores location information for actively deployed access points).", "Thereafter, device D2 may determine its actual location using the location of device D2 relative to device D1, the determined distance between device D1 and device D2, and the known location of device D1.Referring again to FIG.", "5A, device D2 may transmit an ACK frame to device D1 at time tb3 (e.g., to acknowledge reception of the FTM_2 frame).", "Device D1 receives the ACK frame at time tb4, may record the TOA of the ACK frame as time tb4, and may determine the AoA of the ACK frame (denoted in FIG.", "5A as AoAb).", "Device D1 may embed another time value and angle information in an FTM_3 frame, and then transmit the FTM_3 frame to device D2 at time tc1.The time value embedded in the FTM_3 frame may indicate a difference time value equal to tb4−tb1, and the angle information embedded in the FTM_3 frame may include the angle information values AoAb and/or AoDb.", "Thus, as described above, the example embodiments may allow a first wireless device to determine AoA and/or AoD information of a first set of signals exchanged with a second wireless device, and embed the determined AoA and/or AoD information in a second signal transmitted to the second wireless device.", "The second wireless device may use the embedded AoA and/or AoD information in combination with RTT information to estimate its location relative to the first wireless device.", "As mentioned above, the initiator device may request the responder device to commence the ranging operation, for example, by transmitting an FTM_REQ frame to the responder device.", "The FTM_REQ frame may include a request for the responder device to determine and embed AoA and/or AoD information in one or more subsequent FTM frames.", "In some aspects, a dedicated bit in the Vendor Specific Information Element (VSIE) of the FTM_REQ frame may be used (e.g., asserted) to request the responder device to determine AoA and/or AoD information and to embed the determined AoA and/or AoD information in subsequent FTM frames.", "In other aspects, a reserved bit of the FTM_REQ frame's VSIE may be used (e.g., asserted) to request the responder device to determine AoA and/or AoD information and to embed the determined AoA and/or AoD information in subsequent FTM frames.", "In still other aspects, different bits in the FTM_REQ frame's VSIE may be used (e.g., asserted) to separately request the responder device to embed AoA information and AoD information into subsequent FTM frames.", "This may be desirable, for example, when the initiator device needs only one of the AoA information and AoD information to determine its direction relative to device D1.When the responder device receives an FTM_REQ frame that includes a request for AoA and/or AoD information (e.g., the FTM_REQ frame includes an asserted “request” bit in its VSIE), the responder device may infer that the initiator device is capable of decoding AoA and/or AoD information embedded in subsequent FTM frames.", "In response thereto, the responder device may either (i) assert one or more bits of a VSIE in the first FTM frame (e.g., the FTM_1 frame) indicating its ability to determine AoA and/or AoD information and confirming that the determined AoA and/or AoD information is to be embedded in subsequent FTM frames or (ii) de-assert the one or more bits of the VSIE in the FTM_1 frame indicating its inability to determine AoA and/or AoD information and/or indicating that AoA and/or AoD information will not be embedded in subsequent FTM frames.", "Alternatively, the responder device may indicate that AoA and/or AoD information will not be included in subsequent FTM frames by not including the VSIE in the FTM_1 frame (e.g., to reduce the transmit time of the first FTM frame).", "For other embodiments, the responder device may include its acceptance or rejection of the request for AoA and/or AoD information in the ACK frame sent in response to reception of the FTM_REQ frame.", "The initiator device may also include, in the FTM_REQ frame, an indication of a desired level of accuracy for AoA and/or AoD measurements by the responder device.", "The indication of the desired level of accuracy may be embedded in one or more dedicated bits in the VSIE of the FTM_REQ frame, in one or more reserved bits in the VSIE of the FTM_REQ frame, or in any other suitable bits of the FTM_REQ frame.", "In response to receiving an indication of the desired level of accuracy for AoA and/or AoD measurements, the responder device may include, in the FTM_1 frame, an indication of the level of accuracy for the AoA and/or AoD measurements to be embedded in subsequent FTM frames.", "The responder device may use the same one or more bit locations of the VSIE in the FTM_1 frame for the response that the initiator device used in the VSIE in the FTM_REQ frame for the request.", "For at least some implementations in which the responder device is an access point, the responder device may embed, into a beacon frame, information indicating whether the responder device is capable of estimating AoA and/or AoD information of one or more frames exchanged between the initiator device and the responder device.", "In some aspects, this information may be embedded within an information element (IE) or a vendor-specific information element (VSIE) of the beacon frame.", "The responder device may broadcast the beacon frame, for example, according to a target beacon transmission time (TBTT) schedule.", "FIG.", "6 depicts an example FTM frame 600, in accordance with example embodiments.", "The FTM frame 600 may include a category field 601, a public action field 602, a dialog token field 603, a follow up dialog token field 604, a TOD field 605, a TOA field 606, a TOD error field 607, a TOA error field 608, an optional LCI report field 609, an optional location civic report field 610, an optional FTM parameters field 611, an optional AoA field 612, and/or an optional AoD field 613.For at least one embodiment, the TOD field 605 may include 6 bytes, the TOA field 606 may include 6 bytes, the AoA field 612 may include 5 bytes, and the AoD field 613 may include 5 bytes (although for other embodiments, other field lengths may be used).", "The AoA field 612 may include AoA information for frames exchanged during a ranging operation, and the AoD field 613 may include AoD information for frames exchanged during the ranging operation.", "For example, referring also to FIG.", "5A, the responder device (e.g., device D1) may embed AoA information of the received ACK frame into the AoA field 612 of FTM frame 600, and may embed AoD information of the FTM_1 frame into the AoD field 613 of FTM frame 600.In some aspects, the responder device may embed TOA information of the received ACK frame into the TOA field 606 of FTM frame 600, and may embed TOD information of the FTM_1 frame into the TOD field 605 of FTM frame 600.Thereafter, the responder device may use the FTM frame 600 as the FTM_2 frame in the example ranging operation 500 of FIG.", "5A, for example, to transmit angle information (e.g., AoDa and/or AoAa) and the time value (e.g., ta4−ta1) to the initiator device.", "FIG.", "7A depicts an example field 700 that may be one embodiment of the AoA field 612 of FIG.", "6.The field 700 may include an Element ID field 701, a Length field 702, and an AoA field 703.For at least one embodiment, the Element ID field 701 may include one byte, the Length field 702 may include one byte, and the AoA field 703 may include 5 bytes (although for other embodiments, other field lengths may be used).", "The Element ID field 701 may store an element ID value indicating that field 700 contains angle of arrival information for a selected frame (e.g., the AoA information for the ACK frame received from device D2 in the example ranging operation 500 of FIG.", "5A).", "The Length field 702 may store a value indicating a length (in bytes) of field 700.The AoA field 703 may store angle of arrival information for the selected frame.", "More specifically, in some implementations, the AoA field 703 may store values for Theta_AoA and Phi_AoA which, as described in more detail below with respect to FIG.", "10, may indicate angle of arrival information of the selected frame.", "FIG.", "7B depicts an example field 710 that may be one embodiment of the AoD field 613 of FIG.", "6.The field 710 may include an Element ID field 711, a Length field 712, and an AoD field 713.For at least one embodiment, the Element ID field 711 may include one byte, the Length field 712 may include one byte, and the AoD field 713 may include 5 bytes (although for other embodiments, other field lengths may be used).", "The Element ID field 711 may store an element ID value indicating that field 710 contains angle of departure information for a selected frame (e.g., the AoD information for the FTM_1 frame used in the example ranging operation 500 of FIG.", "5A).", "The Length field 712 may store a value indicating a length (in bytes) of field 710.The AoD field 713 may store angle of departure information for the selected frame.", "More specifically, in some implementations, the AoD field 713 may store values for Theta_AoD and Phi_AoD which, as described in more detail below with respect to FIG.", "10, may indicate angle of departure information of the selected frame.", "FIG.", "8 depicts another example FTM frame 800, in accordance with example embodiments.", "The FTM frame 800 is similar to FTM frame 600 of FIG.", "6, except that instead of including separate AoA and AoD fields 612 and 613, respectively, FTM frame 800 includes a combined AoA and AoD field 803.For at least one embodiment, the TOD field 605 may include 6 bytes, the TOA field 606 may include 6 bytes, and the combined AoA and AoD field 803 may include 8 bytes (although for other embodiments, other field lengths may be used).", "The combined AoA and AoD field 803 may include both AoA and AoD information for frames exchanged during a ranging operation.", "For example, referring also to FIG.", "5A, the responder device (e.g., device D1) may embed AoA information of the received ACK frame and AoD information of the FTM_1 frame into the combined AoD and AoD field 803 of FTM frame 800.In some aspects, the responder device may embed TOA information of the received ACK frame into the TOA field 606 of FTM frame 800, and may embed TOD information of the FTM_1 frame into the TOD field 605 of FTM frame 800.Thereafter, the responder device D1 may use the FTM frame 800 as the FTM_2 frame in the example ranging operation 500 of FIG.", "5, for example, to transmit information indicating values for AoDa, AoAa, and ta4−ta1 to device D2.For example embodiments in which the AoA field 612 and the AoD field 613 of FTM frame 600 each include 5 bytes and the combined AoA and AoD field 803 of FTM frame 800 includes 8 bytes, the FTM frame 800 of FIG.", "8 may include 2 fewer bytes than the FTM frame 600 of FIG.", "6, and thus may be transmitted in less time than FTM frame 600 (albeit at a cost of less resolution).", "FIG.", "9 depicts an example field 900 that may be one embodiment of the combined AoA and AoD 803 of FIG.", "8.The field 900 may include an Element ID field 901, a Length field 902, an AoA field 903, and an AoD field 904.For at least one embodiment, the Element ID field 901 may include one byte, the Length field 902 may include one byte, the AoA field 903 may include 3 bytes, and the AoD field 904 may include 3 bytes (although for other embodiments, other field lengths may be used).", "The Element ID field 901 may store an element ID value indicating that field 900 contains angle of arrival and angle of departure information for a selected frame exchange (e.g., the AoA of the ACK frame received from device D2 and the AoD of the FTM_1 frame in the example ranging operation 500 of FIG.", "5A).", "The Length field 902 may store a value indicating a length (in bytes) of field 900.The AoA field 903 may store angle of arrival information for the selected frame exchange, and the AoD field 904 may store angle of departure information for the selected frame exchange.", "More specifically, in some implementations, the AoA field 903 may store values for Theta_AoA and Phi_AoA, and the AoD field 904 may store values for Theta_AoD and Phi_AoD which, as described in more detail below with respect to FIG.", "10, may indicate angle of arrival and angle of departure information for frames exchanged during a ranging operation.", "FIG.", "10 is an example 3-dimensional coordinate system 1000 for representing the position of a wireless device using a radius “r” and angles “theta” and “phi.” As depicted in FIG.", "10, phi may be an angle with respect to the horizontal (x-y) plane, while theta may be an angle with respect to the vertical (z) axis.", "Phi may range from 0° to 360°, while theta may range from 0° to 180° .", "The radius r is the distance between the origin and a point coordinate (r, θ, and φ) representing the location of a wireless device relative to the origin.", "Referring also to FIG.", "9, the first 12 bits of the AoA field 903 may be used to indicate a value for Theta_AoA, and the second 12 bits of the AoA field 903 may be used to indicate a value for Phi_AoA.", "Similarly, the first 12 bits of the AoD field 904 may be used to indicate a value for Theta_AoD, and the second 12 bits of the AoD field 904 may be used to indicate a value for Phi_AoD.", "For the example field 900 of FIG.", "9, the 12-bit values for Theta_AoA and Theta_AoD may provide a resolution of approximately 0.044° (180° divided by (212−1)), while the 12-bit values for Phi_AoA and Phi_AoD may provide a resolution of approximately 0.088° (360° divided by (212−1)).", "FTM frames formatted according to current FTM protocols (e.g., as defined by the IEEE 802.11REVmc standards) include a 6-byte TOD field and a 6-byte TOA field to store TOD and TOA information, respectively (e.g., to embed timestamp values ta1 and ta4 of FIG.", "5A).", "Because an RTT value may be calculated using a single time difference value (e.g., ta4−ta1) rather than two individual timestamp values (e.g., ta4 and ta1), one of these TOD and TOA fields may be re-purposed to store AoA and AoD information, thereby eliminating the need for an FTM frame to include a separate field that stores AoA and AoD information (and thus reducing the size of the FTM frame).", "More specifically, in accordance with example embodiments, one of the TOD and TOA fields of an FTM frame may be used store a single time difference value for a ranging operation, and the other of the TOD and TOA fields of the FTM frame may be used to store AoA and AoD information for the ranging operation without compromising accuracy of RTT estimates.", "Referring also to FIGS.", "5A, 6, and 8, for some implementations, the TOD field 605 of FTM frame 600 or FTM frame 800 may be used to store the time value (ta4−ta1), and the TOA field 606 of FTM frame 600 or FTM frame 800 may be used to store AoA and AoD information (e.g., AoAa and AoDa).", "In some aspects, the TOA field 606 may store four 12-bit values: Theta_AoA, Phi_AoA, Theta_AoD, and Phi_AoD.", "The format of the TOA field 606 may be expressed as: {Theta_AoA[0:11], Phi_AoA[0:11], Theta_AoD [0:11], Phi_AoD[0:11]}.", "For other implementations, the TOA field 606 of FTM frame 600 or FTM frame 800 may be used to store the time difference value (ta4−ta1), and the TOD field 605 of FTM frame 600 or FTM frame 800 may be used to store AoA and AoD information (e.g., AoAa and AoDa).", "In some aspects, the TOD 605 field may store four 12-bit values: Theta_AoA, Phi_AoA, Theta_AoD, and Phi_AoD.", "The format of the TOD field 605 may be expressed as: {Theta_AoA[0:11], Phi_AoA[0:11], Theta_AoD[0:11], Phi_AoD[0:11]}.", "Any suitable techniques may be used to estimate AoA information of frames received by the responder device and/or to estimate AoD information for frames transmitted from the responder device.", "For at least some embodiments, the responder device may use a number of different antenna patterns when estimating the AoA information of ACK frames received from the initiator device.", "More specifically, when the responder device includes a number N>2 antennas, the responder device may selectively enable different combinations of the antennas and estimate the channel conditions for a corresponding number of different antenna patterns.", "The channel condition estimates may be used to estimate AoA information of the ACK frame for various antenna patterns.", "Because ACK frames typically include one or more predetermined bit patterns (e.g., known to the responder device), ACK frames may be well suited for estimating channel conditions.", "The responder device may use the entire ACK frame for estimating channel conditions and/or estimating AoA information.", "Using the entire ACK frame may allow the responder device to switch between the various antenna patterns during reception of the ACK frame.", "When the responder device cannot process the entire ACK frame (e.g., the responder device may be able to process only legacy fields such as the legacy long training field (L-LTF)), then the responder device may estimate the channel conditions and AoA information using a sequence of N exchanged FTM and ACK frames (e.g., using one FTM/ACK frame exchange for each antenna pattern).", "As mentioned above, the responder device may estimate AoA information using any suitable technique.", "These techniques may include (but are not limited to): correlation; maximum likelihood estimation; Multiple Signal Classification (MUSIC) techniques, including variants such as Root-MUSIC, Cyclic MUSIC, or Smooth MUSIC; Estimation of Signal Parameters using Rotational Invariance Techniques (ESPRIT); Matrix Pencil; or other techniques for estimating AoA information.", "Thus, as described above with respect to FIG.", "10, an initiator device may use RTT information and AoA/AoD information to estimate a relative distance vector indicating its position relative to a responder device.", "If the 3-dimensional (3D) location of the responder device is known, then the relative distance vector may allow the initiator device to estimate its own 3D location.", "If only the 2-dimensional (2D) location of the responder device is known, then the initiator device may estimate its own 2D location by projecting the estimated relative distance vector onto the 2D plane.", "FIG.", "11 is an illustrative flow chart depicting an example ranging operation 1100 between a first device D1 and a second device D2 in accordance with example embodiments.", "Device D1 and device D2 may each be, for example, an access point (e.g., AP 110 of FIG.", "1), a station (e.g., one of stations STA1-STA4 of FIG.", "1), or other suitable wireless device (e.g., wireless device 200 of FIG.", "2).", "For the example operation 1100 of FIG.", "11, device D2 is the initiator device, and device D1 is the responder device.", "First, device D2 transmits, to device D1, an FTM_REQ frame that includes a request for device D1 to estimate AoA and/or AoD information for one or more frames exchanged between device D1 and device D2 (1102).", "The FTM_REQ frame may also indicate a desired level of accuracy for the requested AoA/AoD information.", "Device D1 receives the FTM_REQ frame, and then transmits an ACK frame indicating whether device D1 is capable of estimating AoA and/or AoD information (and whether the estimated AoA and/or AoD information will be included in subsequent FTM frames) (1104).", "The ACK frame may include the level of accuracy to be provided for the requested AoA/AoD information.", "Device D1 transmits an FTM_1 frame to device D2, records the TOD of the FTM_1 frame as time t1, and estimates the AoD of the FTM_1 frame (1106).", "For other embodiments, the FTM_1 frame may indicate whether device D1 is capable of estimating AoA and/or AoD information (and whether the estimated AoA and/or AoD information will be included in subsequent FTM frames).", "Device D2 receives the FTM_1 frame, and records the TOA of the FTM_1 frame as time t2 (1108).", "Device D2 transmits an ACK frame to device D1, and records the TOD of the ACK frame as time t3 (1110).", "Device D1 receives the ACK frame at time t4, records the TOA of the ACK frame as time t4, and estimates the AoA of the ACK frame (1112).", "Device D1 transmits, to device D2, an FTM_2 frame that includes a time value and angle information (1114).", "The time value may indicate a difference in time between the TOD of the FTM_1 frame transmitted from device D1 and the TOA of the ACK frame received at device D1 (e.g., the time value may be equal to t4−t1).", "The angle information may indicate a direction of device D2 relative to device D1.In some aspects, the angle information may include the AoD of the FTM_1 frame transmitted from device D1 and/or the AoA of the ACK frame received at device D1 (1114).", "For other embodiments, the FTM_2 frame may include the actual timestamps for times t1 and t4 (e.g., rather than the time value indicating the difference in time between time t4 and time t1).", "Device D2 may determine a distance between itself and device D1 based on one or more RTT values (1116).", "For example, device D2 may determine the distance d between device D1 and device D2 using the expression d=c*RTT/2, where c is the speed of light, and RTT is the summation of the actual signal propagation times of the FTM_1 frame and the ACK frame.", "More specifically, the value of RTT may be determined as (t4−t1)−(t3−t2).", "Thereafter, device D2 may determine its location relative to device D1 based, at least in part, on the determined distance and the angle information (1118).", "FIG.", "12 is an illustrative flow chart depicting another example ranging operation 1200 between a first device D1 and a second device D2 in accordance with example embodiments.", "The first device D1 and the second device D2 may each be, for example, an access point (e.g., AP 110 of FIG.", "1), a station (e.g., one of stations STA1-STA4 of FIG.", "1), or other suitable wireless device (e.g., wireless device 200 of FIG.", "2).", "For the example operation 1200 of FIG.", "21, the second device D2 is the initiator device, and the first device D1 is the responder device.", "The first device may receive, from the second device, a fine timing measurement (FTM) request frame including a request to estimate angle information for a number of frames exchanged with the second device and indicating a level of accuracy for the estimated angle information (1202).", "In some aspects, the request may be embedded within a vendor specific information element (VSIE) of the FTM request frame.", "The first device may transmit a first FTM frame to the second device (1204).", "In some implementations, the first FTM frame may indicate a level of accuracy the with which first device is to estimate the angle information.", "In some aspects, the indicated level of accuracy is embedded in an information element (IE) of the first FTM frame.", "The first device may receive an acknowledgement (ACK) frame from the second device (1206), and may transmit, to the second device, a second FTM frame including angle information of the first FTM frame and timing information of one or more of the exchanged frames (1208).", "The angle information may include at least one of angle of departure (AoD) information of the first FTM frame or angle of arrival (AoA) information of the ACK frame.", "The timing information may indicate a difference between a time of departure (TOD) of the first FTM frame and a time of arrival (TOA) of the ACK frame.", "The first device may estimate an AoA of the ACK frame using a plurality of different antenna patterns (1208), and may estimate an AoD of the first FTM frame using a plurality of different antenna patterns (1210).", "Those of skill in the art will appreciate that information and signals may be represented using any of a variety of different technologies and techniques.", "For example, data, instructions, commands, information, signals, bits, symbols, and chips that may be referenced throughout the above description may be represented by voltages, currents, electromagnetic waves, magnetic fields or particles, optical fields or particles, or any combination thereof.", "Further, those of skill in the art will appreciate that the various illustrative logical blocks, modules, circuits, and algorithm steps described in connection with the aspects disclosed herein may be implemented as electronic hardware, computer software, or combinations of both.", "To clearly illustrate this interchangeability of hardware and software, various illustrative components, blocks, modules, circuits, and steps have been described above generally in terms of their functionality.", "Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the overall system.", "Skilled artisans may implement the described functionality in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the disclosure.", "The methods, sequences or algorithms described in connection with the aspects disclosed herein may be embodied directly in hardware, in a software module executed by a processor, or in a combination of the two.", "A software module may reside in RAM memory, flash memory, ROM memory, EPROM memory, EEPROM memory, registers, hard disk, a removable disk, a CD-ROM, or any other form of storage medium known in the art.", "An exemplary storage medium is coupled to the processor such that the processor can read information from, and write information to, the storage medium.", "In the alternative, the storage medium may be integral to the processor.", "Accordingly, one aspect of the disclosure can include a non-transitory computer readable media embodying a method for time and frequency synchronization in non-geosynchronous satellite communication systems.", "The term “non-transitory” does not exclude any physical storage medium or memory and particularly does not exclude dynamic memory (e.g., conventional random access memory (RAM)) but rather excludes only the interpretation that the medium can be construed as a transitory propagating signal.", "While the foregoing disclosure shows illustrative aspects, it should be noted that various changes and modifications could be made herein without departing from the scope of the appended claims.", "The functions, steps or actions of the method claims in accordance with aspects described herein need not be performed in any particular order unless expressly stated otherwise.", "Furthermore, although elements may be described or claimed in the singular, the plural is contemplated unless limitation to the singular is explicitly stated.", "Accordingly, the disclosure is not limited to the illustrated examples and any means for performing the functionality described herein are included in aspects of the disclosure." ] ]
Patent_15875807
[ [ "SYSTEMS, APPARATUSES, AND METHODS FOR MEASURING SUBMERGED SURFACES", "The present disclosure provides systems, apparatuses, and methods for measuring submerged surfaces.", "Embodiments include a measurement apparatus including a main frame, a source positioned outside a pipe and connected to the main frame, and a detector positioned outside the pipe at a location diametrically opposite the source and connected to the main frame.", "The source may transmit a first amount of radiation.", "The detector may receive a second amount of radiation, determine a composition of the pipe based on the first and second amounts of radiation, and send at least one measurement signal.", "A control canister positioned on the main frame or on a remotely operated vehicle (ROV) attached to the apparatus may receive the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside." ], [ "1.A measurement apparatus, comprising: a main frame; a source positioned outside a section of pipe located underwater and connected to the main frame, the source configured to transmit a continuous first amount of radiation; a detector positioned outside the pipe and connected to the main frame at a location diametrically opposite the source, the detector configured to receive a continuous second amount of radiation, determine a composition of the section of pipe based on the first and second amounts of radiation, and send at least one measurement signal; a control system positioned on the main frame or on a remotely operated vehicle (ROV) attached to the apparatus, the control canister including a data acquisition system (DAQ) configured to receive the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside; and at least one buoyancy structure positioned on the main frame, the at least one buoyancy structure configured to hold the apparatus in place on the pipe, wherein the apparatus is configured to be at least one of autonomously, semi-autonomously, and manually controlled to be moved along the pipe and take multiple measurements of sections of the pipe.", "2.The measurement apparatus of claim 1, wherein the apparatus is autonomously controlled via at least a battery and wireless connections to the ROV.", "3.The measurement apparatus of claim 1, wherein the apparatus is manually controlled via at least an underwater diver and the ROV.", "4.The measurement apparatus of claim 1, further comprising a stabilizing system positioned beneath the at least one buoyancy structure and within the main frame, the stabilizing system configured to act as a ballast assist in maintaining the stability of the apparatus on the pipe.", "5.A measurement apparatus, comprising: a main frame; a source positioned outside a pipe and connected to the main frame, the source configured to transmit a first amount of radiation; a detector positioned outside the pipe and connected to the main frame at a location diametrically opposite the source, the detector configured to receive a second amount of radiation, determine a composition of the pipe based on the first and second amounts of radiation, and send at least one measurement signal; a control canister positioned on the main frame or on a remotely operated vehicle (ROV) attached to the apparatus, the control canister configured to receive the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside; and at least one buoyancy structure positioned on the main frame, the at least one buoyancy structure configured to hold the apparatus in place on the pipe.", "6.The measurement apparatus of claim 5, wherein the source and detector are each connected to the main frame using a support frame.", "7.The measurement apparatus of claim 5, wherein the source and detector are each mounted within a source carriage and detector carriage, respectively.", "8.The measurement apparatus of claim 7, further comprising at least one roller attached to at least one of each opposing side of the main frame and an end of each of the source carriage and detector carriage, respectively, the at least one roller configured to assist in moving the apparatus along the pipe.", "9.The measurement apparatus of claim 5, further comprising at least two crawlers positioned beneath the apparatus, the at least two crawlers configured to assist in moving the apparatus along the pipe.", "10.The measurement apparatus of claim 5, further comprising a distance counter attached to the apparatus, the distance counter configured to measure and control the speed and distance traveled by the apparatus.", "11.The measurement apparatus of claim 5, further comprising at least one brush attached to the apparatus, the at least one brush configured to clean debris on the pipe.", "12.The measurement apparatus of claim 7, further comprising a cleaning structure attached to a forward-direction facing end of at least one of the source carriage and detector carriage, the cleaning structure configured to move debris away from the pipe.", "13.The measurement apparatus of claim 5, wherein the source includes a collimator to direct the first amount of radiation towards the pipe.", "14.The measurement apparatus of claim 13, wherein the source includes a shutter to open or close the collimator.", "15.The measurement apparatus of claim 14, wherein the source includes electric motors to activate the shutter.", "16.The measurement apparatus of claim 5, wherein the control canister includes a data acquisition (DAQ) system configured to assist in receiving the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside.", "17.The measurement apparatus of claim 5, further comprising a stabilizing system positioned beneath the at least one buoyancy structure and within the main frame, the stabilizing system configured to act as a ballast assist in maintaining the stability of the apparatus on the pipe.", "18.The measurement apparatus of claim 17, wherein the stabilizing system includes a brick of lead attached to electric motors configured to move the brick of lead transversally within the main frame of the apparatus to maintain the stability of the apparatus on the pipe.", "19.The measurement apparatus of claim 5, further comprising a handle mounted on the main frame, the handle configured to provide for manual or ROV-assisted manipulation and placement of the apparatus.", "20.The measurement apparatus of claim 5, further comprising at least one sensor located within the main frame, the at least one sensor configured to take a measurement of the pipe and send at least one signal based on the measurement.", "21.The measurement apparatus of claim 20, wherein the at least one sensor is a pulsed eddy current (PEC) sensor.", "22.The measurement apparatus of claim 5, further comprising hydraulic clamps attached to the apparatus, the hydraulic clamps configured to attach the apparatus on the pipe.", "23.The measurement apparatus of claim 22, further comprising at least one hydraulic line between the ROV and the apparatus, the at least one hydraulic line configured to activate the hydraulic clamps.", "24.The measurement apparatus of claim 5, further comprising at least one electrical line between the ROV and the apparatus, the at least one electrical line configured to provide power to run the measurement apparatus.", "25.The measurement apparatus of claim 5, wherein the first and second amounts of radiation are gamma rays.", "26.The measurement apparatus of claim 25, wherein the gamma rays are derived from 137Cs.", "27.A method for measuring submerged pipelines, comprising: connecting an attachment of a measurement apparatus to a remotely operated vehicle (ROV), wherein the measurement apparatus comprises: a main frame; a source positioned outside a section of pipe and connected to the main frame, the source configured to transmit a first amount of radiation; a detector positioned outside the section of pipe and connected to the main frame at a location diametrically opposite the source, the detector configured to receive a second amount of radiation, determine a composition of the section of pipe based on the first and second amounts of radiation, and send at least one measurement signal; a control canister positioned on the main frame or on the ROV, the control canister configured to receive the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside; and at least one buoyancy structure positioned on the main frame, the at least one buoyancy structure configured to hold the apparatus in place on the pipe, lowering and placing the measurement apparatus upon the section of pipe using the ROV; taking a measurement of the section of pipe using the detector to create the at least one measurement signal; sending the at least one measurement signal from the detector to the control canister; and conveying the at least one measurement signal from the control canister to the software located topside.", "28.The method of claim 27, further comprising moving the measurement apparatus to another section of the pipe using the ROV after each round of measurements.", "29.A system, comprising: a remotely operated vehicle (ROV); and a measurement apparatus connected to the ROV, the measurement apparatus comprising: a main frame; a source positioned outside a section of pipe and connected to the main frame, the source configured to transmit a first amount of radiation; a detector positioned outside the section of pipe and connected to the main frame at a location diametrically opposite the source, the detector configured to receive a second amount of radiation, determine a composition of the section of pipe based on the first and second amounts of radiation, and send at least one measurement signal; and a control canister positioned on the main frame or on the ROV, the control canister configured to receive the at least one measurement signal from the detector and convey the at least one measurement signal to software located topside, wherein the software is configured such that a user may access the software to view the composition and other properties of the section of pipe and to control movement of the apparatus via the ROV." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1 is an isometric view of a measurement apparatus positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "FIG.", "2 is a side view of the measurement apparatus shown in FIG.", "1 .", "FIG.", "3 is a top view of the measurement apparatus shown in FIG.", "1 .", "FIG.", "4 is an isometric view of a measurement apparatus positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "FIG.", "5 is a side view of the measurement apparatus shown in FIG.", "4 .", "FIG.", "6 is a top view of the measurement apparatus shown in FIG.", "4 .", "FIG.", "7 is an illustration of a graphic user interface for software connected to a measurement apparatus and located topside, according to an exemplary embodiment of the present disclosure.", "FIG.", "8 is a front view of a measurement apparatus including a rotating plate positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "This application is a continuation of U.S. patent application Ser.", "No.", "15/141,269, filed Apr.", "28, 2016, which claims the benefit of U.S.", "Provisional Patent Application No.", "62/153,944, filed Apr.", "28, 2015, each of which are incorporated herein by reference.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is an isometric view of a measurement apparatus positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "FIG.", "2 is a side view of the measurement apparatus shown in FIG.", "1.FIG.", "3 is a top view of the measurement apparatus shown in FIG.", "1.FIG.", "4 is an isometric view of a measurement apparatus positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "FIG.", "5 is a side view of the measurement apparatus shown in FIG.", "4.FIG.", "6 is a top view of the measurement apparatus shown in FIG.", "4.FIG.", "7 is an illustration of a graphic user interface for software connected to a measurement apparatus and located topside, according to an exemplary embodiment of the present disclosure.", "FIG.", "8 is a front view of a measurement apparatus including a rotating plate positioned on a section of pipe, according to an exemplary embodiment of the present disclosure.", "DESCRIPTION OF EMBODIMENTS A submerged or submarine pipeline is a pipeline passing under water, which is typically laid on a seabed, or inside a trench below the seabed.", "The pipeline may also be located partially on-land.", "Submarine pipelines are used primarily to carry oil or gas but may also be used to transport other materials.", "Plugging by hydrates and/or other media in pipelines is one of the major flow assurance challenges facing the oil and gas industry because it prevents the flow of media in the pipeline and causes substantial interruption and losses in operations.", "As oil and gas production moves into harsh and challenging environments, including deep subsea environments, there is a growing need to prevent this plug formation.", "The value of remediation methods for plug formation depends on the speed and efficiency in locating an accumulation or plug in the pipeline.", "The media flowing in the pipeline, including gas, oil, wax, asphaltene, hydrates, paraffin, and sand have varied and/or minimal differences in their respective densities and composition.", "Detecting these differences in densities and composition allows for the ability to locate total or partial blockage in the pipe.", "A way to detect these differences in densities is by the use of radiation to measure the density of the contents of the pipeline.", "Methods of using radiation, including gamma rays, to determine the density of pipelines (including to measure flow and hold-up in the pipelines) are disclosed in U.S. Pat.", "No.", "7,402,796, U.S. Pat.", "No.", "4,795,903, U.S. Pat.", "No.", "4,667,515, U.S. Patent Pub.", "No.", "2012/0087467 A1, and U.S. Patent Pub.", "No.", "2008/0137808 A1, all of which are incorporated herein by reference.", "These methods include placing a “source” of radiation outside a pipeline for directing radiation from the source through a portion of the pipeline, and placing a “detector” at a location outside the pipeline opposite the source of radiation for detecting the radiation and measuring the density of the pipeline.", "The amount of radiation which subsequently exits the pipeline depends upon the mass of the media contained within the pipeline and its ability to absorb radiation.", "Thus, a reduction in the amount of radiation which reaches the detector indicates an increased bulk density of the media flowing through or contained within the pipeline as more radiation is absorbed by the media.", "The primary existing tool providing for inspection of submerged pipelines in subsea environments is the “Discovery” tool provided by Tracerco™.", "This tool, however, is extremely complex, and functions by making a tomography of the pipeline.", "Each measurement of a “slice” of the pipeline takes about 20 minutes.", "The tool is also very heavy (approximately two tons) and requires dredging around the pipeline to be operated.", "Additionally, operation of the tool is very expensive and time-consuming, and requires the use of additional complex subsea operations.", "Embodiments of the present disclosure relate generally to non-destructive measuring systems, apparatuses, and methods, and in particular though non-limiting embodiments, to systems, apparatuses and methods for measuring submerged pipelines.", "The present disclosure provides improved apparatuses, systems, and methods for the measurement of submerged surfaces, including measurement of submerged pipelines.", "The disclosed embodiment includes a measurement apparatus having a source/emitter and a detector connected to a main frame and configured to detect density and/or composition of a section of pipeline.", "The measurement apparatus may be configured for attachment to an ROV.", "The attachment to the ROV may be through a hydraulic and/or electrical system.", "According to exemplary embodiments of the present disclosure, methods are provided for measuring submerged pipelines.", "Steps may include connecting a measurement apparatus to an ROV, and using the ROV to lower and place the apparatus upon a submerged pipeline such that the apparatus securely fits on the pipeline.", "A source and a detector of the apparatus may then be used to measure the density and/or composition of the section of the submerged pipeline and create an at least one measurement signal.", "The at least one measurement signal may then be sent via software on the apparatus to software on the ROV and/or to software located topside.", "This improved method of measurement of submerged pipelines may be performed in ranges of approximately 3,000 meters to 4,700 meters below sea level.", "The measurement apparatus may be moved along the submerged pipeline as needed using the ROV.", "Exemplary embodiments of the present disclosure may include traction rollers and/or crawlers and/or wheels attached to the apparatus, allowing the apparatus to move along the pipe.", "The rollers and/or crawlers and/or wheels may be powered by electric or hydraulic motors.", "The rollers and/or crawlers and/or wheels may be arranged such that the main frame of the apparatus is in close proximity to an outer surface of the section of pipe without scraping or contacting the surface.", "The number of rollers and/or crawlers and/or wheels may vary in different embodiments of the disclosure.", "The rollers and/or crawlers and/or wheels may allow for quick and efficient guidance of the measurement apparatus along the pipeline by an ROV.", "Exemplary embodiments of the present disclosure may also include a stabilizing system including a brick of lead positioned within the main frame of the apparatus to act as a ballast assist in maintaining the stability of the apparatus along the pipe.", "The apparatus may also include a handle allowing for ROV-assisted manipulation of the measurement apparatus along the pipe.", "Embodiments of the present disclosure therefore allow for miles of pipeline to be measured in a single day.", "The measurement apparatus may be securely and quickly guided along the pipeline using the connection to the ROV.", "The measurement apparatus may be employed to measure vast sections of pipeline for potential problem areas.", "In this way, the present disclosure allows for efficient, low cost measurement of the pipeline.", "Although discussed herein in conjunction with pipelines, the measurement apparatus may be used on vessels or other surfaces.", "Embodiments of the present disclosure provide for measuring the content of submerged surfaces, including submerged pipelines, in a more efficient manner that does not affect the measured material, requires less process downtime for installation, is non-intrusive, and is easily deployable.", "Embodiments of the present disclosure provide for a faster, cheaper, and more reliable method for diagnosing flow abnormalities due to partial or total plugs within pipelines without substantial interruption to pipeline operations.", "Referring to FIGS.", "1 to 3, different views of a measurement apparatus 10 are shown.", "In FIG.", "1, an exemplary embodiment of a measurement apparatus 10 positioned on a section of pipe 22 is shown.", "Measurement apparatus 10 may include a main frame 12, a radiation source 19 connected to the main frame 12, and a radiation detector 20 connected to the main frame 12.In an exemplary embodiment, the main frame 12 may have a rectangular shape.", "In alternative embodiments, the main frame 12 may have other shapes suitable for the measurement functions of the apparatus 10.In an exemplary embodiment, the main frame 12 may be made of aluminum.", "However, the main frame 12 may be made of other materials suitable for the measurement functions of the apparatus 10.In particular embodiments, the apparatus 10 may perform measurements on a section of pipe 22 with a diameter of approximately ten to eighteen inches.", "However, the apparatus 10 may also perform measurements on pipes with larger or smaller diameters.", "In exemplary embodiments, the apparatus 10 may weigh approximately 150 pounds in water and 380 pounds in air, which is substantially less than existing measurement apparatuses performing similar functions.", "In various embodiments, source 19 and/or detector 20 may be positioned outside the pipe 22 and connected to the main frame 12.In an exemplary embodiment, both source 19 and/or detector 20 may be connected to the apparatus 10 using an elongated support frame 14 having a plurality of grooves and tracks configured for attachment to vertical bars holding the source 19 and/or detector 20 in a secured carriage.", "See, e.g, FIG.", "1.The vertical bars may be attached to the support frame 14 using mechanical attachments such as screws and/or bolts.", "Alternatively, other mechanical configurations may be used to attach the bars to the support frame 14, including circumferential straps, magnets, ties, quick releases, snap locks, or other combinations thereof, and/or other suitable systems.", "In alternative embodiments, source 19 and/or detector 20 may be connected to the main frame 12 using other suitable methods.", "In some embodiments, the support frame 14 may be connected to electrical or electro-hydraulic linear actuators or hydraulic cylinders, that may in turn be connected to the source 19 and/or detector 20, and configured to adjust the source 19 and/or the detector 20 in a direction perpendicular to the pipe 22.In an exemplary embodiment, the support frame 14 may be made of aluminum.", "Alternatively, the support frame 14 may be made of other materials suitable for attaching support frame 14 to the main frame 12 and securely holding the source 19 and/or detector 20 in place.", "In particular embodiments, source 19 and/or detector 20 may each be attached to cleaning structures 19a, 20a configured to move debris away from the pipe 22 during measurement of the pipe 22.In exemplary embodiments, cleaning structures 19a, 20a may each have a cone shape to more efficiently move debris and other material away from the pipe 22.In alternative embodiments, cleaning structures 19a, 20a may have other shapes suitable for performing this function.", "Cleaning structures 19a, 20a may be attached to the front end, back end, and/or the sides of the source 19 and/or detector 20.In embodiments of the present disclosure, source 19 and/or detector 20 may be securely held in a source carriage and/or detector carriage, respectively.", "In an exemplary embodiment, the source carriage and/or detector carriage may be made of titanium, which is permeable to radiation.", "Source 19 may include a radiation source contained within a radiation source holder and configured to transmit a continuous first amount of radiation through a section of the pipe 22.In exemplary embodiments, this first amount of radiation may be gamma rays.", "In this embodiment, the radiation is generally a radioactive isotope as used in conventional (single source and detector) density gauges where the radiation source is commonly 662 keV gamma radiation (approximately 100-200 millicurie) from a cesium-137 isotope (137Cs).", "Alternatively, this first amount of radiation may be derived from other radioactive sources, including X-rays.", "In exemplary embodiments, source holder of source 19 may be at least one of the SHLD, SHF, SHLG, SHLM, and SR source holders for radiation-based measurement offered by VEGA Americas, Inc.", "Source 19 may be positioned at a location outside the pipe 22.Source 19 may include a collimator to direct the first amount of radiation towards the pipe 22.In exemplary embodiments, source 19 may also include a shutter to open or close the collimator.", "In this embodiment, source 19 may include electric motors to activate the shutter, i.e., turn the shutter on and off and let out radiation as needed.", "Particularly, source 19 may use a solenoid to achieve this function.", "In alternative embodiments, source 19 may include an electrical linear actuator and/or hydraulic cylinder and/or other suitable devices to achieve this function.", "If the shutter is on, the first amount of radiation will be transmitted from the source 19 using the collimator.", "If the shutter is off, the first amount of radiation will not be transmitted from the source 19.In alternative embodiments, source 19 may include other available methods to transmit and/or direct the radiation towards the pipe 22.In a particular embodiment, source 19 may weigh approximately sixty pounds.", "Detector 20 may be positioned outside a section of the pipe 22 at a location diametrically opposite the source 19.See, e.g., FIG.", "3.However, detector 20 may also be positioned at other locations on the pipe 22 as needed to most effectively detect and/or receive radiation from the source 19.Detector 20 may be configured to receive a second amount of radiation from the source 19 after the first amount of radiation has passed through the section of the pipe 22.Detector 20 may then be configured to determine a composition of media flowing within the section of the pipe 22 based on the first and second amounts of radiation, and then send at least one measurement signal based on this determined composition via inbuilt signal conditioning software of detector 20 to software on an ROV attached to the apparatus 10 and/or directly to software located topside.", "In a particular embodiment, detector 20 may be configured to determine a density of the media flowing and/or stagnant within the section of the pipe 22.In a particular embodiment, detector 20 may weigh approximately fifteen pounds.", "In exemplary embodiments, detector 20 may be at least one of the MiniTrac 31 and MiniTrac 32 detectors for radiation-based density measurement offered by VEGA Americas, Inc.", "In this embodiment, detector 20 may include signal conditioning instruments and software such as the VEGAMET 624 which may power the MiniTrac 31 and process and display measured values such as the at least one measurement signal.", "In various embodiments, detector 20 may make measurements of section of pipe 22 constantly at a high frequency.", "However, in some embodiments, due to the random nature of the radiation emitted from source 19, these measurements may be averaged and dampened via a multi-pass software filter in the detector 20 that changes the frequency of the update to the output based on the step change of sensed value.", "In an exemplary embodiment, apparatus 10 may increase its productivity from 1 to 20 ft/min, which equals up to 9.5 Km of pipeline/day.", "In particular embodiments, more than one measurement of a section of pipe 22 may be taken by the apparatus 10 at one time.", "In some embodiments, it may be possible to include more than one detector 20 and/or if necessary, more than one source 19, to either sense a larger area on the pipe 22 and/or improve accuracy of the readings by the apparatus 10.As shown in FIGS.", "1 to 3, control canister 23 may be positioned on the main frame 12 of the apparatus 10 in a location below handle 36.Alternatively, control canister 23 may be located in an ROV attached to apparatus 10.In an exemplary embodiment, control canister 23 may include software configured to receive the at least one measurement signal from the detector 20, and convey the at least one measurement signal to software located in the ROV and/or to directly to software viewable and/or controlled by topside personnel.", "Software in the control canister 23 may be a Supervisory Control And Data Acquisition (SCADA) system used for remote monitoring and control that operates with coded signals over communication channels (using typically one communication channel per remote station).", "In various embodiments, software of control canister 23 may include a data acquisition (DAQ) system using coded signals over communication channels to acquire information about the status of remote equipment for display or for recording functions.", "In this embodiment, DAQ system in control canister 23 may include sensors, DAQ measurement hardware, and a computer with programmable software.", "In particular embodiments, control canister 23 may include a local data acquisition board configured to receive and convey the at least one measurement signal to the software on ROV and/or software located topside and viewable and/or controlled by topside personnel.", "In this embodiment, control canister 23 may also include motor controllers for a propulsion drive and ballast drive, gyro, inclinometers, and/or an Ethernet to a signal conditioner (e.g., an RS232 signal conditioner).", "In alternative embodiments, control canister 20 may include other suitable configurations to assist in receiving and conveying the at least one measurement signal to software in the ROV and/or directly to software viewable and controlled by topside personnel.", "In an exemplary embodiment, control canister 23 may have a cylindrical shape.", "In an alternative embodiment, control canister 23 may have other shapes suitable for performing its receiving and conveying functions.", "In particular embodiment, control canister 23 may be made of titanium.", "Alternatively, control canister 23 may be made of other suitable materials.", "In an example embodiment, control canister 23 may include a DAQ system offered by National Instruments™.", "In various embodiments, apparatus 10 may include at least one buoyancy structure 25 positioned on the main frame 12.At least one buoyancy structure 25 may be configured to hold the apparatus 10 in place on the pipe 22.In an exemplary embodiment, apparatus 10 may include two buoyancy structures 25 positioned on the main frame 12, with the control canister 23 positioned between the two buoyancy structures 25.See, e.g., FIG.", "1.In exemplary embodiments, at least one buoyancy structure 25 may be made of foam.", "In alternative embodiments, at least one buoyancy structure 25 may be a ballast tank having variable or non-variable buoyancy control.", "In this embodiment, the ballast tank buoyancy structure 25 may be securable over a portion of the main frame 12.In particular embodiments, apparatus 10 may further include a stabilizing system such as for e.g.", "a brick of lead positioned beneath the at least one buoyancy structure 25 and within the main frame 12.The brick of lead may be configured to act as a ballast assist in maintaining the stability of the apparatus 10 on the pipe 22.In this embodiment, the stabilizing system may include attachments to electric motors configured to move the brick of lead transversally within the apparatus 10.For example, if the apparatus 10 moves in an unwanted left or right direction once it is placed on the pipe 22, the electric motors may be used to move the brick of lead in the opposite direction to ensure proper balancing and positioning of the apparatus 10 on the pipe 22.In exemplary embodiments, stabilizing system may include attachments to inclinometers configured to measure angles of slope (or tilt), elevation, or depression of the apparatus 10 with respect to gravity, and thereby further assist in positioning the apparatus 10 on the pipe 22.In an exemplary embodiment, the inclinometers may be located within the control canister 23.In some embodiments, the apparatus 10 may also be securable to and positioned on the pipe 22 using hydraulic clamps or electrically operated via motor or electrical linear actuator.", "Apparatus 10 may include at least one roller 18 attached to each opposing side of the main frame 12.At least one roller 18 may be configured to assist in moving the apparatus 10 along the pipe 22.In a particular embodiment, apparatus 10 may include two rollers 18 attached to each opposing side of the main frame 12.See, e.g., FIG.", "3.In exemplary embodiments, at least one roller 18 may be made of rubber such as for e.g., urethane rubber.", "In this embodiment, apparatus 10 may include hydraulic or electric motors connected to at least one roller 18 and configured to rotate at least one roller 18 and move the apparatus 10 along the pipe 22.In an alternative embodiment, at least one roller 18 may not be motorized and apparatus 10 may be mechanically moved along the pipe 22.In some embodiments, apparatus 10 may also include at least two crawlers 16 positioned beneath ends and/or sides of the apparatus 10.See, e.g., FIG.", "2.At least two crawlers 16 may be configured to work in tandem with at least one roller 18 to assist in faster and more efficient movement of the apparatus 10 along the pipe 22.In various embodiments, at least two crawlers 16 may either be motorized or non-motorized.", "In exemplary embodiments, apparatus 10 may include a handle 36 mounted on the main frame 12.Handle 36 may be configured to provide for manipulation and placement of the apparatus 10 via an arm of the ROV or by manual manipulation.", "In some embodiments, apparatus 10 may also include secondary handles 37 on either a front end, back end, and/or sides of the main frame 12.Secondary handles 37 may act as a contingency for the ROV to move the apparatus 10 along the pipe 22 if the motorized rollers 18 and/or crawlers 16 are not functioning properly.", "In some embodiments, a distance counter 27 may be attached to the apparatus 10.Distance counter 27 may be configured to measure and control the speed and distance traveled by the apparatus 10.Distance counters 27 or distance measuring wheels may be used to efficiently and quickly measure distances traveled by apparatus 10 attached to distance counter 27 and/or other tools/apparatuses attached to the distance counter 27.In exemplary embodiments, the distance counter 27 may be connected by a signal cable to the control canister 23, which may then send the measurement information to software on an ROV and/or directly to topside personnel.", "In exemplary embodiments, this functionality of the distance counter 27 may be incorporated into a propulsion drive circuit, where an encoder used as feedback for closed loop speed control of the drive may also be utilized for distance traveled data.", "In embodiments, apparatus 10 may be attached to an ROV by connecting an ROV attachment 38 to an arm of the ROV, thereby allowing the ROV to move the apparatus 10 along pipe 22.In exemplary embodiments, the apparatus 10 may be moved along the pipe 22 at variable speeds ranging from approximately one to twenty feet per minute, depending on the content of the pipe 22, and the nature of any blockage within the pipe 22.Attachment 38 may include electrical, hydraulic, and/or signal connections or lines within the attachment 38 that connect the ROV to the apparatus 10, and vice-versa.", "Hydraulic lines of attachment 38 may be configured to control the several hydraulic connections discussed herein, including the hydraulic clamps.", "Electrical lines of attachment 38 may be configured to provide power to run the measurement apparatus 10 (and disclosed parts requiring electric power, including the electric motors and propulsion).", "Measurement apparatus 10 may include a battery or may alternatively be run using main power from the ROV.", "In an exemplary embodiment, the signal connections may include for e.g.", "fiber optic cables configured to convey the various measurement signals discussed herein from the control canister 22 to software located topside via an Ethernet connection.", "In embodiments of the present disclosure, apparatus 10 may be autonomous, semi-autonomous, or manually controlled.", "In the autonomous embodiment of the apparatus 10, apparatus 10 may be powered by a battery pack and/or connected wirelessly (e.g.", "Bluetooth) to the ROV or a vessel or monitoring system.", "In the manually controlled embodiment, apparatus 10 may be controlled by via a diver or an ROV attached to an attachment 38 of apparatus 10.In the semi-autonomous embodiment of the apparatus 10, apparatus 10 may include an acoustic modem or other equivalent device in combination with for e.g.", "attachment to an ROV.", "Apparatus 10 may further include brushes 17 attached to the front end, back end, and/or sides of the main frame 12.Brushes 17 may be configured to clean debris and/or other material from the pipe 22 prior to measurement of the pipe 22.In an exemplary embodiment, three brushes 17 may be attached to an end of the main frame 12 that faces a forward direction of travel by the apparatus 10 along the pipe 22.See, e.g., FIG.", "1.However, the apparatus 10 may include a greater or lesser number of brushes 17 necessary to clean the pipe 22 prior to being measured by apparatus 10.In some embodiments, trenches down either side of the pipeline/flowline 22 may be dredged using a jetter skid.", "In some embodiments, apparatus 10 may include a low pressure (approximately 120 psi greater than ambient pressure) centrifugal pump with nozzles immediately in front of the rollers/tracks 18 to clean the contact area.", "Referring to FIGS.", "4 to 6, different views of measurement apparatus 11 are shown.", "As shown, measurement apparatus 11 may have substantially the same features as apparatus 10 described herein, with some modifications.", "Particularly, apparatus 11 may include source 19, detector 20, cleaning structures 19a, 20a, elongated support frame 14, at least one buoyancy structure 25, brushes 17, at least one roller 18, and at least two crawlers 16 described herein, as well as attachment 38 described herein from apparatus 10 to an arm of an ROV for moving apparatus 10 along pipe 22.However, apparatus 11 may not include a control canister 23 positioned on the main frame 12 of apparatus 10.See, e.g., FIG.", "5.Rather, control canister 23 may be located external to apparatus 11.For example, control canister 23 may be located at the ROV attached to apparatus 11.Embodiments of the present disclosure may also not include distance counters 27 to measure distance traveled by apparatus 11 since software in control canister 23 located in ROV attached to apparatus 11 may be used to perform this function.", "Further, in this embodiment, at least one roller 18 may not be attached to the main frame 12; rather, at least one roller 18 may be attached directly to an end of the detector 20 carriage opposite cleaning structure 20a, and an end of the source 19 carriage opposite cleaning structure 19a.", "For example, two rollers may be attached to an end of each of source 19 and detector 20 at each opposing side of apparatus 11.See FIG.", "5.Embodiments of the present disclosure may also include cleaning structures 19a, 20a having cone shapes but with more pointy edges than cleaning structures 19a, 20a of apparatus 10 to more efficiently move debris and other material away from the pipe 22.Embodiments of the present disclosure for apparatus 11 are not limited to these particular configurations and may include more or less features, including features described herein relating to apparatus 10.Referring now to FIG.", "7, an illustration of a graphic user interface (GUI) for software 30 connected to a measurement apparatus 10, 11 and located topside is shown.", "Software 30 may receive the at least one measurement signal described herein from control canister 23 via an Ethernet or other suitable connection.", "FIG.", "4 is simply an exemplary embodiment of the GUI for this software 30.In this exemplary embodiment, the software 30 may be a SCADA (Supervisory Control And Data Acquisition) system.", "Software 30 may provide a method to monitor, receive, save to a local hard drive on a computer topside, and/or display to topside personnel the at least one measurement signal, including for example, density and other composition data of a pipe 22, as well as other data related to apparatus 10, 11.GUI and/or software 30 may take other suitable forms necessary to provide relevant information regarding the apparatus 10, 11 and/or the composition of the pipe 22 to topside personnel viewing the GUI 30 and controlling the ROV and/or apparatus 10, 11.GUI 30 may include several indicators for topside personnel to easily manipulate and control the apparatus 10, 11, and to view the relevant density/composition in a section of the pipe 22.In an exemplary embodiment, GUI 30 may include an “open” or “close” shutter status indicator for the shutter contained within the source 19.In this embodiment, the shutter being “open” indicates that radiation is being continuously transmitted from the source 19 via the collimator as described herein.", "The shutter being in the “close” position indicates that radiation is not being emitted from the source 19, and that it is safe for a diver to approach the apparatus 10, 11, if needed.", "Due to the particular importance and function of the shutter contained within the source 19, the GUI 30 may also include an additional identifier configured to flash a particular color.", "For example, the identifier may flash the color red if the shutter is in the “open” position to indicate that radiation is being transmitted from source 19.In an exemplary embodiment, the GUI 30 may include a water intrusion alarm for the source 19, detector 20, and/or control canister 23.This water intrusion alarm may also be configured to flash a particular color if water has somehow entered the source 19, detector 20, and/or control canister 23, thereby indicating a dangerous environment such that the measurement process must be immediately stopped.", "In some embodiments, GUI 30 may include a motion control indicator allowing for topside personnel to control the speed and direction of the apparatus 10, 11.For example, the motion control indicator may be used to move the apparatus 10, 11 in reverse or forward directions along the pipe 22, stop the apparatus 10, 11, and/or set a fixed but variable speed of movement of the apparatus 10, 11.In an exemplary embodiment, GUI 30 may include indicators showing the pressure within apparatus 10, 11.GUI 30 may also include indicators showing the temperature within the source 19, detector 20, and/or control canister 23.If the indicator shows a dangerously high temperature, topside personnel may immediately stop the measurement process by closing the shutter.", "In some embodiments, GUI 30 may also include an “open” or “close” status indicator for the hydraulic clamps described herein.", "In various embodiments, the GUI 30 may include several identifiers for properties of the section of pipe 22 being measured by the apparatus 10, 11, as well as identifiers relating to movement of the apparatus 10, 11.These identifiers may include but are not limited to outputs showing relevant density, percentage of density, distance traveled, time traveled, and amplitude.", "For example, GUI 30 may include a visual indicator for the density of the pipe 22 with values ranging from 0 to 1.0.See FIG.", "7.In this exemplary embodiment, if the apparatus 10, 11 detects hydrates in the pipe 22, the value shown in the indicator may jump from 0 to 1.If the apparatus 10, 11 detects oil in the pipe 22, the value may jump from 0 to 0.8.If the apparatus 10, 11 detects wax in the pipe 22, the value may jump from 0 to 0.7.The relationship between these outputs may also be visually depicted using a graph.", "See, e.g, FIG.", "4.The configuration of this particular GUI 30 and apparatus 10, 11 may therefore provide for an immediate Go/No Go indication using a few quick measurements of the section of pipe 22.Referring to FIG.", "8, an alternative embodiment of apparatus 10, 11 positioned on a section of pipe 22 is shown.", "In this embodiment, source 19 and detector 20 are shown as attached to opposing ends of a rotating plate 60 attached to the main frame 12 described herein.", "In some embodiments, hydraulic and/or electric motors 50 may be positioned within main frame 12 and attached to rotating plate 60 to actuate rotating plate 60.As shown, gears 32 may be located on an underside surface of rotating plate 60 adjacent to surface of pipe 22 and configured to assist hydraulic and/or electric motors 50 in rotation of plate 60 and attached source 19 and/or detector 20.In particular embodiments, source 19 and/or detector 20 may each rotate in a 180° angle (or a greater angle to assure more overlap of the area being measured) in both a counter-clockwise direction, A, and clockwise direction, B, to perform a multi-faceted 360° scan of a section of pipe 22 and measure composition of the section of pipe 22.According to exemplary embodiments, methods are provided for the measurement of submerged surfaces.", "In an exemplary embodiment, a method includes attaching a measurement apparatus 10, 11 described herein to an ROV, and using the ROV to lower and place apparatus 10, 11 upon an exterior of a section of submerged pipeline 22.Measurement apparatus 10, 11 may have substantially the same features as measurement apparatuses 10, 11 described herein, including a main frame 12, source 19, detector 20, and/or control canister 23.Source 19 may then be used to transmit a continuous first amount of radiation towards the section of pipe 22.Detector 20 may then be used to receive and detect a second amount of radiation, determine a composition of the pipe 22 based on the first and second amounts of radiation, and create and send at least one measurement signal based on this composition of the pipe 22 to the control canister 23.Control canister 23 may be located on the main frame 12 of the apparatus 10, 11 or directly on the ROV attached to apparatus 10, 11.Control canister 23 may then be used to convey the at least one measurement signal to software on the ROV, which may then be outputted to software 30 located topside.", "Alternatively, control canister 23 may convey the at least one measurement signal directly to software 30 located topside.", "Once the section of pipe 22 is measured, additional measurements of the same section of pipe 22 may be made, and/or the apparatus 10, 11 may be moved along the pipe 22 using the ROV for measurement of another section of pipe 22.Embodiments of the present disclosure may also be used in conjunction with the inspection apparatus having multiple pulsed eddy current (PEC) sensors disclosed in U.S. patent app.", "Ser.", "No.", "14/868,048, which is incorporated herein by reference.", "The PEC sensors may be configured to take measurements of a section of the pipeline 22 on which the inspection apparatus is placed and send multiple signals which are eventually converted into a single measurement signal for conveyance to an ROV.", "An average wall thickness of the section of the pipeline 22 may then be calculated from this measurement signal.", "In an exemplary embodiment, a second measurement of this section of pipe 22 may be taken using an ultrasonic sensor if the average wall thickness of the section of pipe 22 is below a desired amount.", "In some embodiments, this inspection apparatus may be placed adjacent to measurement apparatus 10, 11 described herein on a pipe 22 and both sets of measurements may be taken simultaneously.", "In alternative embodiments, at least one PEC sensor may be incorporated into an embodiment of the apparatus 10, 11 described herein (for example, within a side of the main frame 12) so as to take simultaneous multiple measurements of the pipe 22.While the embodiments are described with reference to various implementations and exploitations, it will be understood that these embodiments are illustrative and that the scope of the disclosures is not limited to them.", "Many variations, modifications, additions, and improvements are possible.", "Further still, any steps described herein may be carried out in any desired order, and any desired steps may be added or deleted." ] ]
Patent_15875810
[ [ "REAL-TIME OR JUST-IN-TIME ONLINE ASSISTANCE FOR INDIVIDUALS TO HELP THEM IN ACHIEVING PERSONALIZED HEALTH GOALS", "A method and a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone with an integrated software application by uploading the photographs to a secured database of the software application of the mobile phone provided with specific text comments or requests placed in appropriately classified input queues for assigning to a qualified nutritionist or an Artificial Intelligence (AI) Program for analyzing the uploaded photographs and generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules, displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen.", "The system and method also comprises a container, a partition plate, a closable lid for storing the food items that are rejected by the nutritionist or the AI and a placemat printed with colored squares patterns." ], [ "1.A method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items stored in a container or a device on a plate comprising steps of: providing a container; storing the rejected food items in the container; creating a partition of the plate into which the rejected food items are placed using the device; providing a placemat printed with colored squares patterns of standard color and size located around the periphery of plate for providing size reference for estimating size and/or quantity of food items on the plate, said placemat is placed under the plate; and providing a closable lid with a knob for closing the container to cover the rejected food items.", "2.The method according to claim 1, wherein the square patterns serve as reference for determining the angle of the camera while taking the image providing further input to analyze the size of the food item.", "3.The method according to claim 1, wherein the standard colors of the square patterns serve as reference for recognizing the color of the food items by comparing it with standard colors.", "4.The method according to claim 1, wherein the nutritional values calculated are displayed in a tabular form and each food item is labeled by its predominant nutritional attribute for storing in the secured database.", "5.The method according to claim 1, wherein generates personalized reports for individual users with stored nutritional attribute data.", "6.A system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate; wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "7.The system according to claim 6, wherein a user accesses the software application by registering with it by providing the personalized profile parameters, personalized health goals, other health goals.", "8.The system according to claim 6, wherein provides a personalized coaching through a two-way rich media on various queries.", "9.The system according to claim 6, wherein stores all the captured photographs, uploaded data and personalized profile parameters, personalized health goals and other health goals.", "10.The system according to claim 9, wherein generates reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing." ], [ "<SOH> BACKGROUND <EOH>The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing." ], [ "FIELD OF INVENTION The present invention relates to providing an individual a real-time or an online assistance in achieving personalized health and wellness goals such as weight loss, adherence to various types of diets, prevention of heart disease or cancer, proper medication-taking, etc.", "Further, the present invention provides a smart-phone application with facilities for individuals to upload a picture of a meal they are about to consume and receive a real-time or just-in-time modification to the meal generated by a qualified nutritionist or an artificial intelligence (AI) program.", "Specifically, the present invention eliminates the need for individuals to remember dietary plans or guidelines or analyze any detailed information about the meal, and makes it very easy for individuals to maintain health.", "BACKGROUND The burden of being overweight or obese, in terms of health problems and expenditures, is well known.", "Numerous weight loss approaches exist that require substantial changes to diets and exercise routines, sustained tracking and detailed numeric analysis of ingredients, caloric values, fat content, etc.", "Individuals are unable to adhere to and maintain these requirements, which typically leads to temporary weight loss, but the lost weight is regained, sometimes repeatedly.", "Weight loss approaches based on diet plans require individuals to first remember to follow the plans, and second, to do a good job of actually following the plans.", "Individuals often have difficulty remembering their respective list of foods to avoid or reduce.", "Tools are available to analyze the content of foods, and many individuals try to adjust their eating to comply with their respective diet plans.", "Users packed with busy work schedules and under social pressure to join others in the act of eating find it very difficult to sustain over time.", "Pre-packaged diets packages help in this regard, but they are expensive and individuals can get tired of eating the same items over and over, which leads to non-adherence.", "Further, deprivation of certain favorite food items results in frustration and can lead to abandonment.", "Other approaches provide detailed information regarding the calories, fat, sodium, etc., content of foods, and rely on the diligence of the individual to check, analyze and modify their eating habits in order to stay within their dietary plans or guidelines.", "Again, adherence eventually breaks down because of the amount of detailed effort involved, and only the most diligent individuals continue for the longer term.", "Many systems or methods or devices have been introduced globally to adopt good dietary habits to build healthier lifestyle.", "Since it has become impractical for most individuals to exercise for more than an hour or two a day, modifying their food intake is more effective than exercise.", "U.S. Pat.", "No.", "6,478,736 discloses a health management system for a person, in which the person's resting metabolic rate (RMR) is determined at intervals using an indirect calorimeter.", "RMR values are used in setting and revising goals in, for example, a weight control program.", "The effects of a weight control program on RMR can hence be compensated for, which enables an improved weight control program to be developed.", "In one embodiment, the person is provided with a portable electronic device, for use as a caloric intake calculator, caloric expenditure calculator, and caloric balance calculator.", "This user needs to carry the system whenever he or she wishes to consume food, which is not feasible all the time.", "U.S. Pat.", "No.", "7,959,567 relates to an apparatus for detecting at least one of human physiological and contextual information from the body of a wearer that includes a sensor device adapted to be worn on the body having one or more sensors selected from the group consisting of physiological sensors and contextual sensors and an I/O device in electronic communication with said sensor device.", "The I/O device includes means for displaying information and a dial, the dial being supported for rotational movement about an external surface of the I/O device.", "The dial enables the wearer to enter information into the I/O device.", "The I/O device may further include at least one button that also enables the wearer to enter information into the I/O device.", "The task of entering information relating to type and quantity of meals sometimes results in inaccurate estimation of caloric content if a user is not sure about the relative size of the meal.", "U.S. Pat.", "No.", "5,454,721 discloses a system intended to teach individuals the relationship between the visual size and a few nutritional characteristics of portions of food by using either a life size image of, or the corporeal finger of the individual, as a scale against images of different sized portions of different kinds of food, while showing a few nutritional characteristics of such portions.", "The system proposed is minimal when compared to the present invention's features.", "This system does not evaluate the user's ability to visually estimate macro and micronutrient content of meals nor does it permit analysis of an individual's dietary pro-activities.", "US20070179359 discloses a receiving a caloric request and a resting metabolic rate, computing an expended number of calories based on the user's resting metabolic rate and physical activity performed by the user, computing a consumed number of calories based on food the user consumes, determining a status for the user based on whether the user is to consume calories or expend calories, and sending an alert to the user.", "This method calculates the estimated calories based on the physical activity performed by the user and resting metabolic rate.", "Performing physical activity is not feasible for every user at every time with respect to their busy schedule.", "However, these techniques can sometimes be difficult to employ.", "As an example, during a busy day, people may forget to exercise or count caloric intake.", "As another example, people who are traveling may be unable to easily locate activity centers or food sources that help them to manage their health.", "Often, people lack the motivation to live healthy lives.", "The advent of smart phones with built-in cameras makes it possible to provide real-time assistance in the form of suggestions or comments on meals about to be consumed.", "Therefore, there is a need to provide a method and system operable by a software application integrated with a smart mobile phone to maintain a healthy lifestyle by a user attaining real-time assistance in estimating nutritional attributes in a meal, modifying the meal and encouraging them to maintain health for a longer duration.", "SUMMARY OF THE INVENTION The invention comprises a method for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising steps of: installing a software application in the user's mobile phone; registering with the said software application by providing personalized profile parameters, personalized health goals, other health goals of a user; providing a secured database to store photographs of food items/meals to be consumed by a user; analyzing the profile parameters of each user and generating specific ranked weight-loss rules/meal modification rules applicable to that particular user; generating specific modifications to the food items on the photograph of a meal by applying the user-specific weight-loss/meal modification rules; displaying the analysis information and the specific modifications on the food items to the user on the mobile phone screen; tracking the status/modification stage of the image by providing a speed indicator, and displaying a timeline of previously uploaded photographs of meals consumed, modifications, and other information, along with the means to rate the quality of the modifications and the level of adherence by the user.", "A feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) for providing suggested modifications.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the food items by a nutritionist/Artificial Intelligence (AI) and providing adherence/evaluation by the registered user.", "Another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user obtains assistance in neutralizing a food craving.", "Yet another feature of this invention is to provide real-time assistance to users in achieving their personalized health goals through a mobile phone, wherein the registered user submits specific information for analyzing the uploaded photographs of the unconsumed food items stored in a container or a device or a plate.", "A further feature of this invention comprises a system for providing real-time assistance to users in achieving their personalized health goals through a mobile phone comprising of: a mobile phone integrated with a software application; one or more secured databases to store the photographs of the food items/meals, generated ranked weight-loss rules/meal modification rules, suggested modifications; a processor for performing analysis of nutritional information; wherein comprises a container or a device provided with a closable lid and a knob for categorizing the food items for consuming placed on a plate, wherein creates a partition of the plate into which the rejected food items are placed using the device, and wherein comprises a placemat printed with colored squares of standard size for providing size reference for estimating size and/or quantity of food items on the plate that is placed on top of the placemat.", "Another feature of this system is to generate reports depicting past trends, current status and future predictions and displays the reports at the time of analyzing.", "BRIEF DESCRIPTION OF DRAWINGS: FIG.", "1a: illustrates the process flow for downloading and registering with the mobile phone application according to the preferred embodiment.", "FIG.", "1b: illustrates the process flow for generation of user-specific ranked weight-loss rules according to the preferred embodiment.", "FIG.", "2: illustrates the process flow for sending a meal-time reminder to the registered user according to the preferred embodiment.", "FIG.", "3: illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs according to the preferred embodiment.", "FIG.", "4a: illustrates the process flow for nutritionists to access the system for providing modifications according to the preferred embodiment.", "FIG.", "4b: illustrates the process flow for the AI Program to provide modifications according to the preferred embodiment.", "FIG.", "5: illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data according to the preferred embodiment.", "FIG.", "6: illustrates the process flow for escalating exceptions for further handling by experts according to the preferred embodiment.", "FIG.", "7: illustrates the process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications according to the preferred embodiment.", "FIG.", "8: illustrates the process flow for setting up ‘Help Groups’ for assistance according to the preferred embodiment.", "FIG.", "9: illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time according to the preferred embodiment.", "FIG.", "10: illustrates the process flow for requesting assistance from a nutritionist, dietician or other professional at any time according to the preferred embodiment.", "FIG.", "11: illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it according to the preferred embodiment.", "FIG.", "12a-b: illustrates a container into which rejected food items as part of the nutritionist's modification are placed according to the preferred embodiment.", "FIG.", "12c: illustrates a device for creating a partition on a plate into which rejected food items are placed according to the preferred embodiment.", "FIG.", "12d: illustrates a pouch-like device into which rejected food items are placed according to the preferred embodiment.", "FIG.", "13a: illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value according to the preferred embodiment.", "FIG.", "13b: illustrates a processed image of a plate with food items that are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item according to the preferred embodiment.", "FIG.", "14a: illustrates a placemat printed with grey and white squares of one-inch size to provide a sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "14b: illustrates a placemat printed with colored squares of one-inch size to provide color and sizing reference with the plate placed on top of the placemat according to the preferred embodiment.", "FIG.", "15: illustrates a plate partition as shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating the food items on the plate according to the preferred embodiment.", "FIG.", "16: illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside as the modification and report generation according to the preferred embodiment.", "FIG.", "17: illustrates the process flow for predicting a user's craving times and responding to it according to the preferred embodiment.", "FIG.", "18: illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user according to the preferred embodiment.", "FIG.", "19: illustrates the process flow for providing coaching to a user through two-way rich media according to the preferred embodiment.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A preferred embodiment of the present invention addresses the needs of individuals desiring to lose weight by modifying their food intake by analyzing the content of foods and assisting the individuals to adjust their eating to comply with their respective diet plans.", "The preferred embodiment provides a smart mobile phone application provided with facilities for individuals to upload a picture of a plate of food items or meals they are about to consume and receive a real-time or just-in-time modification to the meal generated by a nutritionist or an artificial intelligence (AI) program.", "The modification is generated based on the individual's personalized profile parameters, personalized weight-loss goals and the meal to be consumed as well as the history of meals previously consumed.", "Since the modification is generated at the point of consumption, the individual does not need to remember any dietary plans or guidelines or analyze any detailed information about the meal in order to make practical decisions about what to eat.", "The individual uploads a picture of the meal, receives a real time modification and eats the meal according to the modification.", "In the mobile application, individuals register as users and enter certain required profile parameters, and use the smart-phone camera to take photographs of meals and upload them to a secured database.", "Authorized nutritionists, dieticians or professionals examine the uploaded photographs and related information and compose specific modifications to the meals based on the individuals' respective profile parameters and their personalized weight-loss goals.", "The user receives a customized modification to the uploaded photograph by a qualified nutritionist.", "This greatly simplifies the weight-loss regimen.", "Nutritionists or dieticians edit or add pre-configured clarifying text, graphics, audio or video to the uploaded photographs in order to indicate the specific modifications.", "This embodiment also envisions the use of artificial intelligence techniques to algorithmically select uploaded photographs, apply user-specific weight-loss rules, generate and compose suitable modifications for the associated users.", "In cases where the photographs are not readable, the nutritionist or the artificial intelligence program marks them as exceptions and sets up a queue for further handling by more skilled human experts.", "Once the modifications are composed, push notifications are automatically sent to the respective users to view the modifications.", "The time of elapse of tracking for each photograph is monitored, and if it is elapsed beyond certain threshold limits delay notifications, tips and other information are automatically sent.", "The mobile application also displays a timeline of past photographs, modifications and comments, so the user may scroll back and forth to examine them at any time, zoom in to a specific past photograph, indicate actual adherence to the modifications and rate their quality, timeliness and effectiveness.", "The preferred embodiment also enables users to get answers to weight-loss or other health-related questions at any time by initiating a help request and directing it to a nutritionist or a dietician or other health professional, or an artificial intelligence program.", "Also, the users to get assistance in dealing with food cravings at any time by initiating a craving help request to assigned helper or friend groups, who may respond and attempt to distract the requesting user.", "A further provision aggregates, for a particular user, the nutritional values of the food ingested on a particular day, and suggest what items may be eaten at the end of the day to ensure that the user's specific daily dietary limits are not exceeded.", "This also provides information regarding nearby restaurant menus or grocery store items in the vicinity of the user's current location and suggests consuming the food items available in the restaurant menus or grocery stores.", "The present invention also provides a separate container or plate separator device for placing food items that are flagged as ‘do not eat at this meal’ or rejected as part of the nutritionist's modification, for ingestion by the user, a different person or animal, or for disposal, at a later time.", "In addition to this, the present invention also provides a placemat printed with grey and white or color patterned squares of standard size to provide a sizing and color reference, as assistance for estimating the nature, size and/or quantity of food items on a plate that is placed on top of the placemat.", "Referring now to FIG.", "1a, illustrates a process flow for downloading and registering with the mobile phone application by an individual user.", "An individual can locate and download the mobile application from play store or from a website and to activate the application by installing in the mobile phone [2].", "The application prompts the individual to enter the mobile phone number and receives a verification code and sends an authentication code as one-time-password to the mobile phone number for verification.", "The application then allows the user to enter the one-time-password [6].", "The system verifies the entered code and permits the user for further data entry [8] that includes personalized profile parameters, personalized health goal, other health goals, personalized weight-loss goals, etc.", "[10].", "The user's personalized profile parameters include age, gender, body shape, current weight & height, target weight, blood pressure, cholesterol, blood sugar, etc.", "The personalized health goals include target amount of weight to reduce, target amount of blood pressure or blood sugar to be attained, etc.", "Further, the user then accepts the terms and conditions of the system to attain the assistance of the application and completes the registration [12].", "The system stores the selected user data in the mobile phone application and in the secured server [14] with respective databases as secured server user database [16] and secured mobile app user database [18].", "Where, the user can be a person or a parent or a caregiver or a pet-owner seeking real-time assistance.", "FIG.", "1b, illustrates the process flow for generation of user-specific ranked weight-loss rules based on user-profile parameters and other user data from the secured server database.", "The system reads the user data and parameters [14] from the secured server user database [16].", "These user personalized profile parameters and other parameters such as recent meal history serve as inputs to the algorithms for generating the user specific ranked weight-loss rules.", "The ranked weight-loss rules also include meal modification rules that are generated by various algorithms for different health goals that are assigned based on the specific user's profile data and/or health goals.", "The system generates the user specific ranked rules for weight-loss [20] and stores the generated rules in a database of user specific ranked rules for weight loss [22].", "FIG.", "2, illustrates the process flow for sending a meal-time reminder to the registered user by prompting the user to activate the mobile application.", "The application runs a typical ‘Meal-Time Monitor’ routine [24] in the background that detects the occurrence of a ‘typical meal-time’, based on past history of meals and other relevant data entered by a registered user that is stored in the secured mobile application user database [18].", "When an impending meal-time is detected, the application displays a Meal-Time Reminder as a notification [26] that is displayed on the mobile phone screen.", "Simultaneously, the application also activates the camera and displays the camera icon for the user to capture a photograph of the next meal [28].", "The users can also use 2D or a 3D camera affixed to their eyeglass or other means to capture photographs of the food item/meals to be consumed.", "Further, the users are allowed to capture photographs of the food items at a grocery store to attain personalized instructions on their suitability, based on personalized health profile and other health goals.", "The registered user can also capture and upload additional or sequential photographs representing additional servings at a particular meal.", "The user captures multiple photographs of the meal [30], views them, and selects the best photograph with respect to clarity and uploads it [32] by clicking on the ‘upload’ button.", "Before uploading, the user is allowed to add specific graphical modifications or text comments or audio comments or requests and/or other related information to the captured photographs.", "These comments also include specific queries with respect to their weight-loss or health goals.", "Further, means are provided for the registered users to add annotations by clicking or touching on the mobile phone screen on certain food items in the modified image to indicate respective food item names and to provide specific requests to the nutritionist.", "Additionally, means are provided for the registered user to seek advice from the nutritionist or AI on what to eat for dessert at the end of the meal, given the meal that has just been consumed and receive a response; on what to eat for dinner at the end of the day, given all the meals that have been consumed thus far and receive a response and to seek advice on food item or recipe swaps to improve the quality of their nutritional intake.", "FIG.", "3, illustrates the process flow for storing the uploaded photographs in a secured database and queuing of the photographs to initiate further action.", "After uploading the best photographs by the registered users, the uploaded photographs are received, identified, time-stamped &associated with other user data [34], and stored in a secured database of uploaded photographs.", "All the data received from multiple users is stored in a secured database of meal photographs, time stamps and other user data [36].", "The system then sorts the data using pre-defined criteria and generates an input queue of photographs and associated data for further action by nutritionists, dieticians and other professionals [38].", "The System also generates an input queue of photographs for input to an Artificial Intelligence (AI) Program [40] and the further process is depicted in FIG.", "4b.", "The input queues are classified based on the specific text comments or requests attached to the uploaded photographs for assigning to a particular nutritionist or an AI.", "This classification is attained by providing specific filters that include by user, by associated nutritionist, by artificial intelligence program or the like for assigning the uploaded photographs.", "Additional filters are also provided based on meal modification rules or other criteria to further classify the input queues, thereby presenting an input queue of uploaded photographs to which same rules or criteria are to be applied.", "FIG.", "4a illustrates the process flow for nutritionists to access the system for providing modifications on the uploaded photographs of the food items.", "Nutritionists log in to the system to view the input queues of uploaded photographs, compose and save meal modifications.", "In the customary manner, nutritionists, dieticians or other authorized professionals log in to the system [44] after their authentication.", "Further, the system displays sorted input queues of photographs and associated information based on their respective authorizations [46].", "As new photographs are continuously uploaded by users, the system continuously refreshes the sorted input queues [48].", "A particular nutritionist, dietician or professional with proper authorizations, who has accessed his or her respective sorted queue, views thumbnails of the uploaded photographs and associated data and selects a particular photograph [50].", "The System then displays a larger version of the selected photograph along with the applicable Ranked Weight-loss Rules for the Particular User [60].", "The rules are generated using the Database of User-Specific Diet Rules [62] and the Database of User-Specific Ranked Rules for Weight Loss [22] according to the present embodiment.", "In other embodiments, the user-specific ranked rules may reflect other health objectives such as waist-reduction, heart-healthy, cancer-prevention, diabetes management, etc., or rules that enforce adherence to certain diets, e.g.", "DASH (Dietary Approaches to Stop Hypertension) Diet, etc.", "The Nutritionist analyzes the selected photograph and categorizes the food items in the meal [52].", "The nutritionist then applies the user's respective weight-loss rules to compose specific Meal Modifications and Comments by using web-based applications or mobile phone applications or tablet-based applications, and saves those [54] to the Secured Output Queue [66].", "The composed meal modifications and comments by the nutritionist include text based or graphic based comments or modifications on the photographs and also estimates of the nutritional attributes and their corresponding values of the food items or meals to be consumed or rejected by a user.", "The text based comments include generic eating instructions, personalized eating instructions, pre-configured textual comments and textual indicators on the modifications to indicate the increase or decrease in the quantity of the food to be consumed.", "The graphic based comments include free-form line drawings, visual effects for increasing/decreasing the appearance of the food items, pre-configured clarifying graphics, pre-configured graphical indicators to indicate the increase or decrease in the quantity of the food to be consumed, magnification/de-magnification of specific food items indicating consumption levels.", "Means are provided for vocal comments and video comments to be attached.", "These comments are stored in the secured database as a data set associated with a specific image or from a specific registered user.", "All these Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "If the nutritionist is unable to recognize or categorize the food items in any photograph, then the nutritionist generates an exception for that particular photograph [58].", "The nutritionist makes suggestions to reduce one item or to increase other items to compensate for nutritional values based on the specific user goals.", "Also, the nutritionist manually composes specific modifications to photographs based on the user's past meal history or user's specific dietary restrictions, profile parameters, personalized weight-loss goals or personalized diet plans.", "In one embodiment, there exists a means on the mobile phone to view a suggested eating sequence for eating food items in the photograph which is automatically projected by the registered user by clicking on the said means include an icon or the like.", "This suggested eating sequence can be an unconventional sequence projected as a numbered list.", "The exception marked photographs [58] are reverted back to the respective registered user, thereby enabling the user to modify or add clarifying comments to that particular food item and re-upload the photograph.", "In one embodiment, the nutritionist provides appropriate observations, or asks questions to a particular user depending on their diet; determines the consumable calories by a particular user based on the target amount of calories to be reduced, and determine the quantity of food items to be reduced/increased; uses pre-configured or free graphical/alphanumeric editing tools to indicate the food items to be modified and also sends encouraging or congratulatory comments when the plate of food needs no suggestions.", "In all embodiments, the nutritionist may be a dietician or a veterinarian or other professional, providing assistance to individual adults, parents on behalf of children, adults on behalf of their parents, pet-owners and so on.", "FIG.", "4b illustrates the process flow for the AI Program to provide modifications on the uploaded photographs of the food items.", "The automated programs using artificial intelligence (AI) techniques access input queues of uploaded photographs and associated data, select a photograph, access the associated data and user-specific weight-loss rules to generate and compose modifications and comments.", "The AI program selects a photograph in the input queue [72] to apply known exception rules from the database Known Exception Rules Database [76] on the selected photograph [74].", "If exception rules apply, the AI Program generates an exception immediately [82] and assigning an exception mark to that particular image if unrecognizable or not clear to be properly analyzed or if the program is unable to generate modifications.", "The AI program sets up an exception queue for queuing of photographs marked with exceptions and automatically send notifications to an expert panel or agent for further processing and selects the next photograph from the input queue.", "The AI program analyzes the selected photograph, categorizes the food Items and applies user rules [78] to compose meal modifications [80] and saves them to a Secured Output Queue [66].", "All Photographs, modifications and associated data are also stored in the Secured Database of Food Items, Values, Modifications and Rules as an archive [56].", "The user-specific diet and weight-loss rules [78] are generated using the database of User-Specific Diet Rules [62] and the database of user-specific weight-loss rules based on their respective profile data [64] stored in the database of User-Specific Ranked Weight Loss Rules [22].", "The AI program also displays a timeline of past photographs, modifications and comments to the registered user for examination and sends automated reminders or notifications including tips related to health, weight-loss, meal-time reminders or the like.", "In one embodiment, the AI program suggests modifications to reduce one item or to increase another item to compensate nutritional values based on specific user goals and overlays color shading within the food item borders to indicate each item's respective dominant nutritional attribute thereby assisting the nutritionist to recognize the items need to be modified.", "The AI program automatically edits the uploaded image by inserting text icons and graphics to convey the suggested modifications for approval by the nutritionist.", "In one embodiment, the AI directs the nutritionist to estimate the nutritional attributes of the food items after identifying the respective food items, and by intimating the particular user's amount of nutritional attributes consumed on a particular day, enables the nutritionist to recommend the items to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In another embodiment, the AI determines the current physical location of a user from the mobile phone to provide information relating to restaurants, menu items, grocery stores, and other places where meals or food items are available in the vicinity of the user's current location and intimates a particular user the amount of nutritional attributes consumed on a particular day and recommends the items that are available in restaurants, grocery stores, etc., in the vicinity of the user's current location to be eaten by the end of that day to maintain the user's specific daily dietary limits.", "In yet another embodiment, the AI intimates the user on the amount of nutritional values to be consumed on a meal by meal, daily, weekly or other time period basis.", "In one embodiment, the AI automatically generates and displays personalized/opening messages along with a calorie or other nutritional attribute value to be consumed for that day or to be consumed for lunch or to be consumed for dinner; automatically generates and displays personalized/opening messages with recommendations for the next meal as specific food items or recipe modifications or restaurant menu choices or products available in store shelves with modifications.", "In another embodiment, the AI monitors and analyzes the user's eating patterns and flags serious issues that prevent achievement of user objectives, and initiates or recommends a counseling session with an adviser or different AI program to provide personalized or general advice.", "FIG.", "5 illustrates the process flow for notifying the users regarding the suggested modifications and sending the appropriate data to them.", "The system notifies the users that a modification is ready to be viewed and enables the user to view the modification and comments.", "The System continuously scans the Secured Output Queue [66], Selects the next available item, reads the user bandwidth setting from the user profile parameters [84] stored in the Secured Server User Database [16].", "Each image in the output queue is consolidated with the its respective identifiers, time-stamps, modifications, comments and other associated information to save the entire data set in the secured server database.", "Based on the user bandwidth setting, the system either composes the full dataset [86], including the uploaded photograph, modifications, comments, and other associated information that is to be sent to the respective mobile phone, or the system composes a subset that excludes the uploaded photograph [88].The system then identifies the user's mobile number and sends a push notification with the full data set [90], or the data subset [96].", "The sub-data set consists of modifications, comments and associated information, but not the image uploaded by the registered user.", "The user upon seeing the push notification opens it [92], and the mobile application automatically displays the full dataset, including the photograph, modifications and comments [94] or overlays the data subset on the photograph in the mobile application database, and then displays the photograph, modifications and comments [98].", "FIG.", "6 illustrates the process flow for escalating exceptions for further handling by experts.", "An exception is manually generated by a nutritionist if he or she is unable to recognize or categorize items in an uploaded photograph [58].", "Similarly, the AI Program generates an immediate exception if known exception rules applied to a particular uploaded photograph [82].", "In both cases, the subject photographs and associated data are flagged as exceptions and transferred to an exception queue [100].", "An expert nutritionist selects a particular photograph from the exception queue [102], analyzes the selected photograph and categorizes the food items [104] to compose the modification [110] by applying the weight-loss rules for the particular user [60] and save these modifications to the Secured Output Queue[66].", "If the expert nutritionist is unable to analyze the Photograph, he or she appends an ‘Apology’ customer service type message [106] and saves it to the Secured Output Queue [66].", "FIG.", "7 illustrates the user process flow for viewing a timeline of their past meal photographs, modifications and comments and indicating their adherence to the modifications by providing rating on the quality of the modifications.", "The registered user activates the mobile application [112], opens the Timeline view and scrolls through the photographs [114].", "The user then selects a particular photograph and views it, the modifications and comments in more detail [116].", "In one embodiment, means are provided in the Timeline view for the user to enter health information such as weight, waist circumference, blood pressure, blood sugar, etc.", "manually/automatically by importing from various devices.", "While viewing the photograph, modifications and comments, user may evaluate and rate the quality and effectiveness of the modifications [118], by selecting the appropriate graphical or other indicators provided in the display.", "The evaluation is also attained by providing comments, star-rating on a scale representing the quality of the modifications or by dragging on the timeline by holding a slider.", "The user rating is stored in the Modification Rating Database [120].", "The user may also enter his or her adherence to the modifications by selecting the provided indicators [122], for example, whether he or she implemented the modifications fully or partially by uploading a second image depicting the actual post-consumption left-over's or unconsumed food items set aside.", "Adherence indications are stored in the User Adherence Database [124].", "FIG.", "8 illustrates the process flow for setting up ‘help groups’ for assistance by selecting individuals from their known contacts as well as from other users of the mobile application.", "The user may call upon any of the groups for assistance in dealing with a food craving, at any time of day.", "Any individual or individuals from the called-upon group may respond via any means available and try to distract or dissuade the user from succumbing to the craving.", "It is not necessary for ‘Help Friend’ Group members to be registered users of the application or services.", "The user activates the app in the usual manner [112], and uses the app function to set up at least one named ‘Help Friend’ groups [130].", "Multiple such groups can be set up.", "The user then selects certain personal contacts in order to invite them to join that particular group [132].", "The system immediately sends Pre-Configured Text Message (SMS) invitation to the invitees [134], and resends the invitation one more time if any invitee does not respond after a set period [136].", "If an invitee responds in the affirmative [138], then the system registers that invitee as a member of the user's named ‘Help Friend’ group [140].", "If an invitee responds in the negative [142], the system does not register that invitee as a member of the user's named ‘Help Friend’ group and notifies the user that the invitation has been declined [144].", "FIG.", "9 illustrates the process flow assisting the ‘Help Groups’ dealing with food cravings at any time of the day.", "The user activates the app in the usual manner [112].", "Assuming at least one named ‘Help Friend’ group with at least one contact has been set-up.", "The user can request assistance in dealing with a food craving at any time by selecting a particular named ‘Help Friend’ group [146].", "The system immediately dispatches ‘Help’ push notifications to the members of the selected named group [148].", "Any member of the named group, regardless of whether or not they are registered users, responds by any available means to help the user [150], including SMS (text messaging), chats, phone call, sending information (e.g.", "jokes, cartoons, videos, links, etc.)", "and attempts to distract the requesting registered user from the craving.", "Craving help requests are maintained open for a specified duration and are automatically closed after receiving at least one response, or at the end of the duration, whichever occurs first.", "Alternatively, the AI automatically responds to the craving request to distract the registered user from the craving at typical snack-craving times or at anytime or if there is no response from any helper or group after a set duration.", "This AI automatically engages different friends when having different kinds of cravings by mapping specific cravings to a given friend's profile, sends a reminder or a notification to a specially-designated friend to proactively distract a specific registered user from a craving and analyzes patterns of craving to predict the next time of day when a registered user might get a craving and proactively suggests or engages a friend to respond to the craving.", "FIG.", "10 illustrates the process flow for requesting assistance from a helper, nutritionist, dietician or other professional (Expert') at any time.", "The user may have a diet related question, may have taken a photograph of a meal and want a modification, or may want a modification to a recipe before cooking, or may be at a restaurant and want a menu item modification, etc.", "The user activates the app in the usual manner [112].", "Assuming at least one ‘Expert’ with his or her contact information has been set up, the user can request help with any relevant subject matter as described above.", "The user composes a help request [152] by adding textual data and attaching photographs or other documents to the request.", "The user then selects at least one expert contact to send the request [154].", "The system immediately sends a push notification to the selected expert [156].", "The expert views the help request [158] and responds [160] by any available means to help the user, including SMS (text messaging), chats, phone call, or sending information (e.g.", "jokes, cartoons, videos, links, etc.", "), or suggest modifications using textual and graphical tools.", "FIG.", "11 illustrates the process flow for calculating the modification quality ratings by nutritionist and user's adherence to it and stored in their respective databases.", "The system reads the modification quality ratings in the modification rating database [120], sorts the data by nutritionist and calculates an overall quality rating that is, for example, an average of user ratings for a particular nutritionist over a set time period [162].", "It then stores the nutritionist-respective ratings in the database of modification quality ratings by nutritionist [164].", "Similarly, the system reads the user adherence database [124] sorts the data by user and calculates the user adherence indicator for each user [166].", "It then stores the user-respective indicators in the database of user adherence to modifications by user [168].", "FIG.", "12a-b illustrates a container into which rejected food items as part of the nutritionist's modification are placed.", "The container [170] accommodates food items that are flagged as ‘not for eating at this meal’ representing rejected food items as part of the nutritionist modification, for disposal or ingestion at a later time.", "In one embodiment, the container [172] is inbuilt into a plate [174] equipped with a separate lid [176] for accommodating food items that are flagged as ‘not for eating at this meal’ representing rejected as part of the nutritionist modification as shown in FIG.", "12b.", "FIG.", "12c illustrates a device for creating a partition on the plate into which rejected food items are placed.", "The device [178] that creates a partition on the plate [174] into which food items flagged as ‘not for eating at this meal’, representing rejected as part of the nutritionist's modification for consumption or disposal at later stage.", "FIG.", "12d illustrates a pouch-like device into which rejected food items are placed.", "The pouch-like device into which food items flagged as ‘not for eating at this meal’ as part of the nutritionist's modification for consumption or disposal at a later stage.", "FIG.", "13a illustrates a processed image of a plate with food items that have been color-coded based on their dominant nutritional attribute value depicting white for food items that are mostly carbohydrate, like rice, red for protein-dominant items or green for vegetable/fiber-dominant items.", "FIG.", "13b illustrates a processed image of a plate with food items on which are superimposed, pie-charts or other like representations indicating the relative proportions of various nutritional attributes of each food item such as, for example, a pie chart on a rice-based item showing a majority of carbohydrate ‘C’, followed by fiber ‘F’, protein ‘P’ and sodium ‘S’.", "FIG.", "14a a placemat printed with grey and white squares of one-inch or standard size to provide a sizing reference as an aid for estimating the size and/or quantity of the food items on a plate that is placed on top of the placemat.", "FIG.", "14b illustrates a placemat printed with colored squares of one-inch or standard size to provide color and sizing reference as an aid for identifying the food items and estimating their size and/or quantity on a plate that is placed on top of the placemat.", "FIG.", "15 shows a plate partition device shown in FIG.", "12c printed with standard sized colored squares that serve as reference in identifying and estimating food items on the plate.", "FIG.", "16 illustrates the process flow for estimating the nutritional values of the food items for either a plate of food or the food items set aside or rejected as the modification, and report generation.", "The photograph of a plate of food or modification is analyzed [251] for individual food items, identified and labeled [252], and their respective number or quantities are estimated [253].The total nutritional attribute values such as carbohydrate, protein, fiber, etc.", "of each food item in the photograph are calculated [255], by taking the product of the quantity or number of a specific food item and its respective nutritional attribute values from a database [254] of such values for a large number of food items.", "The calculated values are displayed [259] in tabular form and each item is labeled by its predominant nutritional attribute [260].", "These calculations are stored in a database [258], and personalized reports are generated [261] for individual users.", "These reports also depict past trends, current status and future predictions and display them at the time of analyzing.", "FIG.", "17 illustrates the process flow for predicting a user's craving times and generating proactive distractions to it.", "Snacking adds unnecessary calories, carbs, sodium, fats etc., to the daily intake and can thwart weight-loss.", "A user may get a craving at some time of day for a snack; these cravings typically last several minutes and by distracting the person, attention is diverted and the craving passes.", "The ‘Buzz’ function is a means to request ‘distractions’ from friends or others.", "When a user has a craving and uses the ‘Buzz’ function [271], the use is time-stamped [272], and the buzz requests are stored in a database [273].", "These requests are analyzed and typical craving times of day are computed [274], for that particular user and stored in a database [275].", "At a typical craving time [276], the system checks if the user has already used the Buzz function [277].", "If the user has not used the Buzz function, the system automatically sends a proactive Buzz request to the user's friends [279].", "If the user has already used the Buzz function, no action is taken and any automated buzz is suspended [278].", "After a predetermined delay, the system automatically sends a buzz response to the user [281], drawing from a database of automatic buzz responses [280].", "All buzzes and responses are recorded [282] and stored in a database [283].", "FIG.", "18 illustrates the process flow for monitoring the user's eating patterns and flag issues raised by the user and providing personalized advice.", "At any preset time, e.g.", "end of day [293], the AI, nutritionists or other staff analyze the user-uploaded images [294], from the database of user-uploaded images [295], applying issue-analysis criteria [292].", "The criteria may include ‘eating the same food items 3 days in a row’, ‘eating more than 5 servings of carbohydrates’, etc.", "The findings from the analysis are stored in a database [296].", "A nutritionist or other staff professional views the findings for a particular user and manually composes personalized tips [297] that are stored in a database of personalized tips [300].", "At some convenient time of day, the system automatically sends the personalized tips to the respective user [301].", "Alternatively, an artificial intelligence (AI) program [298] may compose such personalized tips.", "An artificial intelligence program [299] also flags issues that may be detrimental to achievement of user objectives (such as eating too many calories, which would not help achieve a weight-loss objective) and store such issues in a database [302].", "This database may be used by a counselor to provide advice to the user on flagged issues [303].", "The artificial intelligence program [304] would use the database [302] to compose and send personalized messages to advise the user about their respective flagged issues.", "FIG.", "19 illustrates the process flow for providing coaching to a user through two-way rich media.", "The registered user's questions are received [311] by the system and stored in a database [312].", "An authorized or user-assigned nutritionist [313] selects a question and views associated user information [314], which may be in the forms of text, images, graphics, voice, etc.", "stored in a database [315].", "Based on analysis of the questions and associated information, the nutritionist or other professional manually composes a multi-media response [317], selecting and attaching appropriate content from the database [316] and storing the response in a database [319].", "Alternatively, an artificial intelligence program [318] may compose and store the response.", "The system sends the response to the user [320].", "The user may view the response and enter further clarifying questions or comments [321].", "Such two-way interactions continue until the user concludes the interaction.", "Various modifications and adaptations on the described preferred embodiments can be configured without departing from the scope and spirit of the invention.", "Therefore, it is to be understood that within the scope of the appended claims, the invention may be practiced other than as specifically described herein." ] ]
Patent_15875812
[ [ "Slim Booster Bars for Electronic Devices", "A wireless device includes at least one slim radiating system having a slim radiating structure and a radio-frequency system.", "The slim radiating structure includes one or more booster bars.", "The booster bar has slim width and height factors that facilitate its integration within the wireless device and the excitation of a resonant mode in the ground plane layer, and has a location factor that enables it to achieve the most favorable radio-frequency performance for the available space to allocate the booster bar.", "The at least one slim radiating system may be configured to transmit and receive electromagnetic wave signals in one or more frequency regions of the electromagnetic spectrum." ], [ "1.A wireless handheld or portable electronic device comprising: a slim radiating system configured to transmit and receive electromagnetic wave signals in a first frequency region, the slim radiating system comprising: a slim radiating structure comprising a ground plane layer and a first booster bar comprising a single standard layer of dielectric material including electrically connected top and bottom conductive surfaces, the first booster bar having a slim width factor greater than 3 and a slim height factor greater than 3 and being separated from the ground plane layer by a gap and having a location factor in a range of 0.3 to 1.8, wherein the first booster bar fits in an imaginary sphere having a radius smaller than ⅓ of a free-space wavelength corresponding to a lowest frequency of the first frequency region divided by two times n (pi); a first external conductive path; a radio-frequency system comprising a first matching circuit configured to provide impedance matching within the first frequency region at the first external conductive path; and a first internal conductive path coupling the first booster bar to the radio-frequency system.", "2.The wireless handheld or portable electronic device of claim 1, wherein: the slim radiating system is configured to transmit and receive electromagnetic wave signals in a second frequency region, wherein a lowest frequency of the second frequency region is at a frequency higher than a highest frequency of the first frequency region; the slim radiating system comprises a second internal conductive path and a second external conductive path; the slim radiating structure comprises a second booster bar; the second booster bar comprises a single standard layer of dielectric material including a top and a bottom conductive surfaces electrically connected, has a slim width factor greater than 3 and a slim height factor greater than 3, and is separated from the ground plane layer by a gap and has a location factor in a range of 0.3 to 1.8; the second booster bar fits in an imaginary sphere having a radius smaller than ⅓ of a free-space wavelength corresponding to a lowest frequency of the first frequency region, divided by two times n (pi); the second internal conductive path couples the second booster bar to the radio-frequency system; and the radio-frequency system comprises a second matching circuit configured to provide impedance matching within the second frequency region at the second external conductive path.", "3.The wireless handheld or portable electronic device of claim 2, wherein: the slim radiating system is configured to transmit and receive electromagnetic wave signals in a third frequency region, wherein a highest frequency of the third frequency region is at a frequency lower than a lowest frequency of the first frequency region; the slim radiating system comprises a third internal conductive path and a third external conductive path; the slim radiating structure comprises a booster element including third and fourth booster bars, the third booster bar being adjacent to the fourth booster bar, and wherein the third and fourth booster bars are electrically connected; each of the third and fourth booster bars comprises a single standard layer of dielectric material including a top and a bottom conductive surfaces electrically connected; the booster element has a slim width factor greater than 6 and a slim height factor greater than 6, and is separated from the ground plane layer by a gap and has a location factor in a range of 0.3 to 1.8; the booster element fits in an imaginary sphere having a radius smaller than ⅓ of a free-space wavelength corresponding to a lowest frequency of the first frequency region divided by two times n (pi); the third internal conductive path couples the booster element to the radio-frequency system; and the radio-frequency system comprises a third matching circuit configured to provide impedance matching within the third frequency region at the third external conductive path.", "4.The wireless handheld or portable electronic device of claim 1, wherein: the slim radiating system is configured to transmit and receive electromagnetic wave signals in a second frequency region, wherein a lowest frequency of the second frequency region is at a frequency higher than a highest frequency of the first frequency region; and the first matching circuit is further configured to provide impedance matching within the second frequency region at the first external conductive path.", "5.The wireless handheld or portable electronic device of claim 4, wherein the first matching circuit comprises a single branch.", "6.The wireless handheld or portable electronic device of claim 4, wherein the first matching circuit comprises no more than seven lumped components.", "7.The wireless handheld or portable electronic device of claim 4, wherein the first frequency region comprises an 824-960 MHz frequency range.", "8.The wireless handheld or portable electronic device of claim 7, wherein the second frequency region comprises a 1710-2170 MHz frequency range.", "9.The wireless handheld or portable electronic device of claim 1, wherein the first booster bar features a first resonance frequency greater than 2,700 MHz, when measured in a monopole configuration in a platform comprising a substantially square conductive surface made of copper, the platform comprising sides of 60 centimeters and a thickness of 0.5 millimeters.", "10.A wireless handheld or portable electronic device comprising: a slim radiating system configured to transmit and receive electromagnetic wave signals in a first frequency region, the slim radiating system comprising: a slim radiating structure comprising a ground plane layer and a booster element including first and second booster bars that are adjacent and electrically connected to each other, each of the first and second booster bars comprising a single standard layer of dielectric material including electrically connected top and bottom conductive surfaces, and having a slim width factor greater than 3.5 and a slim height factor greater than 4, each of the first and second booster bars being separated from the ground plane layer by a gap and having a location factor in a range of 0.3 to 1.8, wherein the booster element fits in an imaginary sphere having a radius smaller than ⅓ of a free-space wavelength corresponding to a lowest frequency of the first frequency region, divided by two times n (pi); a first external conductive path; a radio-frequency system comprising a first matching circuit configured to provide impedance matching within the first frequency region at the first external conductive path; and a first internal conductive path coupling the first booster element to the radio-frequency system.", "11.The wireless handheld or portable electronic device of claim 10, wherein: the slim radiating system is configured to transmit and receive electromagnetic wave signals in a second frequency region, wherein a lowest frequency of the second frequency region is at a frequency higher than a highest frequency of the first frequency region; the slim radiating system comprises a second internal conductive path and a second external conductive path; the slim radiating structure comprises a third booster bar comprising a single standard layer of dielectric material including electrically connected top and bottom conductive surfaces, and having a slim width factor greater than 3.5 and a slim height factor greater than 4, the third booster bar being separated from the ground plane layer by a gap and having a location factor in a range of 0.3 to 1.8; the second internal conductive path couples the third booster bar to the radio-frequency system; and the radio-frequency system comprises a second matching circuit configured to provide impedance matching within the second frequency region at the second external conductive path.", "12.The wireless handheld or portable electronic device of claim 10, wherein a minimum distance between the top conductive surface and the bottom conductive surface of each of the first and second booster bars is no greater than 3 millimeters.", "13.The wireless handheld or portable electronic device of claim 10, wherein the first frequency region comprises a 698-960 MHz frequency range.", "14.A wireless electronic device comprising: a slim radiating system configured to transmit and receive electromagnetic wave signals in a first frequency region and in a second frequency region, wherein a lowest frequency of the second frequency region is at a frequency higher than a highest frequency of the first frequency region, the slim radiating system comprising: a slim radiating structure comprising a ground plane layer and a booster bar comprising a single standard layer of dielectric material including electrically connected top and bottom conductive surfaces, a longest dimension of the booster bar being at least 3 times greater than a shortest dimension of the booster bar, the booster bar being separated from the ground plane layer by a gap and having a location factor in a range of 0.3 to 1.8, wherein the booster bar fits in an imaginary sphere having a radius smaller than ⅓ of a free-space wavelength corresponding to a lowest frequency of the first frequency region divided by two times n (pi); an external conductive path; a radio-frequency system comprising a matching circuit configured to provide impedance matching within the first and second frequency regions at the external conductive path; and an internal conductive path coupling the booster bar to the radio-frequency system.", "15.The wireless electronic device of claim 14, wherein the longest dimension of the booster bar is at least 4 times greater than the shortest dimension of the booster bar.", "16.The wireless electronic device of claim 14, wherein the matching circuit comprises seven or fewer components.", "17.The wireless electronic device of claim 16, wherein: the matching circuit comprises a ladder topology with five stages in the sequence: series-parallel-series-parallel-series; and each stage comprises one component.", "18.The wireless handheld or portable electronic device of claim 16, wherein: the matching circuit comprises a ladder topology with five stages in the sequence: series-parallel-series-parallel-series; and one of the five stages comprises a parallel LC circuit, and another one of the five stages comprises a series LC circuit.", "19.The wireless handheld or portable electronic device of claim 14, wherein the booster bar has a first resonance frequency greater than 2,700 MHz, when measured in a monopole configuration in a platform comprising a substantially square conductive surface made of copper, the platform comprising sides of 60 centimeters and a thickness of 0.5 millimeters." ], [ "<SOH> BACKGROUND <EOH>Wireless electronic devices typically handle one or more cellular communication standards, and/or wireless connectivity standards, and/or broadcast standards, each standard being allocated in one or more frequency bands, and the frequency bands being contained within one or more regions of the electromagnetic spectrum.", "For that purpose, a typical wireless electronic device must include a radiating system capable of operating in one or more frequency regions with an acceptable radio-electric performance (in terms of for instance reflection coefficient, standing wave ratio, impedance bandwidth, gain, efficiency, or radiation pattern).", "The integration of the radiating system within the wireless electronic device must be effective to ensure that the overall device attains good radio-electric performance (such as for example in terms of radiated power, received power, sensitivity) without being disrupted by electronic components and/or human loading.", "Additionally, a space within the wireless electronic device is usually limited and the radiating system has to be included in the available space.", "The radiating system is expected to be small enough to occupy as little space as possible within the device, which then allows for smaller devices, or for the addition of more specific components and functionalities into the device.", "At the same time, it is sometimes convenient for the radiating system to be flat since this allows for slim devices.", "Thus, many of the demands for wireless devices also translate to specific demands for the radiating systems thereof.", "This is even more critical in the case in which the wireless device is a multifunctional wireless device.", "Commonly-owned patent applications WO2008/009391 and US2008/0018543 describe a multifunctional wireless device.", "The entire disclosure of aforesaid application numbers WO2008/009391 and US2008/0018543 are hereby incorporated by reference.", "For a good wireless connection, high efficiency is further required.", "Other more common design demands for radiating systems are the reflection coefficient (or standing-wave ratio, SWR) and the impedance which is supposed to be about 50 ohms.", "Other demands for radiating systems for wireless handheld or portable devices are competitive cost and a low SAR.", "Furthermore, a radiating system has to be integrated into a device or, in other words, a wireless device has to be constructed such that an appropriate radiating system may be integrated therein which puts additional constraints by consideration of the mechanical fit, the electrical fit, and the assembly fit.", "Of further importance, usually, is the robustness of the radiating system, which means that the radiating system does not change its properties upon smaller shocks to the device and the human loading.", "Besides radio-frequency performance, small size and reduced interaction with human body and nearby electronic components, one of the current limitations of the prior-art is that generally the antenna system is customized for every particular wireless handheld device model.", "The mechanical architecture of each device model is different and the volume available for the antenna severely depends on the form factor of the wireless device model together with the arrangement of the multiple components embedded into the device (e.g., displays, keyboards, battery, connectors, cameras, flashes, speakers, chipsets, memory devices, etc.).", "As a result, the antenna within the device is mostly designed ad hoc for every model, resulting in a higher cost and a delayed time to market.", "In turn, as typically the design and integration of an antenna element for a radiating structure is customized for each wireless device, different form factors or platforms, or a different distribution of the functional blocks of the device will force to redesign the antenna element and its integration inside the device almost from scratch.", "A radiating system for a wireless handheld or portable device typically includes a radiating structure comprising an antenna element which operates in combination with a ground plane layer providing a determined radio-frequency performance in one or more frequency regions of the electromagnetic spectrum.", "Typically, the antenna element has a dimension close to an integer multiple of a quarter of the wavelength at a frequency of operation of the radiating structure, so that the antenna element is at resonance or substantially close to resonance at the frequency of operation, and a radiation mode is excited on the antenna element.", "Antenna elements operating in multiple frequency bands allocated at different regions of the electromagnetic spectrum usually present complex mechanical designs and considerable dimensions, mainly due to the fact that antenna performance is highly related to the electrical dimensions of the antenna element.", "A further problem associated to the integration of the radiating structure, and in particular to the integration of the antenna element in a wireless device is that the volume dedicated for such integration has continuously shrunk with the appearance of new smaller and/or thinner form factors for wireless devices, and with the increasing convergence of different functionalities in a same wireless device.", "Therefore, from the conventional wisdom perspective, the trend in seeking for slimmer wireless device is incompatible with maximizing the performance of a traditional antenna device, which again, it is known to have a high correlation between antenna size (relative to the operating wavelengths) and performance.", "Some techniques to miniaturize and/or optimize the multiband behavior of an antenna element have been described in the prior art.", "However the radiating structures described therein still rely on exciting a radiation mode on the antenna element for each one of the frequency bands of operation.", "This fact leads to complex mechanical designs and large antennas that usually are very sensitive to external effects (such as for instance the presence of plastic or dielectric covers that surround the wireless device), to components of the wireless device (such as for instance, but not limited to, a speaker, a microphone, a connector, a display, a shield can, a vibrating module, a battery, or an electronic module or subsystem) placed either in the vicinity of, or even underneath, the radiating element, and/or to the human loading.", "A multiband antenna system is sensitive to any of the above mentioned aspects because they may alter the electromagnetic coupling between the different geometrical portions of the radiating element, which usually translates into detuning effects, degradation of the radio-frequency performance of the antenna system and/or the radio-frequency performance of the wireless device, and/or greater interaction with the user (such as an increased level of SAR).", "In this sense, a radiating system such as the one described in the present invention not requiring a complex and/or large antenna formed by multiple arms, slots, apertures and/or openings and a complex mechanical design is preferable in order to minimize such undesired external effects and simplify the integration within the wireless device.", "Some other attempts have focused on antenna elements not requiring a complex geometry while still providing some degree of miniaturization by using an antenna element that is not resonant in the one or more frequency ranges of operation of the wireless device.", "For example, WO2007/128340 discloses a wireless portable device comprising a non-resonant antenna element for receiving broadcast signals (such as, for instance, DVB-H, DMB, T-DMB or FM).", "The wireless portable device further comprises a ground plane layer that is used in combination with said antenna element.", "Although the antenna element has a first resonant frequency above the frequency range of operation of the wireless device, the antenna element is still the main responsible for the radiation process and for the radio-frequency performance of the wireless device.", "This is clear from the fact that no radiation mode can be excited on the ground plane layer because the ground plane layer is electrically short at the frequencies of operation (i.e., its dimensions are much smaller than the wavelength).", "For this kind of non-resonant antenna elements, a matching circuitry is added for matching the antenna to a level of SWR in a limited frequency range, which in this particular case can be around SWR<6.Such level of SWR together with the limited bandwidth results in antenna elements which are only acceptable for reception of electromagnetic wave signals but not desirable for transmission of electromagnetic wave signals.", "With such limitations, while the performance of the wireless portable device may be sufficient for reception of electromagnetic wave signals (such as those of a broadcast service), the antenna element could not provide an acceptable performance (for example, in terms of reflection coefficient or gain) for a communication service requiring also the transmission of electromagnetic wave signals.", "Commonly-owned patent applications WO2008/119699 and US2010/0109955 describe a wireless handheld or portable device comprising a radiating system capable of operating in two frequency regions.", "The radiating system comprises an antenna element having a resonant frequency outside said two frequency regions, and a ground plane layer.", "In this wireless device, while the ground plane layer contributes to enhance the electromagnetic performance of the radiating system in the two frequency regions of operation, it is still necessary to excite a radiation mode on the antenna element.", "In fact, the radiating system relies on the relationship between a resonant frequency of the antenna element and a resonant frequency of the ground plane layer in order for the radiating system to operate properly in said two frequency regions.", "Nevertheless, the solution still relies on an antenna element whose size is related to a resonant frequency that is outside of the two frequency regions.", "The entire disclosures of the aforesaid application numbers WO2008/119699 and US2010/0109955 are hereby incorporated by reference.", "A different radiating system is disclosed in U.S. Pat.", "No.", "6,674,411, in which a planar inverted-L antenna (i.e., a patch antenna) has a radiating element composed by a rectangular plate placed above and substantially parallel to a ground plane.", "The antenna is connected to a matching network that provides a match in one frequency band in a first frequency region, and in one frequency band in a second frequency region.", "Thus the antenna system is limited to single-band operation in both frequency regions.", "When operation in more bands is sought, the antenna system requires of a switched (active) matching network that provides non-simultaneous impedance matching in each frequency band.", "So in spite of having an antenna that occupies a large volume (20×10×8 mm3), not more than dual-band operation may be provided simultaneously.", "For at least the above reasons, wireless device manufacturers regard the volume dedicated to the integration of the radiating structure, and in particular the antenna element, as being a toll to pay in order to provide wireless communication capabilities to the handheld or portable device.", "In order to reduce as much as possible the volume occupied into the wireless handheld or portable device, recent trends in handset antenna design are oriented to maximize the contribution of the ground plane to the radiation process by using very small non-resonant elements.", "However, non-resonant elements usually are forced to include a complex radio-frequency system.", "Thus, the challenge of these techniques mainly relies on said complexity (combination of inductors, capacitors, and transmission lines), which is required to satisfy impedance bandwidth and efficiency specifications.", "Commonly owned patent applications, WO2010/015365, and WO2010/015364 are intended for solving some of the aforementioned drawbacks.", "Namely, they describe a wireless handheld or portable device comprising a radiating system including a radiating structure and a radio-frequency system.", "The radiating structure is formed by a ground plane layer presenting suitable dimensions as for supporting at least one efficient radiation mode and at least one radiation booster capable of coupling electromagnetic energy to said ground plane layer.", "The radiation booster is not resonant in any of the frequency regions of operation and, consequently, a radio-frequency system is used to properly match the radiating structure to the desired frequency bands of operation.", "More particularly, in WO2010/015364 each radiation booster is intended for providing operation in a particular frequency region.", "Thus, the radio-frequency system is designed in such a way that the first internal port associated to the first radiation booster is highly isolated from the second internal port associated to a second radiation booster.", "Said radio-frequency system usually comprises a matching network including resonators for each one of the frequency regions of operation and a set of filters for each one of the frequency regions of operation.", "Thus, said radio-frequency system requires multiple stages and the performance of the radiating systems in terms of efficiency may be affected by the additional losses of the components.", "As each radiation booster is generally intended for providing operation in a particular frequency region, the bandwidth capabilities may be limited for some applications requiring very wide bandwidth specially at the low frequency region, as for example for wireless devices operating at LTE700, GSM850 and GSM900.Commonly owned patent applications WO2014/012796 and US2014/0015730 disclose a concentrated wireless device comprising a radiating system including a radiating structure and a radio-frequency system, such device operating two or more frequency regions of the electromagnetic spectrum.", "A feature of said radiating system is that the operation in at least two frequency regions is achieved by one radiation booster, or by at least two radiation boosters, or by at least one radiation booster and at least one antenna element, wherein the radio-frequency system modifies the impedance of the radiating structure, providing impedance matching to the radiating system in the at least two frequency regions of operation of the radiating system.", "The entire disclosure of aforesaid application numbers WO2014/012796 and US2014/0015730 are hereby incorporated by reference.", "Commonly owned patent applications WO2014/012842 and US2014/0015728 disclose very compact, small size and light weight radiation boosters operating in single or in multiple frequency bands.", "Such radiation boosters are configured to be used in radiating systems that may be embedded into a wireless handheld device.", "Said patent applications further disclose radiation booster structures and their manufacturing methods that enable reducing the cost of both the booster and the entire wireless device embedding said booster inside the device.", "The entire disclosure of aforesaid application numbers WO2014/012842 and US2014/0015728 are hereby incorporated by reference.", "Another technique, as disclosed in U.S. Pat.", "No.", "7,274,340, is based on the use of two coupling elements.", "According to the invention, quad-band operation (GSM 1800/1900 and GSM850/900 bands) is provided with two coupling elements: a low-band (LB) coupling element (for the GSM850/900 bands), and a high-band (HB) coupling element (for the GSM1800/1900 bands), where the impedance matching is provided through the addition of two matching circuits, one for the LB coupling element and another one for the HB coupling element.", "In spite of using non-resonant elements, the size of the element for the low band is significantly large, being 1/9.3 times the free-space wavelength of the lowest frequency for the low frequency band.", "Due to such size, the low band element would be a resonant element at the high band.", "Additionally, the operation of this solution is closely linked to the alignment of the maximum E-field intensity of the ground plane and the coupling element.", "The size of the low band element undesirably contributes to increase the printed circuit board (PCB) space required by the antenna module.", "According to the invention, the bandwidth at the low frequency region is 133 MHz (from 821 MHz to 954 MHz) that is insufficient for some applications requiring very wide bandwidth, especially at the low frequency region, as for example for wireless devices operating at LTE700, GSM850 and GSM900.Therefore, a wireless device not requiring an antenna element and including a slim radiating system would be advantageous to make simpler the integration of the slim radiating structure into the wireless electronic device minimizing the amount of the electronic device that is allocated towards the slim radiating system, and to provide a suitable radio-frequency performance to operate in a wide range of communication bands.", "The volume freed up by the absence of a large and complex antenna element would enable smaller and/or thinner devices, as slim electronic devices, or even to adopt radically new form factors which are not feasible today due to the presence of an antenna element featuring a considerable volume.", "Furthermore, by eliminating precisely the element that requires customization, a standard solution is sought which should only require minor adjustments to be implemented in different wireless electronic devices." ], [ "<SOH> SUMMARY <EOH>It is an object of the present invention to provide an electronic device (such as for instance but not limited to a mobile phone, a smartphone, a phablet, a PDA, an MP3 player, a headset, a USB dongle, a laptop computer, a tablet, a gaming device, a GPS system, a digital camera, a wearable device as a smart watch, a PCMCIA, Cardbus 32 card, a sensor, or generally a multifunction wireless device which combines the functionality of multiple devices) containing a slim radiating system that covers a wide range of radio-frequencies and handles multiple communication bands while exhibiting a suitable radio-frequency performance.", "It is another object of the invention to provide a slim radiating system suitable for being included within electronic devices, and more preferably within slim electronic devices.", "It is another object of the invention to provide a standard slim radiating system which only requires minor adjustments to be included within different electronic devices.", "Another object of the invention refers to the location (on the device) of radiation boosters and, more particularly, booster bars for obtaining the most favorable frequency bandwidth values.", "An electronic device according to the invention may have a candy-bar shape, which means that its configuration is given by a single body.", "It may also have a two-body configuration such as a clamshell, flip-type, swivel-type or slider structure.", "In some other cases, the device may have a configuration comprising three or more bodies.", "It may further or additionally have a twist configuration in which a body portion (e.g.", "with a screen) can be twisted (i.e., rotated around two or more axes of rotation which are preferably not parallel).", "The electronic device may comprise a memory module, a processing circuitry module, a user interface module, a battery, and a wireless communication module.", "The wireless communication module may include a slim radiating system, a radio-frequency transceiver circuit, a power amplifier circuit and a base-band module.", "The slim radiating system may be coupled to the power amplifier via a conductive path and to the radio transceiver circuit via a conductive path.", "The wireless communication module may include a multiplexing stage coupled to the slim radiating system via a conductive path.", "A slim radiating system in accordance with the invention may include a slim radiating structure, a radio-frequency system, at least one internal conductive path and at least one external conductive path.", "The slim radiating structure may include a ground element and at least one radiation booster, which in some embodiments may be a booster bar, separated from the ground element by a gap.", "A slim radiating structure may comprise a ground element and one, two, three, four or even more radiation boosters.", "In some preferred embodiments, said radiation boosters may be booster bars featuring an elongated shape.", "In preferred embodiments, each booster bar or radiation booster is separated from the ground element by a gap.", "An aspect of the present invention relates to the use of the ground element (or ground plane layer) of the slim radiating system as a main source of radiation.", "A radiation booster includes a dielectric material and in some embodiments, a single standard layer of dielectric material spacing two or more conductive elements.", "A single standard layer of dielectric material refers to dielectric material with a standard thickness, which is available off-the-shelf.", "For example, 0.025″ (0.635 mm), 0.047″ (1.2 mm), 0.093″ (2.36 mm) or 0.125″ (3.175 mm) are common/standard thicknesses for dielectric materials which are available in the market.", "Examples of dielectric materials may include fiber-glass FR4, Cuclad, Alumina, Kapton, Ceramic and for instance commercial laminates and substrates from Rogers® Corporation (R03000® and R04000® laminates, Duroid substrates and alike) or other suitable non-conductive materials.", "The radiation booster may be formed by printing or depositing conductive material in a first and a second surface of the dielectric material (e.g.", "top and bottom) and adding several vias to electrically connect the conductive material in the first surface with the conductive material in the second surface.", "The conductive material in the first and second surfaces may have a substantially polygonal shape.", "Some possible polygonal shapes are for instance, but not limited to, squares, rectangles, and trapezoids.", "When the conductive material in said first and second surfaces has an elongated shape, for instance a rectangular shape, the radiation booster takes the form of a booster bar; a booster bar may also include vias that electrically connect the conductive material in the first surface with the conductive material in the second surface.", "The elongated shape of a booster bar is characterized by two slim form factors: a slim width factor and a slim height factor.", "The slim width factor is a ratio between a length of the booster bar and a width of the booster bar.", "The slim height factor is a ratio between the length of the booster bar and a height of the booster bar.", "The slim width factor characterizes the ratio between the length and the width of the booster bar, whereas the slim height factor characterizes the ratio between the length and the height of the booster bar.", "In a preferred embodiment, the value for the slim width factor and the slim height factor is greater than 2, for instance in one or more of those embodiments the value for the slim width factor is greater than 3, and preferably larger than 3.5, and the slim height factor is greater than 4.In another preferred embodiment, the value for the slim width factor is greater than 6 and/or the slim height factor is greater than 6.In another preferred embodiment, the value for the slim width factor is greater than 6 and/or the slim height factor is larger than 9.In some less preferred embodiments, the values for both the slim width factor and the slim height factor are between 1 and 2.The slim width factor and the slim height factor of a booster bar may take any of the values listed above yet being smaller than 25, and preferably smaller than 10.A radiation booster may comprise one, two or more booster bars electrically connected, forming a booster element that fits in an imaginary sphere having a diameter smaller than ⅓ of a radiansphere corresponding to the lowest frequency of operation of the slim radiating system.", "Such a booster element may also be characterized by a slim width factor, a slim height factor, and a location factor.", "Any booster element according to the present invention may be limited by a slim width factor and a slim height factor, each of these factors being between 1 and 10, and preferably between 2 and 10.An advantageous aspect of the invention refers to a booster bar built on a single standard layer of dielectric material that is manufactured at a competitive cost.", "Another advantageous aspect of the invention refers to a booster bar having a slim width factor and/or slim height factor that enables the booster bar to occupy only a small portion within the electronic wireless device and making it suitable for its integration within slim electronic devices or flexible electronic devices.", "Another advantageous aspect of the present invention refers to the location and slim form factors of a booster bar to guarantee the most advantageous frequency bandwidth for the available space.", "A radiation booster, like for instance a booster bar, is separated from the ground plane layer by a gap.", "In the context of this document, the gap refers to a minimum distance between a point at an edge of the ground plane layer and a point at an edge of the bottom conductive surface of the radiation booster.", "The location of the radiation booster is characterized by a location factor that is a ratio between the width of the radiation booster and the gap.", "In a preferred example, the location factor is between 0.5 and 2.In another preferred example, the location factor is between 0.3 and 1.8.Each radiation booster of the slim radiating system advantageously couples the electromagnetic energy from the radio-frequency system to the ground element in transmission, and from the ground element to the radio-frequency system in reception.", "The radiation boosters excite a radiation mode in the ground element enabling the radiation from the ground element.", "The form factor of the radiation booster, together with its location in relation to the ground element, is configured to achieve a proper excitation of the radiation mode of the ground element.", "The location factor is selected to achieve the most favorable frequency bandwidth for a radiation booster with a certain form factor, particularly a booster bar.", "Apart from the form factor of the radiation booster, the gap is also relevant for properly exciting a radiation mode in the ground plane layer and to achieve the most advantageous frequency bandwidth.", "The bandwidth of the slim radiating system may be degraded if the location factor is not properly selected.", "The location factor and the slim form factors of a booster bar are selected to ensure the most favorable frequency bandwidth while minimizing/reducing the amount of space allocated towards the integration of the booster bar within the electronic device.", "The slim radiating structure is mounted within the electronic device and is coupled to the radio-frequency system via a conductive path.", "The radiation booster is coupled to the ground element via a conductive path and is located at certain distance from the ground element.", "Said conductive path comprises a conductive element which may be linear or include a surface; the conductive element may comprise, for instance but not limited to, a metallic strip and/or a conductive trace.", "In some embodiments, a slim radiating structure comprises one ground element or conductive material acting as a ground plane for the slim radiating structure.", "In some other embodiments, a slim radiating structure may comprise two, three or more ground elements or conductive materials acting as a ground plane for the radiating structure.", "In such embodiments, the plurality of ground elements may be electrically interconnected one to each other.", "The at least one radiation booster for a slim radiating structure according to the present invention may have a maximum size at least smaller than 1/15 of the free-space wavelength corresponding to the lowest frequency of the first frequency region of operation.", "In some cases, said maximum size may be also smaller than 1/20, and/or 1/25, and/or 1/30, and/or 1/50, and/or 1/100 of the free-space wavelength corresponding to the lowest frequency of the first frequency region of operation.", "In some cases, the at least one radiation booster fits in an imaginary sphere having a diameter smaller than ⅓, or preferably smaller than ¼, or preferably smaller than ⅙, or even more preferably smaller than 1/10 of a radiansphere at said free-space wavelength.", "The radiansphere is defined as an imaginary sphere having a radius equal to the operating wavelength divided by two times π (pi).", "Furthermore, in some examples, the at least one radiation booster also has a maximum size smaller than 1/15, and/or 1/20, and/or 1/25, and/or 1/30, and/or 1/50 of the free-space wavelength corresponding to the lowest frequency of the second frequency region of operation.", "In some cases, the at least one radiation booster fits in an imaginary sphere having a diameter smaller than ⅓, or preferably smaller than ¼, or preferably smaller than ⅙, or even more preferably smaller than 1/10 of a radiansphere at said free-space wavelength.", "Additionally, in some of these examples the at least one radiation booster has a maximum size larger than 1/1400, 1/700, 1/350, 1/250, 1 / 180 , 1/140, or 1/120 times the free-space wavelength corresponding to the lowest frequency of said first frequency region.", "The maximum size of a radiation booster is preferably defined by the largest dimension of a booster box that completely encloses said radiation booster, and in which the radiation booster is inscribed.", "More specifically, a booster box for a radiation booster is defined as being the minimum-sized parallelepiped of square or rectangular faces that completely encloses the radiation booster and wherein each one of the faces of said minimum-sized parallelepiped is tangent to at least a point of said radiation booster.", "Moreover, each possible pair of faces of said minimum-size parallelepiped sharing an edge forms an inner angle of 90°.", "In those cases in which the radiating structure comprises more than one radiation booster, a different booster box is defined for each of them.", "In some preferred examples, the area defined by the two largest dimensions of a booster box is advantageously small compared to the square of the wavelength corresponding to the lowest frequency of the first frequency region; in particular, a ratio between said area and the square of the wavelength corresponding to the lowest frequency of the first frequency region may be advantageously smaller than at least one of the following percentages: 0.15%, 0.12%, 0.10%, 0.08%, 0.06%, 0.04%, or even 0.02%.", "In some of these examples, a ratio between the area defined by the two largest dimensions of a booster box and the square of the wavelength corresponding to the lowest frequency of the second frequency region may also be advantageously smaller than at least one of the following percentages: 0.50%, 0.45%, 0.40%, 0.35%, 0.30%, 0.25%, 0.20%, 0.15%, 0.10%, or even 0.05%.", "Moreover, in some embodiments according to the present invention, the at least one radiation booster will entirely fit inside a limiting volume equal or smaller than L3/25000, and in some cases equal or smaller than L3/50000, L3/100000, L3/150000, L3/200000, L3/300000, L3/400000, or even smaller than L3/500000, being L the wavelength corresponding to the lowest frequency of the first frequency region of operation.", "A slim radiating system according to the invention is configured to handle multiple communication bands, and to provide coverage and an acceptable level of reflection coefficient in a wide range of communication bands in one or more frequency regions of operation exhibiting a suitable radio-frequency performance.", "The slim radiating system is designed to transmit and receive radio-frequency signals in multiple communication bands of interest, including frequency bands that may be added, for instance, through the deployment of future cellular telephone bands and/or data service bands.", "In the context of this document, a frequency band preferably refers to a range of frequencies used by a particular cellular communication standard, a wireless connectivity standard or a broadcast standard, while a frequency region preferably refers to a continuum of frequencies of the electromagnetic spectrum.", "For example, the GSM 1800 standard is allocated in a frequency band from 1710 MHz to 1880 MHz while the GSM 1900 standard is allocated in a frequency band from 1850 MHz to 1990 MHz.", "A device operating the GSM 1800 and the GSM1900 standards must have a radiating system capable of operating in a frequency region from 1710 MHz to 1990 MHz.", "As another example, a wireless device operating the GSM 850 standard (allocated in a frequency band from 824 MHz to 894 MHz) and the GSM 1800 standard must have a radiating system capable of operating in two separate frequency regions.", "Some frequency bands that the slim radiating system may be configured to transmit and receive signals in are, for example, GSM 850 (824-894 MHz), GSM 900 (880-960 MHz), GSM 1800 (1710-1880 MHz), GSM 1900 (1850-1990 MHz), WCDMA 2100 (1920-2170 MHz), CDMA 1700 (1710-2155 MHz), LTE 700 (698-798 MHz), LTE 800 (791-862 MHz), LTE 2600 (2500-2690 MHz), LTE 3500 (3.4-3.6 GHz), LTE 3700 (3.6-3.8 GHz), WiFi or WLAN (2.4-2.5 GHz and/or 4.9-5.9 GHz), etc.", "A wireless handheld or portable device according to the present invention may operate one, two, three, four or more cellular communication standards, wireless connectivity standards, and/or broadcasts standards, each standard being allocated in one, two or more frequency bands, and said frequency bands being contained within one, two or more frequency regions of the electromagnetic spectrum.", "The slim radiating system is designed to provide an acceptable level of reflection coefficient in the frequency regions of operation.", "A slim radiating system according to the present invention is configured to operate in at least one frequency region.", "In some embodiments, the slim radiating system is configured to operate in a first frequency region comprising at least a first frequency band, and a second frequency region comprising at least a second frequency band.", "Such radiating system is configured to satisfy the radio-frequency bandwidths and frequency coverage goals.", "A slim radiating system according to the present invention may advantageously feature an impedance bandwidth in the first frequency region larger than 5%, 10%, 15%, or even larger than 20%.", "In addition, such radiating system may also feature an impedance bandwidth in the second frequency region larger than 5%, 10%, 15%, 20%, 25%, 30%, 35%, or even larger than 40%.", "The impedance bandwidth is defined as the difference between the highest and lowest frequencies of a frequency region, divided by the central frequency of the same frequency region.", "Due to the small size of the radiation boosters, the radiation boosters and booster bars may be electrically short at some or all frequencies of operation.", "A slim radiating structure according to the present invention may feature a first resonant frequency, measured at an internal path, at a frequency higher (i.e.", "above) than the highest frequency of the first frequency region of operation when said radio-frequency system is disconnected.", "Moreover, when the radio-frequency system is disconnected, the input impedance of the slim radiating structure measured at the internal path may have an important reactance, in particular a capacitive reactance, within the frequencies of said first frequency region.", "In this case, a ratio between the first resonant frequency of the slim radiating structure and the highest frequency of the first frequency region is advantageously greater than 1.2.In some cases, said ratio may be even greater than one or more of the following values: 1.5, 1.8, 2.0, 2.2, 2.4, 2.6, 2.8, or 3.0.In some examples, a ratio between said first resonant frequency and the lowest frequency of the first frequency region of operation is advantageously greater than 1.3, or even greater than one or more of the following values: 1.4, 1.5, 1.8, 2.0, 2.2, 2.4, 2.6, 2.8, or 3.0.In some embodiments, the first resonant frequency of the slim radiating structure, measured at an internal path when the radio-frequency system is disconnected, is above the highest frequency of the second frequency region, wherein a ratio between said first resonant frequency and said highest frequency of the second frequency region may be larger than one or more of the following values: 1.0, 1.1, 1.2, 1.4, 1.6, 1.8, or 2.0.In some other embodiments, said first resonant frequency is within the second frequency region.", "In some other examples, said first resonant frequency is above the highest frequency of the first frequency region and below the lowest frequency of the second frequency region.", "In the context of this document, a resonant frequency associated to a radiation booster of the slim radiating structure preferably refers to a frequency at which the input impedance of the slim radiating structure, the impedance being measured at the internal path coupling the radiation booster to the radio-frequency system when the radio-frequency system is not connected, has an imaginary part equal or substantially equal to zero.", "The radio-frequency system may comprise one or more matching circuits that modify the impedance of the slim radiating structure providing impedance matching to the slim radiating system, at an external path, in one or more frequency regions of operation of the slim radiating system.", "A radio-frequency system according to the invention may include at least one matching network with a plurality of stages, for instance, two, three, four, five, six or more stages.", "A stage comprises one or more circuit components (for example but not limited to, inductors, capacitors, resistors, jumpers, short-circuits, delay lines, or other reactive or resistive components).", "In some cases, a stage has a substantially inductive behavior in the frequency region or regions of operation of the slim radiating system, while another stage has a substantially capacitive behavior in said frequency region/s, and yet a third one may have a substantially resistive behavior in said frequency region/s.", "In an example, a stage may substantially behave as a resonant circuit (such as, for instance, a parallel LC resonant circuit or a series LC resonant circuit) in at least one frequency region of operation of the slim radiating system.", "The use of stages having a resonant circuit behavior allows one part of a given matching network be effectively connected to another part of said matching network for a given range of frequencies, or in a given frequency region, and be effectively disabled for another range of frequencies, or in another frequency region.", "In some examples, the at least one matching network alternates stages connected in series (i.e.", "cascaded) with stages connected in parallel (i.e.", "shunted), forming a ladder structure.", "In some cases, a matching network comprising two stages forms an L-shaped structure (i.e.", "series-parallel or parallel-series).", "In some cases, a matching network comprising three stages forms either a pi-shaped structure (i.e.", "parallel-series-parallel) or a T-shaped structure (i.e.", "series-parallel-series).", "In some embodiments, a radio-frequency system according to the present invention comprises a matching circuit in a ladder topology.", "Such matching circuit preferably comprises one reactive component per stage.", "In some other embodiments, a radio-frequency system according to the present invention comprises a matching circuit at least including a series LC resonant circuit and a parallel LC resonant circuit.", "In a preferred embodiment, an electronic device comprises a slim radiating system configured to transmit and receive electromagnetic wave signals in at least one frequency region of the electromagnetic spectrum, and comprising a slim radiating structure, a radio-frequency system, at least one internal conductive path and at least one external conductive path.", "The slim radiating structure comprises at least one ground element and at least one booster bar.", "The at least one internal conductive path comprises a conductive element that couples the at least one booster bar to the radio-frequency system.", "The radio-frequency system comprises at least one matching circuit modifying the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the at least one frequency region at the at least one external conductive path.", "The at least one booster bar has an elongated shape, and is characterized by a slim width factor greater than 3 and a slim height factor greater than 3, is separated from the at least one ground element by a gap and is characterized by a location factor between 0.5 and 2.Another preferred embodiment relates to an electronic device including a slim radiating system that comprises a slim radiating structure, a radio-frequency system, an internal conductive path and at least one external conductive path; the slim radiating system is configured to transmit and receive electromagnetic wave signals in a first frequency region and a second frequency region.", "The slim radiating structure comprises at least one ground element, and one booster bar separated from the ground element by a gap and is characterized by a location factor between 0.3 and 1.8.The internal conductive path comprises a conductive element that couples the booster bar to the radio-frequency system.", "The radio-frequency system comprises a matching circuit that modifies the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the first and second frequency regions at the at least one external conductive path.", "The first and second frequency regions are preferably separated so that the lowest frequency of the second frequency region is above the highest frequency of the first frequency region.", "Some preferred matching circuits for such preferred embodiment are described in FIGS.", "15A-15F .", "Further, an advantageous aspect of the invention refers to a radio-frequency system comprising a matching circuit that provides impedance matching to the slim radiating system in first and second frequency regions preferably not requiring a filtering circuit or component that separates frequencies of the first frequency region from frequencies of the second frequency region (e.g.", "a diplexer, a bank of filters, etc.)", "for providing impedance matching in the first frequency region and second frequency region independently (i.e.", "in two separate branches or paths).", "Thus preferred matching circuits may provide impedance matching in said first and second frequency regions with one branch.", "According to the present invention, some preferred matching circuits preferably comprise seven or less components, for instance: two, three, four, five, six or seven.", "Such matching circuits preferably do not comprise active circuits or components.", "In some embodiments in which the slim radiating system is configured to transmit and receive signals in a first frequency region and a second frequency region, a ratio between the lowest frequency of the second frequency region and the lowest frequency of the first frequency region may be greater than 1.5.In some of these embodiments, said ratio may be also greater than 1.8, 2.0, 2.2, or 2.4.In addition, in some embodiments in which the slim radiating system is configured to operate signals from first and second frequency regions, a ratio between the lowest frequency of the second frequency and the highest frequency of the first frequency region may be greater than 1.2, 1.5, 1.8, 2.0, 2.2, or 2.4.Accordingly, an advantageous aspect of such radio-frequency system is its efficiency in that impedance matching in the first and second frequency regions may be provided with one matching circuit using a reduced number of components, which consequently introduces lower losses in the radio-frequency system and makes it more robust against the tolerances of the components.", "Moreover, by not including filtering circuits such as diplexers, the radio-frequency system avoids the insertion losses characterizing such type of circuits and the necessity of having two separate matching circuits, which consequently makes the radio-frequency system have less components and the slim radiating system smaller in terms of area occupied in the device.", "In a third preferred embodiment, an electronic device includes a slim radiating system comprising a slim radiating structure, a radio-frequency system, first and second internal conductive paths and at least one external conductive path; the slim radiating system is configured to transmit and receive electromagnetic wave signals in a first frequency region and a second frequency region.", "The slim radiating structure comprises at least one ground plane layer, first and second radiation boosters, each of the first and second radiation boosters being separated from the ground plane layer by a gap.", "The first internal conductive path comprises a conductive element that couples the first radiation booster to the radio-frequency system, and the second internal conductive path comprises a conductive element that couples the second radiation booster to the radio-frequency system.", "The radio-frequency system comprises a matching circuit coupled to the first and second internal conductive paths and to the external conductive path, the matching circuit modifies the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the first and second frequency regions.", "In some cases, the slim radiating system may comprise a first external conductive path and a second external conductive path, and the radio-frequency system may include a diplexer circuitry that advantageously filters signals from first and second frequency regions, said signals being matched in impedance in the first and second frequency regions by the matching circuit within the radio-frequency system.", "A first port of the diplexer is connected to the matching circuit, and the two remaining ports of the diplexer are connected to the first and second external conductive paths.", "The first and second external paths comprise, respectively, signals for frequencies from the first frequency region, and signals for frequencies from the second frequency region.", "A further aspect of the present invention relates to a test platform for electromagnetically characterizing radiation boosters.", "Said platform comprises a substantially square conductive surface on top of which, and substantially close to the central point, the element to be characterized is mounted perpendicular to said surface in a monopole configuration, said conductive surface acting as the ground plane.", "The substantially square conductive surface comprises sides with a dimension larger than a reference operating wavelength.", "In the context of the present invention, said reference operating wavelength is the free-space wavelength equivalent to a frequency of 900 MHz.", "A substantially square conductive surface according to the present invention is made of copper with sides measuring 60 centimeters, and a thickness of 0.5 millimeters.", "In the test configuration as set forth above, a booster bar according to the present invention may be characterized by a ratio between the first resonance frequency and the reference frequency (900 MHz) being larger than a minimum ratio of 3.0.In some cases, said ratio may be even larger than a minimum ratio such as: 3.4, 3.8, 4.0, 4.2, 4.4, 4.6, 4.8, 5.0, 5.2, 5.4, 5.6, 5.8, 6.0, 6.2, 6.6 or 7.0.A booster bar according to the present invention may also be characterized by a radiation efficiency measured in said platform, at a frequency equal to 900 MHz, being less than 50%, preferably being less than 40%, 30%, 20%, or 10%, and in some cases being less than 7.5%, 5%, or 2.5%.", "All those are quite remarkably low efficiency values considering the additional 1:3 frequency mismatch and beyond obtained in some of the embodiments as described above.", "Such a frequency shift would introduce further mismatch losses that would result in an overall antenna efficiency below 5%, and quite typically below 2%, which would be ordinarily considered unacceptable for a mobile phone or wireless application.", "Still, quite surprisingly, when combining at least one booster bar with the radio-frequency system of a slim radiating system according to the present invention, said slim radiating system recovers the efficiency required for the performance of a typical wireless device." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "14/807,449 filed Jul.", "23, 2015, which claims priority under 35 U.S.C.", "§119(e) from U.S.", "Provisional Patent Application Ser.", "No.", "62/028,494, filed Jul.", "24, 2014, U.S.", "Provisional Patent Application Ser.", "No.", "62/064,716, filed Oct. 16, 2014, U.S.", "Provisional Patent Application Ser.", "No.", "62/072,671, filed Oct. 30, 2014, and U.S.", "Provisional Patent Application Ser.", "No.", "62/152,991, filed Apr.", "27, 2015, the entire contents of which are hereby incorporated by reference.", "In addition, this application claims foreign priority under 35 U.S.C.", "§119(a)-(d) to Application No.", "EP 14178369.6 filed on Jul.", "24, 2014, Application No.", "EP 14189253.9 filed on Oct. 16, 2014, Application No.", "EP 14191145.3 filed on Oct. 30, 3014, and Application No.", "EP 15165167.6 filed on Apr.", "27, 2015, the entire contents of which are hereby incorporated by reference.", "STATEMENT OF RESEARCH FUNDING This patent application is part of a project that has received funding from the European Union's Horizon 2020 Research and Innovation Programme under grant agreement No.", "674491.FIELD OF THE INVENTION The present invention relates generally to the field of electronic devices which require the transmission and/or reception of electromagnetic wave signals, and more particularly, to slim radiating structures in wireless electronic devices.", "BACKGROUND Wireless electronic devices typically handle one or more cellular communication standards, and/or wireless connectivity standards, and/or broadcast standards, each standard being allocated in one or more frequency bands, and the frequency bands being contained within one or more regions of the electromagnetic spectrum.", "For that purpose, a typical wireless electronic device must include a radiating system capable of operating in one or more frequency regions with an acceptable radio-electric performance (in terms of for instance reflection coefficient, standing wave ratio, impedance bandwidth, gain, efficiency, or radiation pattern).", "The integration of the radiating system within the wireless electronic device must be effective to ensure that the overall device attains good radio-electric performance (such as for example in terms of radiated power, received power, sensitivity) without being disrupted by electronic components and/or human loading.", "Additionally, a space within the wireless electronic device is usually limited and the radiating system has to be included in the available space.", "The radiating system is expected to be small enough to occupy as little space as possible within the device, which then allows for smaller devices, or for the addition of more specific components and functionalities into the device.", "At the same time, it is sometimes convenient for the radiating system to be flat since this allows for slim devices.", "Thus, many of the demands for wireless devices also translate to specific demands for the radiating systems thereof.", "This is even more critical in the case in which the wireless device is a multifunctional wireless device.", "Commonly-owned patent applications WO2008/009391 and US2008/0018543 describe a multifunctional wireless device.", "The entire disclosure of aforesaid application numbers WO2008/009391 and US2008/0018543 are hereby incorporated by reference.", "For a good wireless connection, high efficiency is further required.", "Other more common design demands for radiating systems are the reflection coefficient (or standing-wave ratio, SWR) and the impedance which is supposed to be about 50 ohms.", "Other demands for radiating systems for wireless handheld or portable devices are competitive cost and a low SAR.", "Furthermore, a radiating system has to be integrated into a device or, in other words, a wireless device has to be constructed such that an appropriate radiating system may be integrated therein which puts additional constraints by consideration of the mechanical fit, the electrical fit, and the assembly fit.", "Of further importance, usually, is the robustness of the radiating system, which means that the radiating system does not change its properties upon smaller shocks to the device and the human loading.", "Besides radio-frequency performance, small size and reduced interaction with human body and nearby electronic components, one of the current limitations of the prior-art is that generally the antenna system is customized for every particular wireless handheld device model.", "The mechanical architecture of each device model is different and the volume available for the antenna severely depends on the form factor of the wireless device model together with the arrangement of the multiple components embedded into the device (e.g., displays, keyboards, battery, connectors, cameras, flashes, speakers, chipsets, memory devices, etc.).", "As a result, the antenna within the device is mostly designed ad hoc for every model, resulting in a higher cost and a delayed time to market.", "In turn, as typically the design and integration of an antenna element for a radiating structure is customized for each wireless device, different form factors or platforms, or a different distribution of the functional blocks of the device will force to redesign the antenna element and its integration inside the device almost from scratch.", "A radiating system for a wireless handheld or portable device typically includes a radiating structure comprising an antenna element which operates in combination with a ground plane layer providing a determined radio-frequency performance in one or more frequency regions of the electromagnetic spectrum.", "Typically, the antenna element has a dimension close to an integer multiple of a quarter of the wavelength at a frequency of operation of the radiating structure, so that the antenna element is at resonance or substantially close to resonance at the frequency of operation, and a radiation mode is excited on the antenna element.", "Antenna elements operating in multiple frequency bands allocated at different regions of the electromagnetic spectrum usually present complex mechanical designs and considerable dimensions, mainly due to the fact that antenna performance is highly related to the electrical dimensions of the antenna element.", "A further problem associated to the integration of the radiating structure, and in particular to the integration of the antenna element in a wireless device is that the volume dedicated for such integration has continuously shrunk with the appearance of new smaller and/or thinner form factors for wireless devices, and with the increasing convergence of different functionalities in a same wireless device.", "Therefore, from the conventional wisdom perspective, the trend in seeking for slimmer wireless device is incompatible with maximizing the performance of a traditional antenna device, which again, it is known to have a high correlation between antenna size (relative to the operating wavelengths) and performance.", "Some techniques to miniaturize and/or optimize the multiband behavior of an antenna element have been described in the prior art.", "However the radiating structures described therein still rely on exciting a radiation mode on the antenna element for each one of the frequency bands of operation.", "This fact leads to complex mechanical designs and large antennas that usually are very sensitive to external effects (such as for instance the presence of plastic or dielectric covers that surround the wireless device), to components of the wireless device (such as for instance, but not limited to, a speaker, a microphone, a connector, a display, a shield can, a vibrating module, a battery, or an electronic module or subsystem) placed either in the vicinity of, or even underneath, the radiating element, and/or to the human loading.", "A multiband antenna system is sensitive to any of the above mentioned aspects because they may alter the electromagnetic coupling between the different geometrical portions of the radiating element, which usually translates into detuning effects, degradation of the radio-frequency performance of the antenna system and/or the radio-frequency performance of the wireless device, and/or greater interaction with the user (such as an increased level of SAR).", "In this sense, a radiating system such as the one described in the present invention not requiring a complex and/or large antenna formed by multiple arms, slots, apertures and/or openings and a complex mechanical design is preferable in order to minimize such undesired external effects and simplify the integration within the wireless device.", "Some other attempts have focused on antenna elements not requiring a complex geometry while still providing some degree of miniaturization by using an antenna element that is not resonant in the one or more frequency ranges of operation of the wireless device.", "For example, WO2007/128340 discloses a wireless portable device comprising a non-resonant antenna element for receiving broadcast signals (such as, for instance, DVB-H, DMB, T-DMB or FM).", "The wireless portable device further comprises a ground plane layer that is used in combination with said antenna element.", "Although the antenna element has a first resonant frequency above the frequency range of operation of the wireless device, the antenna element is still the main responsible for the radiation process and for the radio-frequency performance of the wireless device.", "This is clear from the fact that no radiation mode can be excited on the ground plane layer because the ground plane layer is electrically short at the frequencies of operation (i.e., its dimensions are much smaller than the wavelength).", "For this kind of non-resonant antenna elements, a matching circuitry is added for matching the antenna to a level of SWR in a limited frequency range, which in this particular case can be around SWR<6.Such level of SWR together with the limited bandwidth results in antenna elements which are only acceptable for reception of electromagnetic wave signals but not desirable for transmission of electromagnetic wave signals.", "With such limitations, while the performance of the wireless portable device may be sufficient for reception of electromagnetic wave signals (such as those of a broadcast service), the antenna element could not provide an acceptable performance (for example, in terms of reflection coefficient or gain) for a communication service requiring also the transmission of electromagnetic wave signals.", "Commonly-owned patent applications WO2008/119699 and US2010/0109955 describe a wireless handheld or portable device comprising a radiating system capable of operating in two frequency regions.", "The radiating system comprises an antenna element having a resonant frequency outside said two frequency regions, and a ground plane layer.", "In this wireless device, while the ground plane layer contributes to enhance the electromagnetic performance of the radiating system in the two frequency regions of operation, it is still necessary to excite a radiation mode on the antenna element.", "In fact, the radiating system relies on the relationship between a resonant frequency of the antenna element and a resonant frequency of the ground plane layer in order for the radiating system to operate properly in said two frequency regions.", "Nevertheless, the solution still relies on an antenna element whose size is related to a resonant frequency that is outside of the two frequency regions.", "The entire disclosures of the aforesaid application numbers WO2008/119699 and US2010/0109955 are hereby incorporated by reference.", "A different radiating system is disclosed in U.S. Pat.", "No.", "6,674,411, in which a planar inverted-L antenna (i.e., a patch antenna) has a radiating element composed by a rectangular plate placed above and substantially parallel to a ground plane.", "The antenna is connected to a matching network that provides a match in one frequency band in a first frequency region, and in one frequency band in a second frequency region.", "Thus the antenna system is limited to single-band operation in both frequency regions.", "When operation in more bands is sought, the antenna system requires of a switched (active) matching network that provides non-simultaneous impedance matching in each frequency band.", "So in spite of having an antenna that occupies a large volume (20×10×8 mm3), not more than dual-band operation may be provided simultaneously.", "For at least the above reasons, wireless device manufacturers regard the volume dedicated to the integration of the radiating structure, and in particular the antenna element, as being a toll to pay in order to provide wireless communication capabilities to the handheld or portable device.", "In order to reduce as much as possible the volume occupied into the wireless handheld or portable device, recent trends in handset antenna design are oriented to maximize the contribution of the ground plane to the radiation process by using very small non-resonant elements.", "However, non-resonant elements usually are forced to include a complex radio-frequency system.", "Thus, the challenge of these techniques mainly relies on said complexity (combination of inductors, capacitors, and transmission lines), which is required to satisfy impedance bandwidth and efficiency specifications.", "Commonly owned patent applications, WO2010/015365, and WO2010/015364 are intended for solving some of the aforementioned drawbacks.", "Namely, they describe a wireless handheld or portable device comprising a radiating system including a radiating structure and a radio-frequency system.", "The radiating structure is formed by a ground plane layer presenting suitable dimensions as for supporting at least one efficient radiation mode and at least one radiation booster capable of coupling electromagnetic energy to said ground plane layer.", "The radiation booster is not resonant in any of the frequency regions of operation and, consequently, a radio-frequency system is used to properly match the radiating structure to the desired frequency bands of operation.", "More particularly, in WO2010/015364 each radiation booster is intended for providing operation in a particular frequency region.", "Thus, the radio-frequency system is designed in such a way that the first internal port associated to the first radiation booster is highly isolated from the second internal port associated to a second radiation booster.", "Said radio-frequency system usually comprises a matching network including resonators for each one of the frequency regions of operation and a set of filters for each one of the frequency regions of operation.", "Thus, said radio-frequency system requires multiple stages and the performance of the radiating systems in terms of efficiency may be affected by the additional losses of the components.", "As each radiation booster is generally intended for providing operation in a particular frequency region, the bandwidth capabilities may be limited for some applications requiring very wide bandwidth specially at the low frequency region, as for example for wireless devices operating at LTE700, GSM850 and GSM900.Commonly owned patent applications WO2014/012796 and US2014/0015730 disclose a concentrated wireless device comprising a radiating system including a radiating structure and a radio-frequency system, such device operating two or more frequency regions of the electromagnetic spectrum.", "A feature of said radiating system is that the operation in at least two frequency regions is achieved by one radiation booster, or by at least two radiation boosters, or by at least one radiation booster and at least one antenna element, wherein the radio-frequency system modifies the impedance of the radiating structure, providing impedance matching to the radiating system in the at least two frequency regions of operation of the radiating system.", "The entire disclosure of aforesaid application numbers WO2014/012796 and US2014/0015730 are hereby incorporated by reference.", "Commonly owned patent applications WO2014/012842 and US2014/0015728 disclose very compact, small size and light weight radiation boosters operating in single or in multiple frequency bands.", "Such radiation boosters are configured to be used in radiating systems that may be embedded into a wireless handheld device.", "Said patent applications further disclose radiation booster structures and their manufacturing methods that enable reducing the cost of both the booster and the entire wireless device embedding said booster inside the device.", "The entire disclosure of aforesaid application numbers WO2014/012842 and US2014/0015728 are hereby incorporated by reference.", "Another technique, as disclosed in U.S. Pat.", "No.", "7,274,340, is based on the use of two coupling elements.", "According to the invention, quad-band operation (GSM 1800/1900 and GSM850/900 bands) is provided with two coupling elements: a low-band (LB) coupling element (for the GSM850/900 bands), and a high-band (HB) coupling element (for the GSM1800/1900 bands), where the impedance matching is provided through the addition of two matching circuits, one for the LB coupling element and another one for the HB coupling element.", "In spite of using non-resonant elements, the size of the element for the low band is significantly large, being 1/9.3 times the free-space wavelength of the lowest frequency for the low frequency band.", "Due to such size, the low band element would be a resonant element at the high band.", "Additionally, the operation of this solution is closely linked to the alignment of the maximum E-field intensity of the ground plane and the coupling element.", "The size of the low band element undesirably contributes to increase the printed circuit board (PCB) space required by the antenna module.", "According to the invention, the bandwidth at the low frequency region is 133 MHz (from 821 MHz to 954 MHz) that is insufficient for some applications requiring very wide bandwidth, especially at the low frequency region, as for example for wireless devices operating at LTE700, GSM850 and GSM900.Therefore, a wireless device not requiring an antenna element and including a slim radiating system would be advantageous to make simpler the integration of the slim radiating structure into the wireless electronic device minimizing the amount of the electronic device that is allocated towards the slim radiating system, and to provide a suitable radio-frequency performance to operate in a wide range of communication bands.", "The volume freed up by the absence of a large and complex antenna element would enable smaller and/or thinner devices, as slim electronic devices, or even to adopt radically new form factors which are not feasible today due to the presence of an antenna element featuring a considerable volume.", "Furthermore, by eliminating precisely the element that requires customization, a standard solution is sought which should only require minor adjustments to be implemented in different wireless electronic devices.", "SUMMARY It is an object of the present invention to provide an electronic device (such as for instance but not limited to a mobile phone, a smartphone, a phablet, a PDA, an MP3 player, a headset, a USB dongle, a laptop computer, a tablet, a gaming device, a GPS system, a digital camera, a wearable device as a smart watch, a PCMCIA, Cardbus 32 card, a sensor, or generally a multifunction wireless device which combines the functionality of multiple devices) containing a slim radiating system that covers a wide range of radio-frequencies and handles multiple communication bands while exhibiting a suitable radio-frequency performance.", "It is another object of the invention to provide a slim radiating system suitable for being included within electronic devices, and more preferably within slim electronic devices.", "It is another object of the invention to provide a standard slim radiating system which only requires minor adjustments to be included within different electronic devices.", "Another object of the invention refers to the location (on the device) of radiation boosters and, more particularly, booster bars for obtaining the most favorable frequency bandwidth values.", "An electronic device according to the invention may have a candy-bar shape, which means that its configuration is given by a single body.", "It may also have a two-body configuration such as a clamshell, flip-type, swivel-type or slider structure.", "In some other cases, the device may have a configuration comprising three or more bodies.", "It may further or additionally have a twist configuration in which a body portion (e.g.", "with a screen) can be twisted (i.e., rotated around two or more axes of rotation which are preferably not parallel).", "The electronic device may comprise a memory module, a processing circuitry module, a user interface module, a battery, and a wireless communication module.", "The wireless communication module may include a slim radiating system, a radio-frequency transceiver circuit, a power amplifier circuit and a base-band module.", "The slim radiating system may be coupled to the power amplifier via a conductive path and to the radio transceiver circuit via a conductive path.", "The wireless communication module may include a multiplexing stage coupled to the slim radiating system via a conductive path.", "A slim radiating system in accordance with the invention may include a slim radiating structure, a radio-frequency system, at least one internal conductive path and at least one external conductive path.", "The slim radiating structure may include a ground element and at least one radiation booster, which in some embodiments may be a booster bar, separated from the ground element by a gap.", "A slim radiating structure may comprise a ground element and one, two, three, four or even more radiation boosters.", "In some preferred embodiments, said radiation boosters may be booster bars featuring an elongated shape.", "In preferred embodiments, each booster bar or radiation booster is separated from the ground element by a gap.", "An aspect of the present invention relates to the use of the ground element (or ground plane layer) of the slim radiating system as a main source of radiation.", "A radiation booster includes a dielectric material and in some embodiments, a single standard layer of dielectric material spacing two or more conductive elements.", "A single standard layer of dielectric material refers to dielectric material with a standard thickness, which is available off-the-shelf.", "For example, 0.025″ (0.635 mm), 0.047″ (1.2 mm), 0.093″ (2.36 mm) or 0.125″ (3.175 mm) are common/standard thicknesses for dielectric materials which are available in the market.", "Examples of dielectric materials may include fiber-glass FR4, Cuclad, Alumina, Kapton, Ceramic and for instance commercial laminates and substrates from Rogers® Corporation (R03000® and R04000® laminates, Duroid substrates and alike) or other suitable non-conductive materials.", "The radiation booster may be formed by printing or depositing conductive material in a first and a second surface of the dielectric material (e.g.", "top and bottom) and adding several vias to electrically connect the conductive material in the first surface with the conductive material in the second surface.", "The conductive material in the first and second surfaces may have a substantially polygonal shape.", "Some possible polygonal shapes are for instance, but not limited to, squares, rectangles, and trapezoids.", "When the conductive material in said first and second surfaces has an elongated shape, for instance a rectangular shape, the radiation booster takes the form of a booster bar; a booster bar may also include vias that electrically connect the conductive material in the first surface with the conductive material in the second surface.", "The elongated shape of a booster bar is characterized by two slim form factors: a slim width factor and a slim height factor.", "The slim width factor is a ratio between a length of the booster bar and a width of the booster bar.", "The slim height factor is a ratio between the length of the booster bar and a height of the booster bar.", "The slim width factor characterizes the ratio between the length and the width of the booster bar, whereas the slim height factor characterizes the ratio between the length and the height of the booster bar.", "In a preferred embodiment, the value for the slim width factor and the slim height factor is greater than 2, for instance in one or more of those embodiments the value for the slim width factor is greater than 3, and preferably larger than 3.5, and the slim height factor is greater than 4.In another preferred embodiment, the value for the slim width factor is greater than 6 and/or the slim height factor is greater than 6.In another preferred embodiment, the value for the slim width factor is greater than 6 and/or the slim height factor is larger than 9.In some less preferred embodiments, the values for both the slim width factor and the slim height factor are between 1 and 2.The slim width factor and the slim height factor of a booster bar may take any of the values listed above yet being smaller than 25, and preferably smaller than 10.A radiation booster may comprise one, two or more booster bars electrically connected, forming a booster element that fits in an imaginary sphere having a diameter smaller than ⅓ of a radiansphere corresponding to the lowest frequency of operation of the slim radiating system.", "Such a booster element may also be characterized by a slim width factor, a slim height factor, and a location factor.", "Any booster element according to the present invention may be limited by a slim width factor and a slim height factor, each of these factors being between 1 and 10, and preferably between 2 and 10.An advantageous aspect of the invention refers to a booster bar built on a single standard layer of dielectric material that is manufactured at a competitive cost.", "Another advantageous aspect of the invention refers to a booster bar having a slim width factor and/or slim height factor that enables the booster bar to occupy only a small portion within the electronic wireless device and making it suitable for its integration within slim electronic devices or flexible electronic devices.", "Another advantageous aspect of the present invention refers to the location and slim form factors of a booster bar to guarantee the most advantageous frequency bandwidth for the available space.", "A radiation booster, like for instance a booster bar, is separated from the ground plane layer by a gap.", "In the context of this document, the gap refers to a minimum distance between a point at an edge of the ground plane layer and a point at an edge of the bottom conductive surface of the radiation booster.", "The location of the radiation booster is characterized by a location factor that is a ratio between the width of the radiation booster and the gap.", "In a preferred example, the location factor is between 0.5 and 2.In another preferred example, the location factor is between 0.3 and 1.8.Each radiation booster of the slim radiating system advantageously couples the electromagnetic energy from the radio-frequency system to the ground element in transmission, and from the ground element to the radio-frequency system in reception.", "The radiation boosters excite a radiation mode in the ground element enabling the radiation from the ground element.", "The form factor of the radiation booster, together with its location in relation to the ground element, is configured to achieve a proper excitation of the radiation mode of the ground element.", "The location factor is selected to achieve the most favorable frequency bandwidth for a radiation booster with a certain form factor, particularly a booster bar.", "Apart from the form factor of the radiation booster, the gap is also relevant for properly exciting a radiation mode in the ground plane layer and to achieve the most advantageous frequency bandwidth.", "The bandwidth of the slim radiating system may be degraded if the location factor is not properly selected.", "The location factor and the slim form factors of a booster bar are selected to ensure the most favorable frequency bandwidth while minimizing/reducing the amount of space allocated towards the integration of the booster bar within the electronic device.", "The slim radiating structure is mounted within the electronic device and is coupled to the radio-frequency system via a conductive path.", "The radiation booster is coupled to the ground element via a conductive path and is located at certain distance from the ground element.", "Said conductive path comprises a conductive element which may be linear or include a surface; the conductive element may comprise, for instance but not limited to, a metallic strip and/or a conductive trace.", "In some embodiments, a slim radiating structure comprises one ground element or conductive material acting as a ground plane for the slim radiating structure.", "In some other embodiments, a slim radiating structure may comprise two, three or more ground elements or conductive materials acting as a ground plane for the radiating structure.", "In such embodiments, the plurality of ground elements may be electrically interconnected one to each other.", "The at least one radiation booster for a slim radiating structure according to the present invention may have a maximum size at least smaller than 1/15 of the free-space wavelength corresponding to the lowest frequency of the first frequency region of operation.", "In some cases, said maximum size may be also smaller than 1/20, and/or 1/25, and/or 1/30, and/or 1/50, and/or 1/100 of the free-space wavelength corresponding to the lowest frequency of the first frequency region of operation.", "In some cases, the at least one radiation booster fits in an imaginary sphere having a diameter smaller than ⅓, or preferably smaller than ¼, or preferably smaller than ⅙, or even more preferably smaller than 1/10 of a radiansphere at said free-space wavelength.", "The radiansphere is defined as an imaginary sphere having a radius equal to the operating wavelength divided by two times π (pi).", "Furthermore, in some examples, the at least one radiation booster also has a maximum size smaller than 1/15, and/or 1/20, and/or 1/25, and/or 1/30, and/or 1/50 of the free-space wavelength corresponding to the lowest frequency of the second frequency region of operation.", "In some cases, the at least one radiation booster fits in an imaginary sphere having a diameter smaller than ⅓, or preferably smaller than ¼, or preferably smaller than ⅙, or even more preferably smaller than 1/10 of a radiansphere at said free-space wavelength.", "Additionally, in some of these examples the at least one radiation booster has a maximum size larger than 1/1400, 1/700, 1/350, 1/250, 1/180, 1/140, or 1/120 times the free-space wavelength corresponding to the lowest frequency of said first frequency region.", "The maximum size of a radiation booster is preferably defined by the largest dimension of a booster box that completely encloses said radiation booster, and in which the radiation booster is inscribed.", "More specifically, a booster box for a radiation booster is defined as being the minimum-sized parallelepiped of square or rectangular faces that completely encloses the radiation booster and wherein each one of the faces of said minimum-sized parallelepiped is tangent to at least a point of said radiation booster.", "Moreover, each possible pair of faces of said minimum-size parallelepiped sharing an edge forms an inner angle of 90°.", "In those cases in which the radiating structure comprises more than one radiation booster, a different booster box is defined for each of them.", "In some preferred examples, the area defined by the two largest dimensions of a booster box is advantageously small compared to the square of the wavelength corresponding to the lowest frequency of the first frequency region; in particular, a ratio between said area and the square of the wavelength corresponding to the lowest frequency of the first frequency region may be advantageously smaller than at least one of the following percentages: 0.15%, 0.12%, 0.10%, 0.08%, 0.06%, 0.04%, or even 0.02%.", "In some of these examples, a ratio between the area defined by the two largest dimensions of a booster box and the square of the wavelength corresponding to the lowest frequency of the second frequency region may also be advantageously smaller than at least one of the following percentages: 0.50%, 0.45%, 0.40%, 0.35%, 0.30%, 0.25%, 0.20%, 0.15%, 0.10%, or even 0.05%.", "Moreover, in some embodiments according to the present invention, the at least one radiation booster will entirely fit inside a limiting volume equal or smaller than L3/25000, and in some cases equal or smaller than L3/50000, L3/100000, L3/150000, L3/200000, L3/300000, L3/400000, or even smaller than L3/500000, being L the wavelength corresponding to the lowest frequency of the first frequency region of operation.", "A slim radiating system according to the invention is configured to handle multiple communication bands, and to provide coverage and an acceptable level of reflection coefficient in a wide range of communication bands in one or more frequency regions of operation exhibiting a suitable radio-frequency performance.", "The slim radiating system is designed to transmit and receive radio-frequency signals in multiple communication bands of interest, including frequency bands that may be added, for instance, through the deployment of future cellular telephone bands and/or data service bands.", "In the context of this document, a frequency band preferably refers to a range of frequencies used by a particular cellular communication standard, a wireless connectivity standard or a broadcast standard, while a frequency region preferably refers to a continuum of frequencies of the electromagnetic spectrum.", "For example, the GSM 1800 standard is allocated in a frequency band from 1710 MHz to 1880 MHz while the GSM 1900 standard is allocated in a frequency band from 1850 MHz to 1990 MHz.", "A device operating the GSM 1800 and the GSM1900 standards must have a radiating system capable of operating in a frequency region from 1710 MHz to 1990 MHz.", "As another example, a wireless device operating the GSM 850 standard (allocated in a frequency band from 824 MHz to 894 MHz) and the GSM 1800 standard must have a radiating system capable of operating in two separate frequency regions.", "Some frequency bands that the slim radiating system may be configured to transmit and receive signals in are, for example, GSM 850 (824-894 MHz), GSM 900 (880-960 MHz), GSM 1800 (1710-1880 MHz), GSM 1900 (1850-1990 MHz), WCDMA 2100 (1920-2170 MHz), CDMA 1700 (1710-2155 MHz), LTE 700 (698-798 MHz), LTE 800 (791-862 MHz), LTE 2600 (2500-2690 MHz), LTE 3500 (3.4-3.6 GHz), LTE 3700 (3.6-3.8 GHz), WiFi or WLAN (2.4-2.5 GHz and/or 4.9-5.9 GHz), etc.", "A wireless handheld or portable device according to the present invention may operate one, two, three, four or more cellular communication standards, wireless connectivity standards, and/or broadcasts standards, each standard being allocated in one, two or more frequency bands, and said frequency bands being contained within one, two or more frequency regions of the electromagnetic spectrum.", "The slim radiating system is designed to provide an acceptable level of reflection coefficient in the frequency regions of operation.", "A slim radiating system according to the present invention is configured to operate in at least one frequency region.", "In some embodiments, the slim radiating system is configured to operate in a first frequency region comprising at least a first frequency band, and a second frequency region comprising at least a second frequency band.", "Such radiating system is configured to satisfy the radio-frequency bandwidths and frequency coverage goals.", "A slim radiating system according to the present invention may advantageously feature an impedance bandwidth in the first frequency region larger than 5%, 10%, 15%, or even larger than 20%.", "In addition, such radiating system may also feature an impedance bandwidth in the second frequency region larger than 5%, 10%, 15%, 20%, 25%, 30%, 35%, or even larger than 40%.", "The impedance bandwidth is defined as the difference between the highest and lowest frequencies of a frequency region, divided by the central frequency of the same frequency region.", "Due to the small size of the radiation boosters, the radiation boosters and booster bars may be electrically short at some or all frequencies of operation.", "A slim radiating structure according to the present invention may feature a first resonant frequency, measured at an internal path, at a frequency higher (i.e.", "above) than the highest frequency of the first frequency region of operation when said radio-frequency system is disconnected.", "Moreover, when the radio-frequency system is disconnected, the input impedance of the slim radiating structure measured at the internal path may have an important reactance, in particular a capacitive reactance, within the frequencies of said first frequency region.", "In this case, a ratio between the first resonant frequency of the slim radiating structure and the highest frequency of the first frequency region is advantageously greater than 1.2.In some cases, said ratio may be even greater than one or more of the following values: 1.5, 1.8, 2.0, 2.2, 2.4, 2.6, 2.8, or 3.0.In some examples, a ratio between said first resonant frequency and the lowest frequency of the first frequency region of operation is advantageously greater than 1.3, or even greater than one or more of the following values: 1.4, 1.5, 1.8, 2.0, 2.2, 2.4, 2.6, 2.8, or 3.0.In some embodiments, the first resonant frequency of the slim radiating structure, measured at an internal path when the radio-frequency system is disconnected, is above the highest frequency of the second frequency region, wherein a ratio between said first resonant frequency and said highest frequency of the second frequency region may be larger than one or more of the following values: 1.0, 1.1, 1.2, 1.4, 1.6, 1.8, or 2.0.In some other embodiments, said first resonant frequency is within the second frequency region.", "In some other examples, said first resonant frequency is above the highest frequency of the first frequency region and below the lowest frequency of the second frequency region.", "In the context of this document, a resonant frequency associated to a radiation booster of the slim radiating structure preferably refers to a frequency at which the input impedance of the slim radiating structure, the impedance being measured at the internal path coupling the radiation booster to the radio-frequency system when the radio-frequency system is not connected, has an imaginary part equal or substantially equal to zero.", "The radio-frequency system may comprise one or more matching circuits that modify the impedance of the slim radiating structure providing impedance matching to the slim radiating system, at an external path, in one or more frequency regions of operation of the slim radiating system.", "A radio-frequency system according to the invention may include at least one matching network with a plurality of stages, for instance, two, three, four, five, six or more stages.", "A stage comprises one or more circuit components (for example but not limited to, inductors, capacitors, resistors, jumpers, short-circuits, delay lines, or other reactive or resistive components).", "In some cases, a stage has a substantially inductive behavior in the frequency region or regions of operation of the slim radiating system, while another stage has a substantially capacitive behavior in said frequency region/s, and yet a third one may have a substantially resistive behavior in said frequency region/s.", "In an example, a stage may substantially behave as a resonant circuit (such as, for instance, a parallel LC resonant circuit or a series LC resonant circuit) in at least one frequency region of operation of the slim radiating system.", "The use of stages having a resonant circuit behavior allows one part of a given matching network be effectively connected to another part of said matching network for a given range of frequencies, or in a given frequency region, and be effectively disabled for another range of frequencies, or in another frequency region.", "In some examples, the at least one matching network alternates stages connected in series (i.e.", "cascaded) with stages connected in parallel (i.e.", "shunted), forming a ladder structure.", "In some cases, a matching network comprising two stages forms an L-shaped structure (i.e.", "series-parallel or parallel-series).", "In some cases, a matching network comprising three stages forms either a pi-shaped structure (i.e.", "parallel-series-parallel) or a T-shaped structure (i.e.", "series-parallel-series).", "In some embodiments, a radio-frequency system according to the present invention comprises a matching circuit in a ladder topology.", "Such matching circuit preferably comprises one reactive component per stage.", "In some other embodiments, a radio-frequency system according to the present invention comprises a matching circuit at least including a series LC resonant circuit and a parallel LC resonant circuit.", "In a preferred embodiment, an electronic device comprises a slim radiating system configured to transmit and receive electromagnetic wave signals in at least one frequency region of the electromagnetic spectrum, and comprising a slim radiating structure, a radio-frequency system, at least one internal conductive path and at least one external conductive path.", "The slim radiating structure comprises at least one ground element and at least one booster bar.", "The at least one internal conductive path comprises a conductive element that couples the at least one booster bar to the radio-frequency system.", "The radio-frequency system comprises at least one matching circuit modifying the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the at least one frequency region at the at least one external conductive path.", "The at least one booster bar has an elongated shape, and is characterized by a slim width factor greater than 3 and a slim height factor greater than 3, is separated from the at least one ground element by a gap and is characterized by a location factor between 0.5 and 2.Another preferred embodiment relates to an electronic device including a slim radiating system that comprises a slim radiating structure, a radio-frequency system, an internal conductive path and at least one external conductive path; the slim radiating system is configured to transmit and receive electromagnetic wave signals in a first frequency region and a second frequency region.", "The slim radiating structure comprises at least one ground element, and one booster bar separated from the ground element by a gap and is characterized by a location factor between 0.3 and 1.8.The internal conductive path comprises a conductive element that couples the booster bar to the radio-frequency system.", "The radio-frequency system comprises a matching circuit that modifies the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the first and second frequency regions at the at least one external conductive path.", "The first and second frequency regions are preferably separated so that the lowest frequency of the second frequency region is above the highest frequency of the first frequency region.", "Some preferred matching circuits for such preferred embodiment are described in FIGS.", "15A-15F.", "Further, an advantageous aspect of the invention refers to a radio-frequency system comprising a matching circuit that provides impedance matching to the slim radiating system in first and second frequency regions preferably not requiring a filtering circuit or component that separates frequencies of the first frequency region from frequencies of the second frequency region (e.g.", "a diplexer, a bank of filters, etc.)", "for providing impedance matching in the first frequency region and second frequency region independently (i.e.", "in two separate branches or paths).", "Thus preferred matching circuits may provide impedance matching in said first and second frequency regions with one branch.", "According to the present invention, some preferred matching circuits preferably comprise seven or less components, for instance: two, three, four, five, six or seven.", "Such matching circuits preferably do not comprise active circuits or components.", "In some embodiments in which the slim radiating system is configured to transmit and receive signals in a first frequency region and a second frequency region, a ratio between the lowest frequency of the second frequency region and the lowest frequency of the first frequency region may be greater than 1.5.In some of these embodiments, said ratio may be also greater than 1.8, 2.0, 2.2, or 2.4.In addition, in some embodiments in which the slim radiating system is configured to operate signals from first and second frequency regions, a ratio between the lowest frequency of the second frequency and the highest frequency of the first frequency region may be greater than 1.2, 1.5, 1.8, 2.0, 2.2, or 2.4.Accordingly, an advantageous aspect of such radio-frequency system is its efficiency in that impedance matching in the first and second frequency regions may be provided with one matching circuit using a reduced number of components, which consequently introduces lower losses in the radio-frequency system and makes it more robust against the tolerances of the components.", "Moreover, by not including filtering circuits such as diplexers, the radio-frequency system avoids the insertion losses characterizing such type of circuits and the necessity of having two separate matching circuits, which consequently makes the radio-frequency system have less components and the slim radiating system smaller in terms of area occupied in the device.", "In a third preferred embodiment, an electronic device includes a slim radiating system comprising a slim radiating structure, a radio-frequency system, first and second internal conductive paths and at least one external conductive path; the slim radiating system is configured to transmit and receive electromagnetic wave signals in a first frequency region and a second frequency region.", "The slim radiating structure comprises at least one ground plane layer, first and second radiation boosters, each of the first and second radiation boosters being separated from the ground plane layer by a gap.", "The first internal conductive path comprises a conductive element that couples the first radiation booster to the radio-frequency system, and the second internal conductive path comprises a conductive element that couples the second radiation booster to the radio-frequency system.", "The radio-frequency system comprises a matching circuit coupled to the first and second internal conductive paths and to the external conductive path, the matching circuit modifies the impedance of the slim radiating structure providing impedance matching to the slim radiating system in the first and second frequency regions.", "In some cases, the slim radiating system may comprise a first external conductive path and a second external conductive path, and the radio-frequency system may include a diplexer circuitry that advantageously filters signals from first and second frequency regions, said signals being matched in impedance in the first and second frequency regions by the matching circuit within the radio-frequency system.", "A first port of the diplexer is connected to the matching circuit, and the two remaining ports of the diplexer are connected to the first and second external conductive paths.", "The first and second external paths comprise, respectively, signals for frequencies from the first frequency region, and signals for frequencies from the second frequency region.", "A further aspect of the present invention relates to a test platform for electromagnetically characterizing radiation boosters.", "Said platform comprises a substantially square conductive surface on top of which, and substantially close to the central point, the element to be characterized is mounted perpendicular to said surface in a monopole configuration, said conductive surface acting as the ground plane.", "The substantially square conductive surface comprises sides with a dimension larger than a reference operating wavelength.", "In the context of the present invention, said reference operating wavelength is the free-space wavelength equivalent to a frequency of 900 MHz.", "A substantially square conductive surface according to the present invention is made of copper with sides measuring 60 centimeters, and a thickness of 0.5 millimeters.", "In the test configuration as set forth above, a booster bar according to the present invention may be characterized by a ratio between the first resonance frequency and the reference frequency (900 MHz) being larger than a minimum ratio of 3.0.In some cases, said ratio may be even larger than a minimum ratio such as: 3.4, 3.8, 4.0, 4.2, 4.4, 4.6, 4.8, 5.0, 5.2, 5.4, 5.6, 5.8, 6.0, 6.2, 6.6 or 7.0.A booster bar according to the present invention may also be characterized by a radiation efficiency measured in said platform, at a frequency equal to 900 MHz, being less than 50%, preferably being less than 40%, 30%, 20%, or 10%, and in some cases being less than 7.5%, 5%, or 2.5%.", "All those are quite remarkably low efficiency values considering the additional 1:3 frequency mismatch and beyond obtained in some of the embodiments as described above.", "Such a frequency shift would introduce further mismatch losses that would result in an overall antenna efficiency below 5%, and quite typically below 2%, which would be ordinarily considered unacceptable for a mobile phone or wireless application.", "Still, quite surprisingly, when combining at least one booster bar with the radio-frequency system of a slim radiating system according to the present invention, said slim radiating system recovers the efficiency required for the performance of a typical wireless device.", "BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of the invention are shown in the enclosed figures.", "FIGS.", "1A-1B—Show examples of wireless handheld devices including slim radiating systems according to preferred embodiments of the invention.", "FIGS.", "2A-2D—Block diagram representations of five examples of slim radiating systems in according with some preferred embodiments of the present invention.", "FIG.", "3—Shows a perspective view of an example of a slim radiating structure including a booster bar in accordance with the present invention.", "FIGS.", "4A-4B—Graphs showing bandwidth performances of several slim radiating systems as a function of the booster bar's width and gap dimensions.", "FIG.", "5—Graph showing bandwidth performances of a slim radiating system as a function of the booster bar's width and gap dimensions for three different depth values.", "FIG.", "6—Graph showing an example of an acceptable radio-electric frequency behavior for a slim radiating system in accordance with the present invention.", "FIG.", "7—Shows a perspective view of an example of slim radiating structure including four booster bars in accordance with a preferred embodiment.", "FIG.", "8—Plan view of an exemplary radio-frequency system coupled to a slim radiating structure in accordance with the present invention.", "FIG.", "9—Graph showing the radio-electric frequency behavior of a slim radiating system including the slim radiating structure of FIG.", "7 and the radio-frequency system of FIG.", "8.FIG.", "10—Perspective view of an exemplary slim radiating structure including three booster bars in accordance with a preferred embodiment.", "FIG.", "11—Plan view of an example of a radio-frequency system coupled to a slim radiating structure in accordance with the present invention.", "FIG.", "12—Graph showing the radio-electric frequency behavior of a slim radiating system including the slim radiating structure of FIG.", "10 and the radio-frequency system of FIG.", "11.FIG.", "13—Shows another exemplary slim radiating structure according to the invention.", "FIGS.", "14A-14B—Show schematic representations of radio-frequency systems in accordance with a preferred embodiment.", "FIGS.", "15A-15F—Show six preferred matching circuits for some embodiments of the present invention.", "FIGS.", "16A-16F—Show the impedance transformation of an exemplary slim radiating system as the different stages of a matching circuit in the radio-frequency system are added.", "FIG.", "17—Shows the reflection coefficient of exemplary slim radiating system of FIG.", "16F.", "FIG.", "18A-18B—Show the impedance and the reflection coefficient of an exemplary slim radiating system comprising a radio-frequency system according to the invention.", "FIG.", "19—Shows an exemplary radiation booster according to the invention.", "FIG.", "20—Shows a slim radiating structure and an internal path in the form of a conductive trace in accordance with a preferred embodiment.", "FIG.", "21A-21B—Show a test platform for the electromagnetic characterization of radiation boosters.", "FIG.", "22—Shows the radiation efficiency and antenna efficiency of a radiation booster according to the present invention measured with the test platform depicted in FIGS.", "21A and 21B.", "DETAILED DESCRIPTION Further characteristics and advantages of the invention will become apparent in view of the detailed description of some preferred embodiments which follows.", "Said detailed description of some preferred embodiments of the invention is given for purposes of illustration only and in no way is meant as a definition of the limits of the invention, made with reference to the accompanying figures.", "Illustrative wireless electronic devices including a slim radiating system in accordance with the present invention are shown in FIGS.", "1A and 1B.", "In the particular arrangement of FIG.", "1A, the wireless electronic device 100 is a smartphone although it might represent other wireless electronic devices such as for instance a phablet or a tablet.", "The slim radiating system includes a first booster bar 101, a second booster bar 102, a booster element 110, and a ground element 105 (which may be included in a layer of a multilayer printed circuit board).", "The booster element 110 comprises two contiguous booster bars: the third booster bar 103 and the fourth booster bar 104.The first booster bar 101 is coupled to a radio-frequency system 109 via a conductive path 106, the second booster bar 102 is coupled to the radio-frequency system 109 via a conductive path 107, and the booster element 110 is coupled to the radio-frequency system 109 via a conductive path 108.In FIG.", "1B there is shown a wireless handheld device 150 in an exploded perspective view, the device comprises a slim radiating structure and a radio-frequency system 153.The slim radiating structure comprises a radiation booster 151 taking the form of a booster bar with an elongated shape, and a ground plane layer 152.The booster bar 151 is coupled to the radio-frequency system via the internal conductive path 154 which, in this particular example, may be a conductive trace.", "In the examples of FIGS.", "1A and 1B, the booster bars are arranged on a part of the device free of ground plane, so there is no ground plane in the orthogonal projection of the booster bars onto the plane comprising the ground plane layers 105 and 152, respectively.", "In other embodiments, the orthogonal projection of a booster bar or other radiation booster onto the plane comprising the ground plane layer may be overlapped partially or completely by the ground plane layer.", "FIG.", "2A shows a block diagram representation of a slim radiating system for an electronic device.", "The slim radiating system 201a comprises slim radiating structure 202a, radio-frequency system 203a, internal conductive path 204a, and external conductive path 205a.", "The slim radiating structure is coupled to the radio-frequency system via the internal path 204a, and to other RF circuitry for handling RF wave signals via the external path 205a.", "A slim radiating system in accordance with this block diagram is configured to operate in at least one frequency region, or in at least two frequency regions, or in at least three frequency regions.", "FIG.", "2B shows another block diagram of a slim radiating system for an electronic device according to the present invention.", "The slim radiating system 201b comprises slim radiating structure 202b, radio-frequency system 203b, two internal conductive paths 204b and 205b, and two external conductive paths 206b and 207b.", "The slim radiating structure is coupled to the radio-frequency system via the internal paths 204b and 205b, and to other RF circuitry for handling RF wave signals via the external paths 206b and 207b.", "A slim radiating system in accordance with this block diagram is configured to operate in at least two frequency regions, or in at least three frequency regions.", "FIG.", "2C shows another block diagram of a slim radiating system for an electronic device according to the present invention.", "The slim radiating system 201c comprises slim radiating structure 202c, radio-frequency system 203c, three internal conductive paths 204c, 205c and 206c, and three external conductive paths 207c, 208c, and 209c.", "The slim radiating structure is coupled to the radio-frequency system via the internal conductive paths 204c, 205c and 206c, and to other RF circuitry for handling RF wave signals via the external conductive paths 207c, 208c and 209c.", "A slim radiating system in accordance with this block diagram is configured to operate in at least three frequency regions.", "FIG.", "2D shows another block diagram of a slim radiating system for an electronic device according to the present invention.", "The slim radiating system 201d is similar to 201a from FIG.", "2A.", "It comprises slim radiating structure 202a, radio-frequency system 203d, internal conductive path 204a, and two external conductive paths 205d and 206d.", "The slim radiating structure is coupled to the radio-frequency system via the internal paths 204a, and to other RF circuitry for handling RF wave signals via the external paths 205d and 206d.", "The radio-frequency system 203d may comprise a matching circuit configured to provide impedance matching in at least two frequency regions, and a diplexer may be connected to said matching circuit and coupled to the external paths.", "A slim radiating system in accordance with this block diagram is configured to operate in at least two frequency regions.", "The radio-frequency system 203d is convenient for the interconnection with an RF (radio-frequency) front-end module or RF circuitry that includes separate inputs for signals from the first frequency region and the second frequency region.", "If such RF front-end module (not illustrated) had one input/output for all the signals, the radio-frequency system 203a from FIG.", "2A would be more suitable.", "FIG.", "3 illustrates a preferred example of a slim radiating structure 301 according to the present invention.", "The slim radiating structure comprises a booster bar 303 and a ground plane layer 302, the booster bar comprises a single standard layer of dielectric material 306 with a top 304 and a bottom 305 conductive surfaces.", "The booster bar has a length 310, a width 311 and a height 312.The length of the booster bar is taken along the dimension that is substantially parallel to the ground plane layer in the top or bottom conductive surface, the width is taken along the dimension that is substantially perpendicular to the ground plane layer in the top or bottom conductive surface, and the height is taken as the minimum distance between the top conductive surface and the bottom conductive surface.", "In some embodiments the booster bar comprises pads on a first and a second surface so that the mounting of the booster can be reversed and top and bottom sides can be interchanged.", "The size and shape of the booster bar is characterized by a slim width factor and a slim height form factor.", "The slim width factor is a ratio between the length and the width of the booster bar, and the slim height factor is a ratio between the length and height of the booster bar, being the slim width factor and the slim height factor preferably larger than 3.In this example, where the booster is configured to operate in one or more frequency bands within the 600 MHz-6 GHz range (e.g.", "GSM 850 (824-894 MHz), GSM 900 (880-960 MHz), GSM 1800 (1710-1880 MHz), GSM 1900 (1850-1990 MHz), WCDMA 2100 (1920-2170 MHz), CDMA 1700 (1710-2155 MHz), LTE 700 (698-798 MHz), LTE 800 (791-862 MHz), LTE 2600 (2500-2690 MHz), LTE 3500 (3.4-3.6 GHz), LTE 3700 (3.6-3.8 GHz), WiFi (2.4-2.5 GHz and/or 4.9-5.9 GHz)), the length is 10 millimeters, the width is 3.2 millimeters and the height is 3.2 millimeters, being the slim width factor 3.125 and the slim height factor 3.125, all those dimensions in these and other embodiments, within a typical tolerance of, for instance +/−1%-3% and in some occasions up to a 10% variation.", "The booster bar is separated from the ground plane by a gap 313; the gap is taken as the minimum distance between the bottom conductive layer and the ground plane layer.", "The gap distance plus the booster bar's width 311 is characterized as the depth of the radiation booster.", "The location of the booster bar in relation to the ground plane layer is characterized by a location factor.", "The location factor is a ratio between the width of the booster bar and the gap, being the location factor preferably in the range of between 0.5 and 2.In this example, the width is 3.2 mm and the gap is 3.3 mm, being the location factor 0.96 and the depth 6.5 mm, all those dimensions within a typical tolerance of, for instance +/−10% variation.", "FIG.", "4A and FIG.", "4B illustrate two examples of the relevance of the location and width of the booster bar in the radio-frequency performance of the slim radiating system; the radio-frequency performance of the slim radiating system is affected by the location of the booster bar with respect to the ground plane layer and the width of the booster bar.", "FIG.", "4A and FIG.", "4B plot the potential bandwidths achieved by six slim radiating systems as a function of the booster bar's width and gap dimensions.", "Curve 401 represents the potential bandwidth of a slim radiating system comprising a booster bar characterized by a height of 2.4 mm and a length of 11.5 mm.", "Curve 402 represents the potential bandwidth of a slim radiating system that includes a booster bar having a height of 3.2 mm and a length of 9 mm.", "Curve 403 represents the potential bandwidth of a slim radiating system comprising a booster bar characterized by a height of 2.4 mm and a length of 10.5 mm.", "Curve 404 represents the potential bandwidth of a slim radiating system comprising a booster bar characterized by a height of 3.2 mm and a length of 7 mm.", "Curve 405 represents the potential bandwidth of a slim radiating system comprising a booster bar characterized by a height of 2.4 mm and a length of 9 mm.", "Curve 406 represents the potential bandwidth of a slim radiating system comprising a booster bar characterized by a height of 2.4 mm and a length of 7 mm.", "As shown in FIG.", "4A and FIG.", "4B, the potential bandwidth of the slim radiating system depends on the width dimension of the booster bar and the location of the booster bar in relation to the ground plane layer; for each of the curves, there is a region where the most favorable bandwidth values are achieved.", "In this invention, such region is referred as the effective bandwidth region which corresponds to a range of location factor values that provide the region of most advantageous bandwidth values for the slim radiating system.", "The preferred values for the location factor are in the range of between 0.5 and 2.Such result is against conventional wisdom as the wider the width of the antenna element, the greater the bandwidth as, for example, in a monopole antenna.", "FIG.", "5 illustrates another example of the effect of the booster bar's location and width on the radio-frequency performance of a slim radiating system; the radio-frequency performance of the slim radiating system is affected by the location of the booster bar with respect to the ground plane layer and the width of the booster bar.", "FIG.", "5 plots the potential bandwidth achieved by the slim radiating system as a function of the booster bar's width and gap dimensions; the three curves 501, 502 and 503 represent the potential bandwidth of a slim radiating system comprising a booster bar having a height of 3.2 mm and a length of 7 mm.", "Curve 501 refers to the booster bar having a depth of 7.5 mm, curve 502 corresponds to a depth of 7 mm and curve 503 is for a depth of 6.5 mm.", "As previously shown in FIGS.", "4A and 4B, the potential bandwidth of the slim radiating system depends on the gap that separates the booster bar from the ground plane layer and the width of the booster bar; for each of the curves, there is an effective bandwidth region where the most advantageous bandwidth values are achieved.", "One way to characterize the radio-frequency performance of the slim radiating system entails the use of a reflection coefficient plot; a reflection coefficient of less than −4.4 dB is generally acceptable.", "FIG.", "6 illustrates an example of an acceptable radio-frequency performance for a slim radiating system according to the present invention.", "The slim radiating system comprises a booster bar which is characterized by a width form factor of 3.125, a height form factor of 3.125 and a location factor of 0.96.Curve 601 shows the reflection coefficient of the slim radiating system versus frequency, and line 602 shows an acceptable reference level for the reflection coefficient.", "In this example, the reflection coefficient is less than −4.4 dB for all the frequencies of the operating frequency region which covers a frequency range of about 824 MHz to about 960 MHz.", "Such frequency range enables the slim radiating system to be used to cover at least two communication frequency bands such as a band from 824 MHz to 894 MHz and a band from 880 MHz to 960 MHz.", "These two bands are examples of bands that can be covered by a slim radiating system; other bands may also be handled by the slim radiating system.", "In another embodiment, a suitable radio-frequency performance for the slim radiating system corresponds to a reflection coefficient of −6 dB or less for all the frequencies of the operating frequency range.", "FIG.", "7 illustrates a preferred example of a slim radiating structure according to the present invention suitable for a slim radiating system configured to operate in three frequency regions.", "The slim radiating structure 701 comprises a first booster bar 702, a second booster bar 703, a booster element 704 comprising two adjacent booster bars 705 and 706, and a ground plane layer 707.As shown in FIG.", "3, each booster bar comprises a single standard layer of dielectric material with top and bottom conductive surfaces; in this example the dielectric material has a height of 3.2 mm.", "In this example, the first and second booster bars 702, 703 have a slim width factor of 3.125, a slim height factor of 3.125, and a location factor of 0.96; the booster element 704 has a slim width factor of 6.25, a slim height factor of 6.25 and a location factor of 0.96.In general, any suitable shape may be used for the ground plane layer.", "FIG.", "7 illustrates an example of a slim radiating structure according to the present invention suitable for a slim radiating system configured to operate in three frequency regions.", "The ground plane layer 707 includes clearance regions that may be used to mount other components of the electronic wireless device, or to adjust the ground plane layer to the shape of the electronic wireless device housing or for SAR purposes.", "The ground plane rectangle 708 (represented with dashed lines for illustrative purposes only) is characterized as the minimum sized rectangle that encompasses the ground plane layer 707.That is, the ground plane rectangle is a rectangle whose sides are tangent to at least one point the ground plane layer.", "In accordance with the present invention, a first long side of the ground plane layer refers to a long side of the ground plane rectangle 709 or 710; a second long side of the ground plane layer refers to a second long side of the ground plane rectangle 710 or 709; a first short side of the ground plane layer refers to a first short side of the ground plane rectangle 711 or 712; and a second short side of the ground plane layer relates to a second short side of the ground plane rectangle 712 or 711.FIG.", "8 shows an example of a radio-frequency system 805 coupled to a slim radiating structure 801 via internal conductive paths 802, 803 and 804.An example of a suitable slim radiating structure 801 to be coupled to the radio-frequency system 805 is the slim radiating structure shown in FIG.", "7.The radio-frequency system 805 comprises a first matching circuit 806, a second matching circuit 807, and a third matching circuit 808.The first matching circuit 806 is configured to ensure that the slim radiating system is impedance-matched at a first frequency region to other circuitry coupled via external conductive path 809.The second matching circuit 807 is configured to provide impedance matching at a second frequency region for other circuitry coupled to external conductive path 810.The third matching circuit 808 is configured to guarantee that the slim radiating system is matched in impedance at a third frequency region at the external conductive path 811.The first, second and third matching networks are therefore configured to ensure an acceptable reference level for the reflection coefficient over an entirety of the first, second and third operating frequency ranges.", "Each of the first, second and third matching circuits comprises a network of passive components such as inductors and capacitors, which are arranged with a suitable architecture like, for instance, an inductor plus an LC network.", "Other suitable matching circuits may be used to ensure that the slim radiating system is matched in impedance at the operating frequency ranges; other suitable matching circuits may comprise a network of passive and/or active components, which may be arranged with other suitable architectures.", "FIG.", "9 illustrates the radio-frequency performance of the slim radiating system resulting from the interconnection of the slim radiating structure 701 to the radio-frequency system 805.Curve 901 shows the reflection coefficient of the slim radiating system versus frequency at a terminal in the external path 809, curve 902 shows the reflection coefficient of the slim radiating system versus frequency at a terminal in the external path 810, curve 903 shows the reflection coefficient of the slim radiating system versus frequency at a terminal in the external path 811, and line 904 shows an acceptable reference level for the reflection coefficient.", "In this example, the reflection coefficient 901 is less than −4.4 dB for all the frequencies of a first operating frequency region 905, the reflection coefficient 902 is less than −4.4 dB for all the frequencies of a second operating frequency region 906, and the reflection coefficient 903 is less than −4.4 dB for all the frequencies of a third operating frequency region 907.The first operating frequency region 905 of the slim radiating system covers a first frequency range of about 698 MHz to about 798 MHz, the second operating frequency region 906 of the slim radiating system covers a frequency range of about 824 MHz to about 960 MHz, and the third operating frequency region 907 of the slim radiating system covers a third frequency range of about 1710 MHz to about 2690 MHz.", "The first frequency range enables the slim radiating system to be used to cover at least three communication bands such as a band from 699 MHz to 746 MHz, a band from 746 MHz to 787 MHz, and a band from 758 MHz to 798 MHz.", "The second frequency range enables the slim radiating system to cover at least two communication frequency bands such as a band from 824 MHz to 894 MHz and a band from 880 MHz to 960 MHz.", "The third frequency range enables the slim radiating system to cover at least five communication frequency bands such as a band from 1710 MHz to 1880 MHz, a band from 1850 MHz to 1990 MHz, a band from 1920 MHz to 2170 MHz, a band from 2300 MHz to 2400 MHz, and a band from 2496 MHz to 2690 MHz.", "Other desirable communication frequency bands may also be handled by the slim radiating system.", "FIG.", "10 illustrates another example of a slim radiating structure in accordance to the present invention; the slim radiating structure is suitable for a slim radiating system that is configured to operate in at least two frequency regions.", "The slim radiating structure 1001 comprises a first booster element 1002 including a first booster bar 1003 and a second booster bar 1004 adjacent to the first booster bar; the slim radiating structure 1001 further comprises a third booster bar 1005, and a ground plane layer 1006.As shown in FIG.", "3, each booster bar may be formed by a single standard layer of dielectric material with top and bottom conductive surfaces.", "In this example, the dielectric material has a height of 2.4 mm; the first booster element 1002 has a slim width factor of 8, a slim height factor of 10, and a location factor of 0.375; the third booster bar 1005 has a slim width factor of 4, a slim height factor of 5 and a location factor of 0.375.FIG.", "11 shows an example of a radio-frequency system 1101 coupled to a slim radiating structure 1102 via internal conductive paths 1103 and 1104.An example of a suitable slim radiating structure 1102 to be coupled to the radio-frequency system 1101 is illustrated in FIG.", "10.The radio-frequency system 1101 comprises a matching circuit being configured to ensure that the slim radiating system is impedance-matched to other circuitry coupled via external conductive path 1105 at a first frequency region and a second frequency region.", "The matching network is therefore configured to ensure an acceptable reference level for the reflection coefficient over an entirety of the first and second operating frequency ranges.", "The matching circuit comprises a network of passive components such as inductors, capacitors and transmission lines, which are arranged with a suitable architecture as shown in FIG.", "11.Other suitable matching circuits may be used to ensure that the slim radiating system is impedance matched at the operating frequency ranges; other suitable matching circuits may comprise a network of passive and/or active components, which may be arranged with other suitable architectures.", "FIG.", "12 illustrates the radio-frequency performance of the slim radiating system resulting from the interconnection of the slim radiating structure 1001 to the radio-frequency system 1101.Curve 1201 shows the reflection coefficient of the slim radiating system versus frequency at a terminal in the external path 1105, and line 1202 shows an acceptable reference level for the reflection coefficient.", "In this example, the reflection coefficient 1201 is less than −4.4 dB for all the frequencies of the first and second frequency regions.", "The first operating frequency region of the slim radiating system covers a first frequency range of about 698 MHz to about 960 MHz, and the second operating frequency region of the slim radiating system coves a frequency range of about 1710 MHz to about 3800 MHz.", "The first frequency range enables the slim radiating system to be used for covering at least five communication bands such as a band from 699 MHz to 746 MHz, a band from 746 MHz to 787 MHz, a band from 758 MHz to 798 MHz, a band from 824 MHz to 894 MHz, and a band from 880 MHz to 960 MHz.", "The second frequency range enables the slim radiating system to cover at least seven communication frequency bands such as a band from 1710 MHz to 1880 MHz, a band from 1850 MHz to 1990 MHz, a band from 1920 MHz to 2170 MHz, a band from 2300 MHz to 2400 MHz, a band from 2496 MHz to 2690 MHz, a band from 3400 MHz to 3600 MHz, and a band from 3600 MHz to 3800 MHz.", "Other desirable communication frequency bands may also be handled by the slim radiating system.", "Another example of a slim radiating structure is shown in FIG.", "13.The slim radiating structure 1300 comprises ground plane layer 1302 on a printed circuit board 1307, and radiation booster 1301 characterized by a slim width factor between 1 and 2, and a slim height factor between 1 and 2.The radiation booster 1301 is separated from the ground plane layer by a gap and is characterized by a location factor between 0.5 and 2, preferably between 0.5 and 1.The ground plane layer may be inscribed in ground plane rectangle 1306 (in dashed lines for illustrative purposes only), and the radiation booster may be inscribed in booster box 1305 (in dashed lines for illustrative purposes only).", "A wireless electronic device comprising a slim radiating system that includes slim radiating structure 1300 may advantageously provide penta-band operation: two frequency bands in the first frequency region, like for example the frequency bands corresponding to the GSM 850 and GSM 900 cellular communication standards (i.e.", "the first frequency region comprising the 824 MHz to 960 MHz frequency range), and three frequency bands in the second frequency region, like for example the frequency bands corresponding to the GSM 1800, GSM 1900 and WCDMA 2100 cellular communication standards (i.e.", "the second frequency region comprising the 1710 MHz to 2170 MHz frequency range).", "In another example, a device according to the present invention could provide triple-band or quad-band operation with at least two frequency bands in the first frequency region, and at least another two frequency bands in the second frequency region, wherein first and second frequency regions do not overlap in frequency.", "Such device could operate, for instance but not limited to, the GSM 850 and GSM 900 cellular communication standards, and the GSM 1800 and GSM 1900 cellular communication standards.", "FIG.", "14A illustrates a radio-frequency system 1400 that comprises a first port 1401, a second port 1402, and a matching circuit 1403.Such radio-frequency system is particularly convenient to be used in the slim radiating system of FIG.", "2A.", "Port 1401 may be connected to an internal conductive path (for instance 204a), and port 1402 may be connected to an external conductive path (for instance 205a).", "The matching circuit 1403 may be configured to provide impedance matching in at least one frequency region, or in at least two frequency regions, or in at least three frequency regions.", "FIG.", "14B illustrates another radio-frequency system 1410 comprising a first port 1411, a second port 1412, a third port 1413, a matching circuit 1414, a diplexer 1415, and a conductive path 1416 connecting the matching circuit to the diplexer.", "In reception, the diplexer 1415 is configured to split the signal from conductive path 1416 in a first signal extracted at port 1412, preferably comprising the frequencies corresponding to the first frequency region, and in a second signal extracted at port 1413, preferably comprising the frequencies corresponding to the second frequency region; in transmission, diplexer 1415 combines signals from ports 1412 and 1413 and are extracted in conductive path 1416.The matching circuit 1414 provides impedance matching to the slim radiating system in the first and second frequency regions.", "Ports 1412 and 1413 may be respectively connected to first and second external paths as shown in FIG.", "2D.", "FIGS.", "15A to 15F show preferred matching circuits configured to provide impedance matching in at least two frequency regions.", "FIG.", "15A shows matching circuit 1500 comprising first and second ports 1501 and 1502, and a circuit including five stages forming a ladder topology (series-parallel-series-parallel-series).", "The first stage, which is connected to port 1501, is an inductor in series 1503, the second stage is a shunted inductor 1504, the third stage is a capacitor in series 1505, the fourth stage is an inductor in parallel 1506, and the fifth stage is a capacitor in series 1507, said fifth stage being connected to the second port 1502.In FIG.", "15B there is shown matching circuit 1510 comprising six stages that form an alternative ladder topology (series-parallel-series-parallel-series-parallel).", "The first stage (in series) is connected to the first port 1501 of the matching circuit, and the sixth stage comprising an inductor in parallel 1511 is connected to the second port 1502 of the matching circuit.", "FIG.", "15C depicts another preferred matching circuit 1520 comprising two stages: the first stage comprises a capacitor in parallel 1521, and the second stage comprises an inductor in series 1522.A preferred range of capacitor values for shunted capacitor 1521 of matching circuit 1520 is 0.01pF to 30pF.", "FIG.", "15D shows another preferred matching circuit 1530 comprising a series inductor 1531 connected to port 1501 and to a series LC resonator formed by inductive component 1532a and capacitive component 1532b.", "The LC resonator is connected to an LC resonator in parallel, comprising inductor 1533a and capacitor 1533b, and to a series capacitor 1534.The series capacitor is connected to second port 1502 of the matching circuit 1530.This matching circuit comprises a single branch formed by four stages (series-series-parallel-series).", "FIG.", "15E shows a fifth preferred matching circuit 1540 comprising: inductor 1541 in series connected to port 1501, inductor 1542 in parallel, capacitor 1543 in series, inductor 1544a and capacitor 1544b in parallel forming a parallel LC circuit, and capacitor 1545 in series connected to port 1502.FIG.", "15F illustrates another preferred matching circuit 1550 that is similar to matching circuit 1540 with the difference that capacitor 1545 is connected to inductor in series 1551 forming a series LC circuit, and said inductor being connected to port 1502 instead of capacitor 1545 as in FIG.", "15E.", "Inductors 1503, 1531 and 1541 corresponding to the first stage of matching circuits 1500, 1510, 1530, 1540 and 1550 may preferably have a value in the range of 0.1 nH to 80 nH.", "Matching circuits 1500, 1510, 1520, 1530, 1540, and 1550 are suitable for being used as matching circuit 203a and 203d shown in FIGS.", "2A and 2D.", "FIG.", "16A shows the impedance 1600 of a slim radiating system comprising a radiation booster, measured at its internal conductive path, when it is disconnected from a radio-frequency system as disclosed in the present invention.", "Points 1601 and 1602 from said impedance correspond to the lowest and highest frequencies of a first frequency region (in this example, said frequencies are 824 MHz and 960 MHz); and points 1603 and 1604 correspond to the lowest and highest frequencies of a second frequency region (for this particular example, said frequencies are 1710 MHz and 2170 MHz).", "The impedance 1600 has a substantially large negative reactance, namely the impedance in the first frequency region is capacitive, for the entire range of frequencies limited by points 1601 and 1602, and is also capacitive for the frequencies of the second frequency region.", "The first resonant frequency of said slim radiating structure is at a frequency above the highest frequency of the second frequency region (as indicated by point 1604).", "FIGS.", "16B to 16F show the evolution of the impedance of slim radiating system of FIG.", "16A after the slim radiating system is connected to a radio-frequency system comprising a matching circuit like 1500 as the stages are added successively to the matching circuit.", "FIG.", "16B shows the impedance 1610 when the matching circuit only comprises the first stage (an inductor in series).", "In FIG.", "16C, the impedance 1620 of the slim radiating system is shown after adding the inductor in parallel (corresponding to the second stage) to the matching circuit.", "The impedance 1630 from FIG.", "16D is obtained after the series capacitor from the third stage is added.", "The impedance 1640 from FIG.", "16E is obtained after the shunted inductor from the fourth stage is added.", "And with the addition of the fifth stage corresponding to another capacitor in series, the impedance 1650 of the slim radiating system is obtained.", "In addition to the impedance 1650 as shown in FIG.", "16F, the reflection coefficient 1700, when the slim radiating structure is connected to a radio-frequency system comprising the five-stage ladder matching network is also shown in FIG.", "17.In this particular example, the operating frequency range for the radiating system covers a first frequency region at least comprising the range of frequencies delimited by points 1701 and 1702 (824 MHz and 960 MHz respectively), and a second frequency region at least comprising the range of frequencies delimited by points 1703 and 1704 (1710 MHz and 2170 MHz respectively), wherein said points establish a minimum level of reflection coefficient for a good radio-frequency performance for this particular example, although in other embodiments said minimum level could be, for example, −4.4dB.", "A ratio between the lowest frequency of the second frequency region and the lowest frequency of the first frequency region is, for this particular case, greater than 1.5 and even greater than 2.0.In addition, a ratio between the first resonant frequency of the slim radiating structure measured at an internal path, when disconnected from the radio-frequency system, and the lowest frequency of the first frequency region is greater than 1.3, also greater than 2.0, and even greater than 2.4.FIGS.", "18A and 18B show the impedance and reflection coefficient of another exemplary embodiment.", "Such embodiment corresponds to a slim radiating system comprising a slim radiating structure featuring an impedance similar to that of FIG.", "16A, and a radio-frequency system according to the present invention.", "The radio-frequency system comprises a six-stage matching circuit in a ladder topology, like for example matching circuit 1510 from FIG.", "15B.", "The impedance 1800, when the slim radiating structure is connected to such radio-frequency system, is shown in FIG.", "18A.", "In said figure, points 1801 and 1802 refer to the lower and higher frequencies of a first frequency region (824 MHz and 960 MHz respectively), and points 1803 and 1804 refer to the lower and higher frequencies of a second frequency region (1710 MHz and 2170 MHz respectively).", "The reflection coefficient 1810 of FIG.", "18B corresponds to the slim radiating system of FIG.", "18A.", "The operating frequency range for a slim radiating system according to this particular embodiment at least covers a first frequency region including the first range delimited by points 1811 and 1812 (824 MHz and 960 MHz), and a second frequency region including the second range delimited by points 1813 and 1814 (1710 MHz and 2170 MHz).", "FIG.", "19 shows a radiation booster 1900 comprising conducting surfaces 1901 and 1902, a dielectric material 1904 (shown transparent for illustrative purposes only), and a plurality of vias 1903 electrically interconnecting the two conducting surfaces 1901 and 1902 (in other examples, said conducting surfaces may be interconnected by just one via).", "Said radiation booster is a booster bar featuring a slim width factor of 3.125, and a slim height factor of 3.125.The booster bar 1900 may be used, for example, in slim radiating structure 1300 instead of radiation booster 1301.A booster bar such as 1900 is configured to be used in slim radiating systems according to the present invention, and in particular in each and every embodiment of the present invention.", "As such, a slim radiating system comprising a slim radiating structure, a radio-frequency system and at least one external conductive path, wherein the slim radiating structure comprises a radiation booster like, for example, 1900 and a ground plane layer, may be configured to transmit and receive electromagnetic wave signals in at least one frequency region, or in at least two frequency regions.", "The radio-frequency system comprises a matching circuit configured to provide impedance matching to the slim radiating system in said at least one or at least two frequency regions at the at least one external path.", "FIG.", "20 shows a slim radiating structure comprising a radiation booster (e.g.", "booster bar) 2001, a ground plane layer 2002.There is also shown a conductive element 2003 that may advantageously function as an internal conductive path.", "The conductive element 2003 is connected to radiation booster 2001, advantageously tuning the input impedance of the radiation booster prior its connection to a radio-frequency system (not shown).", "The conductive element may improve the efficiency of the slim radiating system comprising said slim radiating structure, or make the slim radiating system operable in more frequency bands in at least one frequency regions or in at least two frequency regions.", "In this example, the booster bar features a height of 2.4 mm, a slim width factor of 4, a slim height factor of 5, and a location factor of 0.33.Although the conductive element 2003 is L-shaped, in other examples the conductive element may take other forms as well such as a straight I.", "The electrical length of conductive element 2003 may be shorter than 10% of the free-space wavelength corresponding to the lowest frequency of the first frequency region, and preferably it may be shorter than 5% of said free-space wavelength.", "FIG.", "21A schematically shows, in a 3D perspective, a test platform for the characterization of radiation boosters.", "The platform comprises substantially square conductive surface 2101 and connector 2102 (for instance an SMA connector) electrically connected to the device or element 2100 to be characterized.", "The conductive surface 2101 has sides with a length larger than the reference operating wavelength corresponding to the reference frequency.", "For instance, at 900 MHz, said sides are at least 60 centimeters long.", "The conductive surface may be a sheet or plate made of copper, for example.", "The connector 2102 is placed substantially in the center of conductive surface 2101.In FIG.", "21B the same test platform of FIG.", "21A is schematically represented in a 2D perspective wherein the conductive surface 2101 is partially drawn.", "In this example, the element that is to be characterized 2100 in FIG.", "21A corresponds to booster bar 1900 from FIG.", "19, which is arranged so that its largest dimension is perpendicular to conductive surface 2101, and one of the first or second conductive surfaces (1901 or 1902 of FIG.", "19) is in direct electrical contact with connector 2102 (for clearer interpretation of the orientation of radiation booster 1900, via holes 1903 connecting the first and second conductive surfaces of the radiation booster are also drawn in FIG.", "21B).", "The radiation booster 1900 lies on a dielectric material (not shown) attached to the conductive surface 2101 so as to minimize the distance between radiation booster 1900 and surface 2101.Said dielectric material may be a dielectric tape or coating, for example.", "FIG.", "22 shows a graph of the radiation efficiency and antenna efficiency measured in a test platform like the one shown in FIG.", "21A and FIG.", "21B, when the element 2100 to be characterized is radiation booster 1900.In this particular example, the radiation efficiency measured 2201 (represented with a solid line) at 900 MHz is less than 5%, and the antenna efficiency measured 2202 (represented with a dashed line) at 900 MHz is less than 1%.", "The foregoing is merely illustrative of the principles of this invention and various modifications can be made by those skilled in the art without departing from the scope and spirit of the invention.", "So even though that in the illustrative examples described above in connection with the figures some particular designs of booster bars with specific values for the slim width factor, the slim height factor, and the location factor have been used, many other designs of boosters bars in accordance with the invention having for example different slim width factor, slim height factor, and/or location factor could have been equally used in the slim radiating structures." ] ]
Patent_15875817
[ [ "ROTARY KNIFE FIXTURE FOR CUTTING SPIRAL, TEXTURED POTATO PIECES", "A rotary knife fixture for cutting vegetable products such as raw potatoes into spiral shapes.", "The knife fixture includes a ring-shaped blade holder driven rotatably within a hydraulic product flow path.", "The blade holder includes at least one cutting blade, wherein the blade is twisted from a generally longitudinally aligned center axis outwardly in opposite circumferential directions with a sharpened leading edge set at a desired pitch angle.", "By controlling the pitch angle of the blade in relation to the blade rotational speed and velocity at which the potato travels along the hydraulic flow path, the resultant spiral cut shape is selected.", "By using multiple cutting blades at known axially spaced positions and selecting the angular position of each cutting blade in succession, the number of spiral shapes cut from each potato is selected.", "The blades can have a nontextured straight-cut edge, or a textured crinkle-cut edge, or a combination." ], [ "1.A knife fixture for cutting food products, the fixture comprising: a blade holder; and at least one cutting blade with a cutting edge, each of the at least one cutting blade extending inward from the blade holder and being twisted such that the cutting edge has a pitch angle that varies along the cutting blade.", "2.The knife fixture of claim 1, wherein the blade holder forms an opening surrounding a central axis and each of the at least one cutting blade extends from the blade holder and across the opening.", "3.The knife fixture of claim 2, wherein the blade holder includes a plurality of angled recesses, the at least one cutting blade being secured within the plurality of angled recesses.", "4.The knife fixture of claim 3, wherein each of the at least one cutting blade is secured within the plurality of angled recesses at each end of the cutting blade.", "5.The knife fixture of claim 2, wherein the blade holder is rotatable about the central axis.", "6.The knife fixture of claim 2, wherein the at least one cutting blade is a plurality of cutting blades.", "7.The knife fixture of claim 6, wherein the plurality of cutting blades are unconnected at the central axis.", "8.The knife fixture of claim 7, wherein the plurality of cutting blades include a pair of individual blades aligned with each other along a longitudinal axis.", "9.A helically twisted piece of food product produced by the knife fixture of claim 1.10.The helically twisted piece of food product of claim 9, wherein the food product is a potato.", "11.A cutting system for cutting potatoes into multiple helically twisted pieces, comprising: a blade holder having a flow path therethrough; at least one cutting blade oriented across the flow path, each of the at least one cutting blade having a sharpened edge, wherein an angle of the sharpened edge increases along a length of the cutting blade towards the blade holder.", "12.The system of claim 11, further comprising a drive motor configured to rotatably drive the blade holder.", "13.The system of claim 11, wherein the at least one cutting blade is a plurality of cutting blades.", "14.The system of claim 13, wherein the plurality of cutting blades are unconnected at an axial centerline of the flow path.", "15.The system of claim 14, wherein the plurality of cutting blades include a pair of individual blades aligned with each other along a longitudinal axis.", "16.The system of claim 13, further comprising a centering alignment device configured to center potatoes in the flow path for cutting engagement with the plurality of cutting blades.", "17.A knife fixture for cutting food products, the knife fixture comprising: a blade holder forming an opening; and a blade carried by the blade holder, the blade having a cutting edge and a longitudinal axis oriented across the opening, the blade being twisted about the longitudinal axis.", "18.The knife fixture of claim 17, further comprising another blade having a cutting edge and a longitudinal axis oriented across the opening, the another blade being twisted about its longitudinal axis.", "19.The knife fixture of claim 18, wherein the longitudinal axis of the blade and the longitudinal axis of the another blade are aligned, and the blade and the another blade are unconnected at an axial centerline of the opening.", "20.The knife fixture of claim 18, further comprising a third blade having a cutting edge and a longitudinal axis oriented across the opening, the third blade being twisted about its longitudinal axis, wherein the longitudinal axes of the blade, the another blade, and the third blade are not aligned." ], [ "<SOH> BACKGROUND <EOH>Production cutting systems and related knife fixtures are useful for cutting vegetable products such as raw potatoes into spiral or helical shaped pieces, preparatory to further production processing steps such as blanching and parfrying.", "In this regard, one typical production system comprises a hydraulic cutting system wherein a so-called water knife fixture is mounted along the length of an elongated tubular conduit.", "A pumping device is provided to entrain the vegetable product such as raw potatoes within a propelling water flume for cutting engagement with knife blades of the water knife fixture.", "The vegetable product is pumped one at a time in single file succession into and through the water conduit with a velocity and sufficient kinetic energy to carry the vegetable product through a relatively complex knife fixture which includes at least one rotary cutting blade for severing the product into a plurality of smaller pieces of generally spiral or helical shape.", "The cut pieces are then carried further through a discharge conduit for appropriate subsequent processing, including cooking or blanching, parfrying, freezing and packaging steps, for subsequent finish processing and serving to customers as loops, twirls, curly fries, etc.", "Examples of such hydraulic cutting systems and related rotary knife fixtures are found in U.S. Pat.", "Nos.", "5,168,784; 5,179,881; 5,277,546; 5,343,791; 5,394,780; 5,394,793; 5,473,967; 5,992,287; and Re.", "38,149, all of which are incorporated by reference herein.", "Persons skilled in the art will recognize and appreciate that mechanical production feed systems may be employed in lieu of hydraulic feed systems, as described in U.S. Pat.", "Nos.", "5,097,735; 5,167,177; 5,167,178; and 5,293,803, which are also incorporated by reference herein.", "The present invention is directed to an improved rotary knife fixture and related cutting blades for cutting raw vegetable products, such as potatoes, into spiral shaped pieces that may or may not have textured cut surfaces, such as crinkles, waves, or other designs." ], [ "<SOH> SUMMARY <EOH>In accordance with the invention, a rotary knife fixture is provided for cutting vegetable products such as raw potatoes into spiral shapes.", "The knife fixture comprises a circular or ring-shaped blade holder adapted to be rotatably driven at a selected rotational speed within a hydraulic product flow path.", "The blade holder carries at least one cutting blade rotated therewith, wherein the blade is twisted from a generally longitudinally aligned center axis outwardly in opposite radial directions with a sharpened leading edge set at a desired pitch angle.", "By controlling the pitch of the blade in relation to the rotational speed of the blade and the velocity at which the potato travels along the hydraulic flow path, the resultant spiral cut shape is selected.", "By using multiple cutting blades at known axially spaced positions and selecting the angular position of each cutting blade in succession, the number of spiral shapes cut from each potato is also selected.", "In one preferred form, the ring-shaped blade holder of the rotary knife fixture is rotatably driven within a vegetable product flow path, such as along a hydraulic flow conduit having raw vegetables such as potatoes carried in single file there through.", "The blade holder supports at least one cutting blade which is twisted from a generally longitudinally aligned center axis outwardly in opposite radial directions, and defining a pair of sharpened cutting edges presented in opposite circumferential directions.", "Each half of the cutting blade is set at a selected pitch angle which varies according to specific radial position, per the formula: in-line-formulae description=\"In-line Formulae\" end=\"lead\"?", "Pitch Angle=ArcTan (2×Pi×Radius/Pitch Length)  (1) in-line-formulae description=\"In-line Formulae\" end=\"tail\"?", "For a blade diameter equal to 4 inches (radius=2 inches), and a pitch length equal to 3 inches, each cutting blade is anchored at its outer edge on the associated ring-shaped blade holder at an angle of about 76.6°.", "However, note that the specific pitch angle will vary according to radial position along the blade and the pitch length.", "In use, the single cutting blade is rotatably driven, in a preferred form, at a rotational speed of about 6,000 revolutions per minute (rpm), to cut each potato traveling along the hydraulic flow conduit at a velocity of about 25 feet per second (fps) into a pair of generally spiral shaped pieces.", "With a pitch length of about 3 inches potato travel per cutting blade revolution, this results in substantially optimum cutting of each potato.", "In one embodiment, a cutting blade is rotatably driven at a rotational speed anywhere from about 4,000 rpm to 8,000 rpm.", "In one embodiment, a cutting blade is rotatably driven at a rotational speed anywhere from about 4,000 rpm, about 5,000 rpm, about 6,000 rpm, about 7,000 rpm, or about 8,000 rpm, or at revolutions greater than 8,000 rpm.", "When more than one cutting blade is used, each of the cutting blades may be physically supported in a stack of ring-shaped blade holders having a known axial dimension such as about 0.5 inch per blade holder, with the multiple blade holders being fixed for rotation together.", "With this configuration, the angle Θ (theta) separating each of the supported cutting blades in succession is given by the formula: in-line-formulae description=\"In-line Formulae\" end=\"lead\"?", "Θ= T/P (axial dimension of each blade holder/pitch length)×360°+360°/ N (number of cut pieces).", "(2) in-line-formulae description=\"In-line Formulae\" end=\"tail\"?", "Following this formula, when two cutting blades are used, each carried by a 0.5 inch thick ring-shaped blade holder (thickness=T), with a pitch length (pitch=P) of 3 inches, a total of four spiral pieces are cut from each product, and the second cutting blade is rotationally set to lag the first cutting blade by 150°.", "Similarly, where three cutting blades are used, each product is cut into a total of six spiral pieces, and the second blade is oriented to lag the first blade by 120°, and the third blade is oriented to lag the second by an additional 120°, or a total lag from the first blade of about 240°.", "And, where four cutting blades are used, each product is cut into a total of eight spiral pieces, and the four blades are oriented respectively to lag the immediately preceding blade by about 105°.", "Accordingly, the present invention encompasses a configuration of multiple blades to produce 2, 4, 6, 8, or more spiral pieces per product.", "In addition to even numbers of spiral pieces cut per product, the present invention encompasses a configuration of blades that produce 3, 5, 7, 9 or more spiral pieces per product.", "An example of such a spiral piece is shown in D640,036, which is incorporated herein by reference.", "A further aspect of the present invention is a cutting blade designed to have a textured or “crinkled” surface edge so that when it cuts the product, the exposed cut surface is similarly textured or crinkled.", "Accordingly, in one embodiment crinkle-cut spiral pieces of product can be produced using the inventive blades and cutting system.", "In any embodiment, or permutation, of cutting blades and number of cutting blades in the inventive cutting system, any number of spiral pieces can be obtained per product.", "That is 2, 3, 4, 5, 6, 7, 8, 9, or 10, or more than 10 spiral pieces may be cut from each product.", "In another embodiment any number or all of the cutting blades may be textured or crinkled to produce textured or crinkled cut surfaces on a spiral piece.", "Thus, in one embodiment every spiral piece cut from one product may contain at least one crinkle-textured cut surface if every cutting blade in the cutting system has a crinkled surface edge.", "However in another embodiment not every cutting blade in the cutting system has a wavy, textured, or crinkled edge.", "Thus, in that instance, a single product may be cut to yield smooth surface spiral pieces as well as crinkle-cut spiral pieces.", "By “product” is meant any vegetable or fruit or wood.", "A vegetable that may be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces, includes, but is not limited to, any tuberous vegetable, beets, turnips, radish, leeks, or any root vegetable.", "In one embodiment, a tuber is a potato, sweet potato, carrot, cassava, swede, or yam.", "A fruit that may be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces, includes, but is not limited to, apples, squash, bell peppers, pumpkin, zucchini, cucumber, mangos, and plantains.", "A vegetable or fruit when it is processed and cut according to the methods disclosed herein does not necessarily have to be whole.", "That is, chunks or cut pieces of a vegetable may be pumped into the cutting system and those chunks or pieces subsequently cut with cutting blades to produce spiral pieces or spiral fragments.", "The present invention in particular encompasses a new french fry that is spiral-cut and which may have smooth or crinkled surfaces.", "See, for instance, the spiral potato pieces shown in FIG.", "9 .", "The types of spiral-cut potato wedges are a new line of edible products, and can be made in different sizes or textured or smooth surfaces according to the present invention.", "Thus one embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges wherein substantially all the spiral cut pieces or wedges are about the same or similar size to each other.", "In another embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges wherein many of the spiral cut pieces or wedges are about the same or similar size to each other.", "A “package” may be a bag of the sort used to hold chips, or an open holder such as to hold fast-food french fries, or any such containment structure or vessel.", "In any of these embodiments, one or more or all of the spiral cut potato pieces or wedges in a package may have a crinkle-cut surface.", "In another embodiment, the spiral cut potato pieces or wedges in the package may be raw or may be cooked, such as fried, roasted, or oven-baked.", "Accordingly, one embodiment of the present invention is a collection of spiral-cut potato pieces that are raw, a collection of spiral-cut potato pieces that are fried, or a collection of spiral-cut potato pieces that are oven-baked, or a collection of spiral-cut potato pieces that are roasted, wherein the pieces have smooth surfaces or have a crinkle-cut surface.", "By “smooth” surface is meant a spiral cut product that has been cut with a cutting blade that has a flat, untextured, surface and edge.", "By “crinkle-cut” is meant a spiral cut product that has been cut with a cutting blade that has a crinkled or wavy surface and edge, such as those shown in FIG.", "10 .", "In a further embodiment, the spiral-cut potato wedges may be further processed or seasoned, such as to produce battered or beer battered spiral-cut fried or oven-baked potato wedges.", "Pieces of wood may also be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces.", "Softwoods could be cut according to the present invention, for instance.", "Examples of softwood include but are not limited to pine, redwood, fir, cedar, and larch.", "Other materials may be cut according to the present invention too, such as polystyrene, foam, solid paper pulp materials, and plastics.", "Other features and advantages of the invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings which illustrate, by way of example, the principles of the invention." ], [ "CROSS-REFENENCE TO RELATED APPLICATIONS This application is a continuation of U.S. patent application Ser.", "No.", "14/712,857, filed on May 14, 2015 and entitled “Rotary Knife Fixture for Cutting Spiral, Textured Potato Pieces,” which is a continuation of U.S. patent application Ser.", "No.", "13/647,319, filed on Oct. 8, 2012 and entitled “Rotary Knife Fixture for Cutting Spiral, Textured Potato Pieces,” now U.S. Pat.", "No.", "9,089,987, which claims the benefit of U.S.", "Provisional Patent Application Ser.", "No.", "61/661,278, filed on Jun.", "18, 2012 and entitled “Rotary Knife Fixture for Cutting Spiral, Textured Potato Pieces,” and claims the benefit of U.S.", "Provisional Patent Application Ser.", "No.", "61/546,035 filed on Oct. 11, 2011 and entitled “Rotary Knife Fixture for Cutting Spiral Potato Pieces,” the contents of all of which are incorporated herein by reference in their entireties.", "FIELD OF THE DISCLOSURE This invention relates generally to devices and methods for cutting food products, such as vegetable products, and particularly such as raw potatoes and the like, into spiral or helical shaped pieces, whose cut surfaces may be patterned by the design of knife blades, such as to create textured “crinkle-cut” spiral or helical pieces.", "BACKGROUND Production cutting systems and related knife fixtures are useful for cutting vegetable products such as raw potatoes into spiral or helical shaped pieces, preparatory to further production processing steps such as blanching and parfrying.", "In this regard, one typical production system comprises a hydraulic cutting system wherein a so-called water knife fixture is mounted along the length of an elongated tubular conduit.", "A pumping device is provided to entrain the vegetable product such as raw potatoes within a propelling water flume for cutting engagement with knife blades of the water knife fixture.", "The vegetable product is pumped one at a time in single file succession into and through the water conduit with a velocity and sufficient kinetic energy to carry the vegetable product through a relatively complex knife fixture which includes at least one rotary cutting blade for severing the product into a plurality of smaller pieces of generally spiral or helical shape.", "The cut pieces are then carried further through a discharge conduit for appropriate subsequent processing, including cooking or blanching, parfrying, freezing and packaging steps, for subsequent finish processing and serving to customers as loops, twirls, curly fries, etc.", "Examples of such hydraulic cutting systems and related rotary knife fixtures are found in U.S. Pat.", "Nos.", "5,168,784; 5,179,881; 5,277,546; 5,343,791; 5,394,780; 5,394,793; 5,473,967; 5,992,287; and Re.", "38,149, all of which are incorporated by reference herein.", "Persons skilled in the art will recognize and appreciate that mechanical production feed systems may be employed in lieu of hydraulic feed systems, as described in U.S. Pat.", "Nos.", "5,097,735; 5,167,177; 5,167,178; and 5,293,803, which are also incorporated by reference herein.", "The present invention is directed to an improved rotary knife fixture and related cutting blades for cutting raw vegetable products, such as potatoes, into spiral shaped pieces that may or may not have textured cut surfaces, such as crinkles, waves, or other designs.", "SUMMARY In accordance with the invention, a rotary knife fixture is provided for cutting vegetable products such as raw potatoes into spiral shapes.", "The knife fixture comprises a circular or ring-shaped blade holder adapted to be rotatably driven at a selected rotational speed within a hydraulic product flow path.", "The blade holder carries at least one cutting blade rotated therewith, wherein the blade is twisted from a generally longitudinally aligned center axis outwardly in opposite radial directions with a sharpened leading edge set at a desired pitch angle.", "By controlling the pitch of the blade in relation to the rotational speed of the blade and the velocity at which the potato travels along the hydraulic flow path, the resultant spiral cut shape is selected.", "By using multiple cutting blades at known axially spaced positions and selecting the angular position of each cutting blade in succession, the number of spiral shapes cut from each potato is also selected.", "In one preferred form, the ring-shaped blade holder of the rotary knife fixture is rotatably driven within a vegetable product flow path, such as along a hydraulic flow conduit having raw vegetables such as potatoes carried in single file there through.", "The blade holder supports at least one cutting blade which is twisted from a generally longitudinally aligned center axis outwardly in opposite radial directions, and defining a pair of sharpened cutting edges presented in opposite circumferential directions.", "Each half of the cutting blade is set at a selected pitch angle which varies according to specific radial position, per the formula: Pitch Angle=ArcTan (2×Pi×Radius/Pitch Length) (1) For a blade diameter equal to 4 inches (radius=2 inches), and a pitch length equal to 3 inches, each cutting blade is anchored at its outer edge on the associated ring-shaped blade holder at an angle of about 76.6°.", "However, note that the specific pitch angle will vary according to radial position along the blade and the pitch length.", "In use, the single cutting blade is rotatably driven, in a preferred form, at a rotational speed of about 6,000 revolutions per minute (rpm), to cut each potato traveling along the hydraulic flow conduit at a velocity of about 25 feet per second (fps) into a pair of generally spiral shaped pieces.", "With a pitch length of about 3 inches potato travel per cutting blade revolution, this results in substantially optimum cutting of each potato.", "In one embodiment, a cutting blade is rotatably driven at a rotational speed anywhere from about 4,000 rpm to 8,000 rpm.", "In one embodiment, a cutting blade is rotatably driven at a rotational speed anywhere from about 4,000 rpm, about 5,000 rpm, about 6,000 rpm, about 7,000 rpm, or about 8,000 rpm, or at revolutions greater than 8,000 rpm.", "When more than one cutting blade is used, each of the cutting blades may be physically supported in a stack of ring-shaped blade holders having a known axial dimension such as about 0.5 inch per blade holder, with the multiple blade holders being fixed for rotation together.", "With this configuration, the angle Θ (theta) separating each of the supported cutting blades in succession is given by the formula: Θ=T/P (axial dimension of each blade holder/pitch length)×360°+360°/N (number of cut pieces).", "(2) Following this formula, when two cutting blades are used, each carried by a 0.5 inch thick ring-shaped blade holder (thickness=T), with a pitch length (pitch=P) of 3 inches, a total of four spiral pieces are cut from each product, and the second cutting blade is rotationally set to lag the first cutting blade by 150°.", "Similarly, where three cutting blades are used, each product is cut into a total of six spiral pieces, and the second blade is oriented to lag the first blade by 120°, and the third blade is oriented to lag the second by an additional 120°, or a total lag from the first blade of about 240°.", "And, where four cutting blades are used, each product is cut into a total of eight spiral pieces, and the four blades are oriented respectively to lag the immediately preceding blade by about 105°.", "Accordingly, the present invention encompasses a configuration of multiple blades to produce 2, 4, 6, 8, or more spiral pieces per product.", "In addition to even numbers of spiral pieces cut per product, the present invention encompasses a configuration of blades that produce 3, 5, 7, 9 or more spiral pieces per product.", "An example of such a spiral piece is shown in D640,036, which is incorporated herein by reference.", "A further aspect of the present invention is a cutting blade designed to have a textured or “crinkled” surface edge so that when it cuts the product, the exposed cut surface is similarly textured or crinkled.", "Accordingly, in one embodiment crinkle-cut spiral pieces of product can be produced using the inventive blades and cutting system.", "In any embodiment, or permutation, of cutting blades and number of cutting blades in the inventive cutting system, any number of spiral pieces can be obtained per product.", "That is 2, 3, 4, 5, 6, 7, 8, 9, or 10, or more than 10 spiral pieces may be cut from each product.", "In another embodiment any number or all of the cutting blades may be textured or crinkled to produce textured or crinkled cut surfaces on a spiral piece.", "Thus, in one embodiment every spiral piece cut from one product may contain at least one crinkle-textured cut surface if every cutting blade in the cutting system has a crinkled surface edge.", "However in another embodiment not every cutting blade in the cutting system has a wavy, textured, or crinkled edge.", "Thus, in that instance, a single product may be cut to yield smooth surface spiral pieces as well as crinkle-cut spiral pieces.", "By “product” is meant any vegetable or fruit or wood.", "A vegetable that may be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces, includes, but is not limited to, any tuberous vegetable, beets, turnips, radish, leeks, or any root vegetable.", "In one embodiment, a tuber is a potato, sweet potato, carrot, cassava, swede, or yam.", "A fruit that may be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces, includes, but is not limited to, apples, squash, bell peppers, pumpkin, zucchini, cucumber, mangos, and plantains.", "A vegetable or fruit when it is processed and cut according to the methods disclosed herein does not necessarily have to be whole.", "That is, chunks or cut pieces of a vegetable may be pumped into the cutting system and those chunks or pieces subsequently cut with cutting blades to produce spiral pieces or spiral fragments.", "The present invention in particular encompasses a new french fry that is spiral-cut and which may have smooth or crinkled surfaces.", "See, for instance, the spiral potato pieces shown in FIG.", "9.The types of spiral-cut potato wedges are a new line of edible products, and can be made in different sizes or textured or smooth surfaces according to the present invention.", "Thus one embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges wherein substantially all the spiral cut pieces or wedges are about the same or similar size to each other.", "In another embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges wherein many of the spiral cut pieces or wedges are about the same or similar size to each other.", "A “package” may be a bag of the sort used to hold chips, or an open holder such as to hold fast-food french fries, or any such containment structure or vessel.", "In any of these embodiments, one or more or all of the spiral cut potato pieces or wedges in a package may have a crinkle-cut surface.", "In another embodiment, the spiral cut potato pieces or wedges in the package may be raw or may be cooked, such as fried, roasted, or oven-baked.", "Accordingly, one embodiment of the present invention is a collection of spiral-cut potato pieces that are raw, a collection of spiral-cut potato pieces that are fried, or a collection of spiral-cut potato pieces that are oven-baked, or a collection of spiral-cut potato pieces that are roasted, wherein the pieces have smooth surfaces or have a crinkle-cut surface.", "By “smooth” surface is meant a spiral cut product that has been cut with a cutting blade that has a flat, untextured, surface and edge.", "By “crinkle-cut” is meant a spiral cut product that has been cut with a cutting blade that has a crinkled or wavy surface and edge, such as those shown in FIG.", "10.In a further embodiment, the spiral-cut potato wedges may be further processed or seasoned, such as to produce battered or beer battered spiral-cut fried or oven-baked potato wedges.", "Pieces of wood may also be cut into 2, 3, 4, 5, 6, 7, 8, 9, 10, or more than 10 spiral pieces that may have smooth or textured/crinkled surfaces.", "Softwoods could be cut according to the present invention, for instance.", "Examples of softwood include but are not limited to pine, redwood, fir, cedar, and larch.", "Other materials may be cut according to the present invention too, such as polystyrene, foam, solid paper pulp materials, and plastics.", "Other features and advantages of the invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings which illustrate, by way of example, the principles of the invention.", "BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings illustrate the invention.", "In such drawings: FIG.", "1 is a schematic diagram depicting a hydraulic cutting system of a type utilizing a rotatably driven knife fixture constructed in accordance with the present invention; FIG.", "2 is an enlarged perspective view illustrating a drive motor and cog belt for rotatably driving the knife fixture of FIG.", "1; FIG.", "3 is an exploded perspective view showing rotatable mounting of the knife fixture within a rotary bearing unit; FIG.", "4 is a front side perspective view of one cutting blade carried by a ring-shaped blade holder in accordance with one preferred form of the invention; FIG.", "5 is a front side perspective view of a pair of cutting blades carried respectively by a corresponding pair of blade holders in accordance with one alternative preferred form of the invention; FIG.", "6 is a front side perspective view of a knife fixture including three cutting blades respectively supported by three blade holders in accordance with a further alternative preferred form of the invention; FIG.", "7 is a front side perspective view of four cutting blades carried respectively by four blade holders in accordance with another alternative preferred form of the invention; FIG.", "8 is a drawing similar to FIG.", "7 but showing four corrugated or crinkle cut knife blades; FIG.", "9 is a drawing showing a spiral piece or wedge cut with the crinkle cut knife blades shown in FIG.", "8; FIG.", "10 is a drawing of an exemplary cutting blade designed to have textured or wavy or crinkled surfaces and edges so as to produce spiral pieces or wedges that have similarly textured, wavy, or crinkled cut surfaces; FIG.", "11 is an enlarged front side perspective view taken about the circle 11 in FIG.", "7, further illustrating the inclined mounting surface in the blade holder; FIG.", "12 is a front side perspective view of an embodiment of a pair of cutting blades carried by a ring-shaped blade holder; and FIG.", "13 is a front side perspective view of an embodiment of three cutting blades carried by a ring-shaped blade holder.", "DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS This invention relates generally to devices and methods for cutting food products, such as vegetable products, and particularly such as raw potatoes and the like, into spiral or helical shaped pieces, whose cut surfaces may be patterned by the design of knife blades, such as to create “crinkle-cut” spiral or helical pieces.", "More particularly, this invention relates to a rotatably driven knife fixture having a selected number of knife blades adapted to cut a raw potato or the like into generally spiral shaped pieces.", "As shown in the exemplary drawings, a hydraulic cutting system comprises a conventional so-called water knife fixture referred to generally in FIG.", "1 by the reference numeral 10 for cutting vegetable products such as whole potatoes 12 into spiral shaped pieces 14 for subsequent processing.", "The present invention comprises a rotary driven knife fixture 10 (FIGS.", "2-7) for installation into the cutting system and for rotatable driving by means of a drive motor 11 or the like.", "The knife fixture 10 includes at least one rotatably driven cutting blade 16 (FIGS.", "2-4) for cutting the product into a pair of generally spiral shaped pieces 14 of the same or similar size and shape.", "In alternative embodiments, the single cutting blade 16 can be combined with a second cutting blade 17 (FIG.", "5) to cut the product into four spiral shaped pieces, with a third cutting blade 18 (FIG.", "6) to cut the product into six spiral shaped pieces, or with a fourth cutting blade 19 (FIG.", "7) to cut the product into eight spiral shaped pieces.", "Indeed, any number of cutting blades can be used for subdividing the product into twice the number of spiral shaped pieces of substantially similar size and shape.", "FIG.", "1 shows the cutting system in the form of a hydraulic cutting system comprising a tank 78 or the like for receiving a supply of vegetable products, such as the illustrative raw whole potatoes 12 in a peeled or unpeeled state.", "Alternatively, these potatoes 12 can comprise halves or pieces of whole potatoes, peeled or unpeeled.", "In one preferred form, these potatoes 12 comprise relatively small potatoes or potato pieces having a longitudinal length on the order of about 3 inches.", "It is noted, however, that actual potato size is unimportant, as long as the potato has a diametric size to fit through the knife fixture.", "As viewed in FIG.", "1, the potatoes 12 are delivered via an inlet conduit 30 to a pump 32 which propels the potatoes in single file relation within a propelling water stream or flume through a tubular delivery conduit 34 into cutting engagement with the blades (not shown in FIG.", "1) of the water knife fixture 10.In a typical hydraulic cutting system, the potatoes are propelled through the delivery conduit 34 at a relatively high velocity of about 25 feet per second (fps), or about 1,500 feet per minute (fpm), to provide sufficient kinetic energy whereby each potato is propelled through the knife fixture 10 to produce (as will be described in more detail herein, per the blade pitch angle) the desired elongated spiral cut pieces 14.In this regard, the delivery conduit 34 may include a centering alignment device (not shown) for substantially centering each potato 12 on a longitudinal centerline of the flow passage extending through the associated knife fixture 10, in a manner known to persons skilled in the art.", "The cut strips 14 travel through a short discharge conduit 36 to a conveyor 38 or the like which transports the cut strips 14 for further processing, such as blanching, drying, batter coating, parfrying, freezing, etc.", "Persons skilled in the art will recognize and appreciate that alternative form cutting systems may be used, to include, by way of example, mechanical cutting systems wherein the vegetable products such as potatoes are mechanically delivered via a chute or hopper or the like to the knife fixture 10.In either case, the knife fixture 10 is mounted along a production path and is rotatably driven for engaging and cutting the incoming products into the desired spiral shaped pieces.", "FIGS.", "2-3 show installation of the illustrative knife fixture 10 into a rotary bearing unit 20 in a position in-line with a production path for the vegetable products such as the potatoes 12 (FIG.", "1).", "In this regard, the illustrative knife fixture 10 comprises a generally ring-shaped blade holder 22 of generally annular or circular shape, and having a cross sectional area sufficient for providing a relatively stiff or sturdy structure capable of withstanding the rigors of a production environment over an extended period of time.", "This blade holder 22 is secured as by means of clamp screws 23 or the like onto downstream or lower annular ring 21 or the like adapted in turn for affixation to the lower or downstream end of a rotatable bearing assembly 25 as by means of screws 25′ or the like.", "As shown in FIG.", "3, the bearing assembly 25 is rotatably carried within a bushing 26 mounted as by means of screws 26′ or the like onto an upstream or upper side of the enlarged plate 27, which has an opening 13 formed therein for in-line installation along the production flow path.", "A flange plate 28 overlies the bearing assembly 25 for sandwiching the assembly 25 against an internal shoulder 29 within the bushing 26.A driven ring BO is mounted in turn as by means of screws 30′ onto the bearing assembly 25 for rotation therewith.", "The driven ring 30 of the rotary bearing unit 20 includes a circumferential array of detents 41 for registry with teeth 42 of a cog-type drive belt 43 (FIG.", "2).", "This drive belt 43 is in turn reeved about a drive gear 44 on an output shaft 45 of the drive motor 11 (FIG.", "2).", "Accordingly, the drive motor 11 positively drives the driven ring 30 and associated bearing assembly 25 secured thereto at a known speed, preferably on the order of about 6,000 rpm in the case of the illustrative hydraulic cutting system, for correspondingly rotatably driving the knife fixture 10 at the same rotational speed.", "Importantly, the cog-type drive belt 43 beneficially insures constant-speed rotatable driving of the knife fixture 10 notwithstanding periodic impact engagement of the water-propelled potatoes therewith.", "In one preferred configuration as viewed in FIGS.", "2-4, a single cutting blade 16 is used to cut each incoming vegetable product such as a potato 12 into two separate, generally spiral shaped pieces 14 (FIG.", "1) of similar size and shape.", "The cutting blade 16 is shown with a sharpened cutting edge 16′ along one side thereof.", "Since the cutting blade 16 is twisted generally at a radial center, or a longitudinal centerline or axis of the hydraulic flow path, two cutting edges 16′ are defined to extend radially outwardly in opposite directions, and in opposite-facing circumferential directions.", "In other words, a first portion 16A of the cutting blade 16 that is adjacent to the blade holder 22 has a cutting edge 16′ with a different pitch angle than that of a second portion 16B of the cutting blade 16 that is located further from the blade holder 22 than the first portion 16A.", "A pair of clamp screws 31 or the like are secured through the respective opposite ends of the cutting blade 16 to seat the cutting blade within a shallow recess formed at an appropriate pitch angle.", "More specifically, the specific pitch angle of the cutting blade 16 at each specific point along its radial length is given by the formula: Pitch Angle=ArcTan (2×Pi×Radius/Pitch Length) (1) For a total blade radius of 2 inches, and a pitch length of about 3 inches, the clamp screws 31 secure the outermost radial ends of each cutting blade 16 or 17 at a pitch angle of about 76.6° to the axial blade centerline.", "It will be understood, however, that the specific pitch angle is directly proportional to the radial point along the blade, whereby the pitch angle increases from the radial center, and it is this pitch angle that determines the spiral shape of the cut product.", "If more spiral shaped pieces 14 are desired from each potato 12, more cutting blades are used recognizing that each of the cutting blades cuts the incoming product in two, and thereby produces twice the number of spiral shaped pieces in comparison with the number of cutting blades used.", "Importantly, the cutting blades are arranged in succession at controlled angles to obtain similar or virtually identical cut spiral shaped pieces.", "More particularly, in one preferred form as viewed in FIG.", "5, two cutting blades 16 and 17 are supported by separate blade holders 22 and 22′ in a stack on the associated annular ring 21, as by means of elongated screws 23.That is, aligned screw ports are formed in the second blade holder 22′ at the appropriate positions for receiving the elongated screws 23 used to fasten the drive rings 22, 22′ and the underlying annular ring 21 together for concurrent rotation.", "The two cutting blades 16 and 17 are generally identical to each to each other, to include a twisted shape generally at a longitudinal center axis thereof and extending radially outwardly in opposite directions for seated engagement as by means of clamp screws 31 or the like at the selected pitch angle.", "Using formula (1) above for the specific pitch angle of each blade 16 or 17 along its radial length, and wherein the total blade radius is 2 inches and the pitch length is 3 inches, the clamp screws 31 secure the outermost radial ends of each cutting blade 16 or 17 at a pitch angle of about 76.6°.", "In this respect, FIG.", "11 more specifically illustrates the inclined mounting surface in the blade holder 22 at the point where the clamp screws 31 secure the cutting blade 16 to the blade holder 22.In addition, when the two cutting blades 16 and 17 are rotated at about 6,000 revolutions per minute (rpm), to advance each product to be cut along the hydraulic flow path at a velocity of about 25 feet per second (fps), the two cutting blades 16 and 17 both cut the incoming product into two pieces, for a total of four spiral shaped pieces 14 of similar or identical shape.", "With a pitch length of about 3 inches potato travel for each cutting blade revolution, and with each of the blade holders 22, 22′ having an axial dimension of about 0.5 inch, the angle Θ (theta) separating each of the supported cutting blades is given by the formula: Θ=T/P (axial dimension of each blade holder/pitch length)×360°+360°/N (number of cut pieces).", "(2) In the case of the two cutting blades 16, 17 adapted to cut each incoming product into four generally identical spiral shaped pieces, the angle 1=150°.", "FIGS.", "6 and 7 illustrate two exemplary alternative preferred forms of the invention, wherein three cutting blades 16, 17 and 18 are separately supported by a stack of three ring-shaped blade holders 22, 22′, and 22″ for cutting each incoming product into a total of six spiral shaped pieces (FIG.", "6), and also wherein four cutting blades 16, 17, 18 and 19 are separately supported by a stack of four ring-shaped blade holders 22, 22′, 22″, and 22′″ (FIG.", "7) for cutting each incoming product into a total of eight spiral shaped pieces.", "In the examples of FIGS.", "6 and 7, formula (2) is followed to determine the angular setting of each cutting blade in succession in order to form the multiple spiral shaped pieces of identical or similar shapes.", "In FIG.", "6, the cutting blades are set at successive angles of about 120° to cut products per U.S. Patent D640,036 which is incorporated by reference herein, whereas in FIG.", "7, the cutting blades are set at successive angles of about 105°.", "In each case, clamp screws 31 are used to seat each of cutting blades at the selected pitch angle within the recess formed in the associated blade holder.", "Similarly, screws 23 or the like are fitted and secured through aligned ports formed in the stacked blade holders for securing them together for rotation with the bearing assembly 25.Persons skilled in the art will understand and appreciate, of course, that virtually any number of cutting blades can be used, with the formula (2) determining the angular spacings of the multiple cutting blades in succession.", "For example, when five cutting blades are used, a total of ten spiral shaped pieces are formed; following formula (2), the successive cutting blade angular spacings would be about 96°.", "Similarly, when six cutting blades are used, a total of twelve spiral shaped pieces are formed; following formula (2), the successive cutting blade angular spacings would be about 90°.", "Persons skilled in the art will also appreciate that when three or more cutting blades are used, the formula (2) determines that angular spacings of the blades as a group, but that each of the blades need only be set at one of the angular positions; that is, the blades do not need to be set at a regular lag interval, so long as one of the blades in the group is set at each one of the angular positions.", "Alternately, it will be understood that other forms of the blade holders and the related interconnection means can be employed, such as the formation of steps including interengaging tabs and slots in the respective blade holders to insure the desired angular position of the cutting blades and concurrent rotation thereof.", "In an alternative preferred form, the present invention encompasses a new french fry that is spiral-cut and which may have corrugated or crinkled surfaces.", "See, for instance, the spiral potato pieces 14′ shown in FIG.", "9.The types of spiral-cut potato wedges are a new line of edible products, and can be made in different sizes or textured surfaces according to the present invention.", "Thus one embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges 14′ wherein substantially all the spiral cut pieces or wedges are about the same or similar size to each other.", "In another embodiment of the present invention is a package containing multiple spiral-cut potato pieces or wedges 14′ wherein many of the spiral cut pieces or wedges are about the same or similar size to each other.", "A “package” may be a bag of the sort used to hold chips, or an open holder such as to hold fast-food french fries, or any such containment structure or vessel.", "In any of these embodiments, one or more or all of the spiral cut potato pieces or wedges in a package may have a crinkle-cut surface.", "In another embodiment, the spiral cut potato pieces or wedges in the package may be raw or may be cooked, such as fried, roasted, or oven-baked.", "Accordingly, one embodiment of the present invention is a collection of spiral-cut potato pieces that are raw, a collection of spiral-cut potato pieces that are fried, or a collection of spiral-cut potato pieces that are oven-baked, or a collection of spiral-cut potato pieces that are roasted, wherein the pieces have smooth surfaces or have a crinkle-cut surface.", "By “smooth” surface is meant a spiral cut product that has been cut with a cutting blade 16, 17, 18 or 19 that has a flat, untextured, surface and edge, as viewed in FIGS.", "4-7.By “crinkle-cut” is meant a spiral cut product that has been cut using a modified knife fixture 11′ with a cutting blade 16″, 17″, 18″, or 19″ that has a crinkled or wavy surface and edge 16″, 17″, 18″, or 19′, such as those shown in FIG.", "8.In a further embodiment, the spiral-cut potato wedges may be further processed or seasoned, such as to produce battered or beer battered spiral-cut fried or oven-baked potato wedges.", "It will be understood, of course, that the modified knife fixture 11′ shown in FIG.", "8 can be equipped with one or more of the cutting knives of a corrugated and crinkle-cut configuration, as per any one of the knife blade embodiments depicted in FIGS.", "4-7.Indeed, more than four such knife blades can be used, if more than 8 spiral-cut wedges are desired.", "It will also be recognized and understood that different size corrugations or crinkle-cut configurations can be used for the various knife blades, such as illustrated in FIG.", "10 with respect to the corrugated knife blade 16″, and the associated cutting edge 16″'.", "A variety of modifications and improvements in and to the rotary knife fixture 10 of the present invention will be apparent to those persons skilled in the art.", "As one example, persons skilled in the art will understand that each of the twisted cutting blades as shown and described herein can be replaced by a pair of individual blades aligned diametrically with each other and having a pitch angle as defined by formula (1), but otherwise unconnected at the axial centerline of the flow path.", "For instance, in FIG.", "12, the blade 16 of FIG.", "4 has been replaced by a pair of individual blades 116 aligned diametrically but otherwise unconnected at the axial centerline of the flow path.", "As a further alternative, the blades do not need to be aligned diametrically, but an odd number of unconnected blades, such as three blades 216 shown in FIG.", "13, can be used in the event that an odd number of product cuts is desired.", "Accordingly, no limitation on the invention is intended by way of the foregoing description and accompanying drawings, except as set forth in the appended claims." ] ]
Patent_15875819
[ [ "Dynamic Configuration of Touch Sensor Electrode Clusters", "An apparatus of one embodiment includes a sensor having a plurality of electrodes and a controller having a processor and a memory.", "The memory includes logic operable to configure the plurality of electrodes of the sensor to form a first cluster pattern including a first cluster and a second cluster.", "The first cluster and the second cluster each include two or more electrodes of the plurality of electrodes.", "The logic is further operable to determine a value associated with a capacitance of a first cluster and configure, in response to determining the value, the plurality of electrodes to form a second cluster pattern.", "The second cluster pattern includes a third cluster and a fourth cluster.", "The third cluster and the fourth cluster each include two or more electrodes of the plurality of electrodes and the third cluster is interleaved with the fourth cluster such that an electrode of the third cluster is positioned between two electrodes of the fourth cluster." ], [ "1-28.", "(canceled) 29.An apparatus comprising: a sensor comprising a plurality of electrodes; and a controller comprising: a processor; and a memory comprising logic operable, when executed by the processor, to: configure the plurality of electrodes of the sensor to form a first cluster pattern comprising a first cluster and a second cluster, the first cluster and the second cluster each comprising two or more electrodes of the plurality of electrodes; determine a value associated with a capacitance of the first cluster; and configure, in response to determining the value, the plurality of electrodes to form a second cluster pattern comprising a third cluster and a fourth cluster, the third cluster and the fourth cluster each comprising two or more electrodes of the plurality of electrodes, the third cluster being interleaved with the fourth cluster such that an electrode of the third cluster is positioned between two electrodes of the fourth cluster.", "30.The apparatus of claim 29, the second cluster pattern further comprising a fifth cluster, the fifth cluster comprising two or more electrodes of the plurality of electrodes, the fifth cluster being interleaved with the fourth cluster such that an electrode of the fifth cluster is positioned between two electrodes of the fourth cluster.", "31.The apparatus of claim 29, further comprising a plurality of switches, wherein: configuring the plurality of electrodes to form the first cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the first cluster pattern; and configuring the plurality of electrodes to form the second cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the second cluster pattern.", "32.The apparatus of claim 29, wherein: the third cluster comprises three or more electrodes of the plurality of electrodes; and two electrodes of the three or more electrodes of the third cluster are adjacent to one another.", "33.The apparatus of claim 29, wherein determining the value comprises measuring a voltage associated with the first cluster after application of a voltage to the first cluster.", "34.The apparatus of claim 29, wherein: the plurality of electrodes comprises a first electrode, a second electrode adjacent to first electrode, a third electrode adjacent to the second electrode, a fourth electrode adjacent to the third electrode, and a fifth electrode adjacent to the fourth electrode; the first cluster of the first cluster pattern comprises the first electrode, the second electrode, and the third electrode; the second cluster of the first cluster pattern comprises the fourth electrode and the fifth electrode; the third cluster of the second cluster pattern comprises the first electrode, the second electrode, and the fourth electrode; and the fourth cluster of the second cluster pattern comprises the third electrode and the fifth electrode.", "35.The apparatus of claim 29, wherein the determination of the value utilizes self-capacitance measurements.", "36.A method comprising: configuring a plurality of electrodes of a sensor to form a first cluster pattern comprising a first cluster and a second cluster, the first cluster and the second cluster each comprising two or more electrodes of the plurality of electrodes; determining a value associated with a capacitance of the first cluster; and configuring, in response to determining the value, the plurality of electrodes to form a second cluster pattern comprising a third cluster and a fourth cluster, the third cluster and the fourth cluster each comprising two or more electrodes of the plurality of electrodes, the third cluster being interleaved with the fourth cluster such that an electrode of the third cluster is positioned between two electrodes of the fourth cluster.", "37.The method of claim 36, the second cluster pattern further comprising a fifth cluster, the fifth cluster comprising two or more electrodes of the plurality of electrodes, the fifth cluster being interleaved with the fourth cluster such that an electrode of the fifth cluster is positioned between two electrodes of the fourth cluster.", "38.The method of claim 36, wherein: configuring the plurality of electrodes to form the first cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the first cluster pattern; and configuring the plurality of electrodes to form the second cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the second cluster pattern.", "39.The method of claim 36, wherein: the third cluster comprises three or more electrodes of the plurality of electrodes; and two electrodes of the three or more electrodes of the third cluster are adjacent to one another.", "40.The method of claim 36, wherein determining the value comprises measuring a voltage associated with the first cluster after application of a voltage to the first cluster.", "41.The method of claim 36, wherein: the plurality of electrodes comprises a first electrode, a second electrode adjacent to first electrode, a third electrode adjacent to the second electrode, a fourth electrode adjacent to the third electrode, and a fifth electrode adjacent to the fourth electrode; the first cluster of the first cluster pattern comprises the first electrode, the second electrode, and the third electrode; the second cluster of the first cluster pattern comprises the fourth electrode and the fifth electrode; the third cluster of the second cluster pattern comprises the first electrode, the second electrode, and the fourth electrode; and the fourth cluster of the second cluster pattern comprises the third electrode and the fifth electrode.", "42.The method of claim 36, wherein the determination of the value utilizes self-capacitance measurements.", "43.A non-transitory computer-readable storage medium comprising logic, the logic configured to, when executed by the one or more processors, cause the one or more processors to perform operations comprising: configuring a plurality of electrodes of a sensor to form a first cluster pattern comprising a first cluster and a second cluster, the first cluster and the second cluster each comprising two or more electrodes of the plurality of electrodes; determining a value associated with a capacitance of the first cluster; and configuring, in response to determining the value, the plurality of electrodes to form a second cluster pattern comprising a third cluster and a fourth cluster, the third cluster and the fourth cluster each comprising two or more electrodes of the plurality of electrodes, the third cluster being interleaved with the fourth cluster such that an electrode of the third cluster is positioned between two electrodes of the fourth cluster.", "44.The medium of claim 43, the second cluster pattern further comprising a fifth cluster, the fifth cluster comprising two or more electrodes of the plurality of electrodes, the fifth cluster being interleaved with the fourth cluster such that an electrode of the fifth cluster is positioned between two electrodes of the fourth cluster.", "45.The medium of claim 43, wherein: configuring the plurality of electrodes to form the first cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the first cluster pattern; and configuring the plurality of electrodes to form the second cluster pattern comprises configuring one or more switches of the plurality of switches to galvanically connect each electrode of the second cluster pattern.", "46.The medium of claim 43, wherein: the third cluster comprises three or more electrodes of the plurality of electrodes; and two electrodes of the three or more electrodes of the third cluster are adjacent to one another.", "47.The medium of claim 43, wherein determining the value comprises measuring a voltage associated with the first cluster after application of a voltage to the first cluster.", "48.The medium of claim 43, wherein: the plurality of electrodes comprises a first electrode, a second electrode adjacent to first electrode, a third electrode adjacent to the second electrode, a fourth electrode adjacent to the third electrode, and a fifth electrode adjacent to the fourth electrode; the first cluster of the first cluster pattern comprises the first electrode, the second electrode, and the third electrode; the second cluster of the first cluster pattern comprises the fourth electrode and the fifth electrode; the third cluster of the second cluster pattern comprises the first electrode, the second electrode, and the fourth electrode; and the fourth cluster of die second cluster pattern comprises the third electrode and the fifth electrode." ], [ "<SOH> BACKGROUND <EOH>A touch sensor may detect the presence and location of a touch or the proximity of an object (such as a user's finger or a stylus) within a touch-sensitive area of the touch sensor overlaid on a display screen, for example.", "In a touch-sensitive-display application, the touch sensor may enable a user to interact directly with what is displayed on the screen, rather than indirectly with a mouse or touch pad.", "A touch sensor may be attached to or provided as part of a desktop computer, laptop computer, tablet computer, personal digital assistant (PDA), Smartphone, satellite navigation device, portable media player, portable game console, kiosk computer, point-of-sale device, or other suitable device.", "A control panel on a household or other appliance may include a touch sensor.", "There are a number of different types of touch sensors, such as (for example) resistive touch screens, surface acoustic wave touch screens, and capacitive touch screens.", "Herein, reference to a touch sensor may encompass a touch screen, and vice versa, in particular embodiments.", "When an object touches or corner within proximity of the surface of the capacitive touch screen, a change in capacitance may occur within the touch screen at the location of the touch or proximity.", "A controller may process the change in capacitance to determine its position on the touch screen." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1 illustrates an example touch sensor with an example controller that may be used in certain embodiments of the present disclosure.", "FIG.", "2 illustrates an example device and example electrode tracks that may be used in certain embodiments of the present disclosure.", "FIG.", "3A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "3B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "3C illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "4A illustrates example touch points on an example touch sensor.", "FIG.", "4B illustrates a graph of example measurements that may be taken by certain embodiments of a touch sensor.", "FIG.", "5A illustrates example touch points on an example touch sensor.", "FIG.", "5B illustrates a graph of example measurements that may be taken by certain embodiments of a touch sensor.", "FIG.", "6A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "6B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7C illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "8A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "8B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "9A illustrates an example switch network that may be used to configure clusters in certain embodiments of a touch sensor.", "FIG.", "9B illustrates an example switch network that may be used to configure clusters in certain embodiments of a touch sensor.", "FIG.", "10 illustrates an example method for use with certain embodiments of a touch sensor.", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "RELATED APPLICATION This application is a Continuation-In-Part of U.S. application Ser.", "No.", "13/955,352 titled “Dynamic Clustering Of Touch Sensor Electrodes,” Attorney's Docket 080900.2162, filed Jul.", "31, 2013, by Samuel Brunet et al., the content of which is hereby incorporated by reference.", "TECHNICAL FIELD This disclosure relates generally to touch sensor technology; and more particularly to dynamic configuration of touch sensor electrode clusters.", "BACKGROUND A touch sensor may detect the presence and location of a touch or the proximity of an object (such as a user's finger or a stylus) within a touch-sensitive area of the touch sensor overlaid on a display screen, for example.", "In a touch-sensitive-display application, the touch sensor may enable a user to interact directly with what is displayed on the screen, rather than indirectly with a mouse or touch pad.", "A touch sensor may be attached to or provided as part of a desktop computer, laptop computer, tablet computer, personal digital assistant (PDA), Smartphone, satellite navigation device, portable media player, portable game console, kiosk computer, point-of-sale device, or other suitable device.", "A control panel on a household or other appliance may include a touch sensor.", "There are a number of different types of touch sensors, such as (for example) resistive touch screens, surface acoustic wave touch screens, and capacitive touch screens.", "Herein, reference to a touch sensor may encompass a touch screen, and vice versa, in particular embodiments.", "When an object touches or corner within proximity of the surface of the capacitive touch screen, a change in capacitance may occur within the touch screen at the location of the touch or proximity.", "A controller may process the change in capacitance to determine its position on the touch screen.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 illustrates an example touch sensor with an example controller that may be used in certain embodiments of the present disclosure.", "FIG.", "2 illustrates an example device and example electrode tracks that may be used in certain embodiments of the present disclosure.", "FIG.", "3A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "3B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "3C illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "4A illustrates example touch points on an example touch sensor.", "FIG.", "4B illustrates a graph of example measurements that may be taken by certain embodiments of a touch sensor.", "FIG.", "5A illustrates example touch points on an example touch sensor.", "FIG.", "5B illustrates a graph of example measurements that may be taken by certain embodiments of a touch sensor.", "FIG.", "6A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "6B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "7C illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "8A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "8B illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "FIG.", "9A illustrates an example switch network that may be used to configure clusters in certain embodiments of a touch sensor.", "FIG.", "9B illustrates an example switch network that may be used to configure clusters in certain embodiments of a touch sensor.", "FIG.", "10 illustrates an example method for use with certain embodiments of a touch sensor.", "The drawings included in the Figures are not drawn to scale.", "DESCRIPTION OF EXAMPLE EMBODIMENTS FIG.", "1 illustrates an example touch sensor 10 with an example controller 12, according to certain embodiments of the present disclosure.", "Touch sensor 10 and controller 12 may detect the presence and location of a touch or the proximity of an object within a touch sensitive area of touch sensor 10.Herein, reference to a touch sensor may encompass both the touch sensor and its controller, where appropriate.", "Similarly, reference to a controller may encompass both the controller and its touch sensor, where appropriate.", "Touch sensor 10 may include one or more touch-sensitive areas.", "Touch sensor 10 may include an array of drive and sense electrodes (or an array of electrodes of a single type) disposed on one or more substrates, which may be made of a dielectric material.", "Herein, reference to a touch sensor may encompass both the electrodes of the touch sensor and the substrate(s) that they are disposed on.", "Alternatively, reference to a touch sensor may encompass the electrodes of the touch sensor, but not the substrate(s) that they are disposed on.", "An electrode (whether a ground electrode, a guard electrode, a drive electrode, or a sense electrode) may be an area of conductive material forming a shape, such as for example a disc, square, rectangle, thin line, other suitable shape, or suitable combination of these.", "One or more cuts in one or more layers of conductive material may (at least in part) create the shape of an electrode, and the area of the shape may (at least in part) be bounded by those cuts.", "In particular embodiments, the conductive material of an electrode may occupy approximately 100% of the area of its shape.", "As an example and not by way of limitation, an electrode may be made of indium tin oxide (ITO) and the ITO of the electrode may occupy approximately 100% of the area of its shape (sometimes referred to as 100% fill), where appropriate.", "In particular embodiments, the conductive material of an electrode may occupy substantially less than 100% of the area of its shape.", "As an example and not by way of limitation, an electrode may be made of fine lines of metal or other conductive material (FLM), such as for example copper, silver, or a copper- or silver-based material, and the fine lines of conductive material may occupy approximately 5% of the area of its shape in a hatched, mesh, or other suitable pattern.", "Herein, reference to FLM encompasses such material, where appropriate.", "Where appropriate, the shapes of the electrodes (or other elements) of a touch sensor may constitute in whole or in part one or more macro-features of the touch sensor.", "One or more characteristics of the implementation of those shapes (such as, for example, the conductive material, fills, or patterns within the shapes) may constitute in whole or in part one or more micro-features of the touch sensor.", "One or more macro-features of a touch sensor may determine one or more characteristics of its functionality, and one or more micro-features of the touch sensor may determine one or more optical features of the touch sensor, such as transmittance, refraction, or reflection.", "A mechanical stack may contain the substrate (or multiple substrates) and the conductive material forming the drive or sense electrodes of touch sensor 10.As an example and not by way of limitation, the mechanical stack may include a first layer of optically clear adhesive (OCA) beneath a cover panel.", "The cover panel may be clear and made of a resident material suitable for repeated touching, such as for example glass, polycarbonate, or poly(methyl methacrylate) (PMMA).", "This disclosure contemplates any suitable cover panel made of any suitable material.", "The first layer of OCA may be disposed between the cover panel and the substrate with the conductive material forming the drive or sense electrodes.", "The mechanical stack may also include a second layer of OCA and a dielectric layer (which may be made of PET or another suitable material, similar to the substrate with the conductive material forming the drive or sense electrodes).", "As an alternative, where appropriate, a thin coating of a dielectric material may be applied instead of the second layer of OCA and the dielectric layer.", "The second layer of OCA may be disposed between the substrate with the conductive material making up the drive or sense electrodes and the dielectric layer, and the dielectric layer may be disposed between the second layer of OCA and an air gap to a display of a device including touch sensor 10 and controller 12.As an example only and not by way of limitation, the cover panel may have a thickness of approximately 1 mm; the first layer of OCA may have a thickness of approximately 0.05 mm; the substrate with the conductive material forming the drive or sense electrodes may have a thickness of approximately 0.05 mm; the second layer of OCA may have a thickness of approximately 0.05 mm; and the dielectric layer may have a thickness of approximately 0.05 mm.", "Although this disclosure describes a particular mechanical stack with a particular number of particular layers made of particular materials and having particular thicknesses, this disclosure contemplates any suitable mechanical stack with any suitable number of any suitable layers made of any suitable materials and having any suitable thicknesses.", "As an example and not by way of limitation, in particular embodiments, a layer of adhesive or dielectric may replace the dielectric layer, second layer of OCA, and air gap described above, with there being no air gap to the display.", "One or more portions of the substrate of touch sensor 10 may be made of polyethylene terephthalate (PET) or another suitable material.", "This disclosure contemplates any suitable substrate with any suitable portions made of any suitable material.", "In particular embodiments, the drive or sense electrodes in touch sensor 10 may be made of ITO in whole or in part.", "In particular embodiments, the drive or sense electrodes in touch sensor 10 may be made of fine lines or metal or other conductive material.", "As an example and not by way of limitation, one or more portions of the conductive material may be copper or copper-based and have a thickness of approximately 5 μm or less and a width of approximately 10 μm or less.", "As another example, one or more portions of the conductive material may be silver or silver-based and similarly have a thickness of approximately 5 μm or less and a width of approximately 10 μm or less.", "This disclosure electrodes made of any suitable material.", "As used herein, a capacitive node refers to a portion of touch sensor 10 that is configured to provide a distinct capacitive measurement.", "In various embodiments, capacitive nodes may have different sizes, shapes, and/or configurations.", "Furthermore, in some embodiments, the size, shape, and other aspects of a capacitive node may be determined by the configuration of controller 12 and may be changed dynamically during the operation of device 2.For example, in some embodiments, multiple tracks 14 may be galvanically connected and sensed together, resulting in a capacitive node spanning multiple electrodes.", "Furthermore, in some embodiments, such as the embodiment of FIG.", "8B, the electrodes of adjacent capacitive nodes may overlap and/or interleave.", "Touch sensor 10 may implement a capacitive form of touch sensing.", "In a mutual-capacitance implementation, touch sensor 10 may include an array of drive and sense electrodes forming an array of capacitive nodes.", "A drive electrode and a sense electrode may form a capacitive node.", "The drive and sense electrodes forming the capacitive node may come near each other, but not make electrical contact with each other.", "Instead, the drive and sense electrodes may be capacitively coupled to each other across a space between them.", "A pulsed or alternating voltage applied to the drive electrode (by controller 12) may induce a charge on the sense electrode, and the amount of charge induced may be susceptible to external influence (such as a touch or the proximity of an object).", "When an object touches or comes within proximity of the capacitive node, a change in capacitance may occur at the capacitive node and controller 12 may measure the change in capacitance.", "A touch may refer to an external object touching a capacitive node directly or touching a cover or substrate adjacent to the capacitive node.", "By measuring changes in capacitance throughout the array, controller 12 may determine the position of the touch or proximity within the touch-sensitive area(s) of touch sensor 10.In a self-capacitance implementation, touch sensor 10 may include an array of electrodes of a single type that may each form a capacitive node.", "In such embodiments, a capacitive node may correspond to a single electrode, or a set of multiple connected electrodes, rather than an intersection of tracks 14.When an object touches or comes within proximity of the capacitive node, a change in self-capacitance may occur at the capacitive node and controller 12 may measure the change in capacitance, for example, as a change in the amount of charge needed to raise the voltage at the capacitive node by a pre-determined amount.", "As with a mutual-capacitance implementation, by measuring changes in capacitance throughout the array, controller 12 may determine the position of the touch or proximity within the touch-sensitive area(s) of touch sensor 10.This disclosure contemplates any suitable form of capacitive touch sensing.", "In particular embodiments, one or more drive electrodes may together form a drive line running horizontally or vertically or in any suitable orientation.", "Similarly, one or more sense electrodes may together form a sense line running horizontally or vertically or in any suitable orientation.", "In particular embodiments, drive lines may run substantially perpendicular to sense lines.", "Herein, reference to a drive line may encompass one or more drive electrodes making up the drive line, and vice versa, where appropriate.", "Similarly, reference to a sense line may encompass one or more sense electrodes making up the sense line, and vice versa.", "Touch sensor 10 may have drive and sense electrodes disposed in a pattern on one side of a single substrate.", "In such a configuration, a pair of drive and sense electrodes capacitively coupled to each other across a space between them may form a capacitive node.", "For a self-capacitance implementation, electrodes of only a single type may be disposed in a pattern on a single substrate.", "In addition or as an alternative to having drive and sense electrodes disposed in a pattern on one side of a single substrate, touch sensor 10 may have drive electrodes disposed in a pattern on one side of a substrate and sense electrodes disposed in a pattern on another side of the substrate.", "Moreover, touch sensor 10 may have drive electrodes disposed in a pattern on one side of one substrate and sense electrodes disposed in a pattern on one side of another substrate.", "In such configurations, an intersection of a drive electrode and a sense electrode may form a capacitive node.", "Such an intersection may be a location where the drive electrode and the sense electrode“cross” or come nearest each other in their respective planes.", "The drive and sense electrodes do not make electrical contact with each other—instead they are capacitively coupled to each other across a dielectric at the intersection.", "In some embodiments, this dielectric may be air.", "Moreover, this disclosure contemplates electrodes disposed on any suitable number of substrates.", "As described above, a change in capacitance at a capacitive node of touch sensor 10 may indicate a touch or proximity input at the position of the capacities node.", "Controller 12 may detect and process the change in capacitance to determine the presence and location of the touch or proximity input.", "Controller 12 may then communicate information about the touch or proximity input to one or more other components (such one or more central processing units (CPUs)) of a device that includes touch sensor 10 and controller 12, which may respond to the touch or proximity input by initiating a function of the device (or an application running on the deviee).", "Although this disclosure describes a particular controller having particular functionality with respect to a particular device and a particular touch sensor, this disclosure contemplates any suitable controller having any suitable functionality with respect to any suitable device and any suitable touch sensor.", "Controller 12 may be one or more integrated circuits (ICs), such as for example general-purpose microprocessors, microcontrollers, programmable logic devices or arrays, application-specific ICs (ASICs).", "In particular embodiments, controller 12 comprises analog circuitry, digital logic, and digital non-volatile memory.", "In particular embodiments, controller 12 is disposed on a flexible printed circuit (FPC) bonded to the substrate of touch sensor 10, as described below.", "The FPC may be active or passive, where appropriate.", "In particular embodiments, multiple controllers 12 are disposed on the FPC.", "Controller 12 may include a processor unit, a drive unit, a sense unit, and a storage unit.", "The drive unit may supply drive signals to the drive electrodes of touch sensor 10.The sense unit may sense charge at the capacitive nodes of touch sensor 10 and provide measurement signals to the processor unit representing capacitances at the capacitive nodes.", "The processor unit may control the supply of drive signals to the drive electrodes by the drive unit and process measurement signals from the sense unit to detect and process the presence and location of a touch or proximity input within the touch-sensitive area(s) of touch sensor 10.The processor unit may also track changes in the position of a touch or proximity input within the touch-sensitive area(s) of touch sensor 10.The storage unit may store programming for execution by the processor unit, including programming for controlling the drive unit to supply drive signals to the drive electrodes, programming for processing measurement signals from the sense unit, and other suitable programming, where appropriate.", "Although this disclosure describes a particular controller having a particular implementation with particular components, this disclosure contemplates any suitable controller having any suitable implementation with any suitable components.", "Tracks 14 of conductive material disposed on the substrate of touch sensor 10 may couple the drive or sense electrodes of touch sensor 10 to connection pads 16, also disposed on the substrate of touch sensor 10.As described below, connection pads 16 facilitate coupling of tracks 14 to controller 12.Tracks 14 may extend into or around (e.g.", "at the edges of) the touch-sensitive area(s) of touch sensor 10.Particular tracks 14 may provide drive connections for coupling controller 12 to drive electrodes of touch sensor 10, through which the drive unit of controller 12 may supply drive signals to the drive electrodes.", "Other tracks 14 may provide sense connections for coupling controller 12 to sense electrodes of touch sensor 10, through which the sense unit of controller 12 may sense charge at the capacitive nodes of touch sensor 10.Tracks 14 may be made of fine lines of metal or other conductive material.", "As an example and not by way of limitation, the conductive material of tracks 14 may be copper or copper-based and have a width of approximately 100 μm or less.", "As another example, the conductive material of tracks 14 may be silver or silver-based and have a width of approximately 100 μm or less.", "In particular embodiments, tracks 14 may be made of ITO in whole or in part in addition or as an alternative to fine lines of metal or other conductive material.", "Although this disclosure describes particular tracks made of particular materials with particular widths, this disclosure contemplates any suitable tracks made of any suitable materials with any suitable widths.", "In addition to tracks 14, touch sensor 10 may include one or more ground lines terminating at a ground connector (which may be a connection pad 16) at an edge of the substrate of touch sensor 10 (similar to tracks 14).", "Connection pads 16 may be located along one or more edges of the substrate, outside the touch-sensitive area(s) of touch sensor 10.As described above, controller 12 may be on an FPC.", "Connection pads 16 may be made of the same material as tracks 14 and may be bonded to the FPC using an anisotropic conductive film (ACF).", "Connection 18 may include conductive lines on the FPC coupling controller 12 to connection pads 16, in turn coupling controller 12 to tracks 14 and to the drive or sense electrodes of touch sensor 10.In another embodiment, connection pads 16 may be connected to an electro-mechanical connector (such as a zero insertion force wire-to-board connector); in this embodiment, connection 18 may not need to include an FPC.", "This disclosure contemplates any suitable connection 18 between controller 12 and touch sensor 10.Certain embodiments of touch sensor 10 and controller 12 may measure capacitance or a change in capacitance using any suitable method.", "For example, voltage may be applied to one or more tracks 14 by opening or closing one or more switches associated with one or more tracks 14.Such switches may connect one or more tracks 14 to other portions of touch sensor 10 or controller 12 such as, for example, a voltage source (e.g.", "a voltage supply rail), a current source, or any other suitable component.", "Such methods may cause charge to be transferred to or from one or more portions of one or more tracks 14.In self-capacitance embodiments, the charged one or more tracks 14 may be sensed to measure a value associated with the capacitance of the one or more tracks 14.The presence of an object such as a finger or a stylus may change the amount of charge induced on the sensed track 14, and this change may be measured by controller 12 to determine the position of the object.", "The position may be a distance between the object and touch sensor 10 (e.g., a hover detection) and/or a projection of a portion of the object onto touch sensor 10 (e.g., a point on touch sensor 10 where the object is touching or hovering).", "Thus, in an embodiment where the surface of touch sensor 10 lies in the X-Y plane and the Z axis is orthogonal to the X-Y plane, the position may correspond to the X coordinates of the object, Y coordinates, Z coordinates, X-Y coordinates, X-Z coordinates, Y-Z coordinates, X-Y-Z coordinates, any reference position correlating with X, Y, or Z coordinates, or any other suitable position information.", "In certain embodiments, the same measured values may be used to determine both of the distance between the object and touch sensor 10 and the projection of a portion of the object onto touch sensor 10.Controller 12 may also factor in additional measurements and/or calculations to determine this position.", "For example, multiple tracks 14 may be sensed synchronously or in close succession, and the position of the object may be determined based on a calculation factoring in each of these measurements.", "Furthermore, certain embodiments may utilize weighted averages, linear approximation, or any suitable combination thereof to facilitate the determination of the object's position.", "Certain embodiments may perform measurements using any suitable number of steps that facilitate capacitance measurements.", "For example, some embodiments may perform any suitable combination of pre-charging one or more tracks 14, charging one or more tracks 14, transferring charge between two or more tracks 14, discharging one or more tracks 14, and/or any other suitable step.", "In some embodiments, a transfer of charge may be measured directly or indirectly.", "For example, certain embodiments may utilize voltage measurements, current measurements, timing measurements, any other suitable measurement, or any combination thereof to measure capacitance or a change in capacitance at one or more capacitive nodes.", "Furthermore, certain embodiments may utilize additional circuitry (such as, for example, one or more integrators, amplifiers, capacitors, switches, audio-to-digital converters, and/or any other suitable circuitry) to perform and/or enhance such measurements.", "Certain embodiments may measure a value at a particular point in time, measure a change in a value over time, and/or perform any other suitable processing to facilitate the determination of an object's position relative to touch sensor 10.FIG.", "2 illustrates an example device 20 and example electrode tracks 14 that may be used in certain embodiments of the present disclosure.", "In the illustrated embodiment, device 20 includes touch screen 10, which includes tracks 14.In some embodiments, multiple tracks 14 may be connected to form clusters 30 (not shown), which may strengthen the electric field created by tracks 14 to provide improved sensing.", "Furthermore, to provide improved precision, resolution, and/or linearity when detecting the position of a nearby object, clusters 30 may be interleaved temporally (as shown for example in the embodiments of FIGS.", "6A-6B and 7A-7C) and/or spatially (as shown for example in the embodiment of FIG.", "8B).", "Device 20 may be any touch-sensing device or component.", "In various embodiments, device 20 may be a smartphone, tablet computer, laptop computer, or any suitable device utilizing a touch sensor 10.Device 20 may include a display 21 that may be overlaid by or otherwise positioned proximate to touch sensor 10.Display 21 and touch sensor 10 may be substantially planar, curved, or have any other suitable configuration.", "Tracks 14 may include any structure, configuration, and/or function described above with respect to FIG.", "1.While the illustrated embodiment depicts certain tracks 14 running across display 21 perpendicular to certain other tracks 14, other embodiments may use any suitable configuration of tracks 14.For example, certain embodiments of tracks 14 may utilize different shapes, patterns, and/or configurations.", "Furthermore, certain embodiments may use different types, shapes, or configurations of tracks 14 within the same touch sensor 10.For example, in some embodiments, tracks 14 may be electrode “lines” that may be parallel, perpendicular or have any suitable orientation relative to other tracks 14.In alternative embodiments, which may include certain self-capacitance embodiments, tracks 14 may be non-linear electrodes, rather than intersecting “lines” such that each column and/or row of touch sensor 10 may include multiple tracks 14, each of which is separately connected or connectable to controller 12.In such embodiments, the sensing portion of track 14 may have any suitable shape (e.g., square, round, triangular, hexagonal, or any other suitable shape).", "Furthermore, such electrodes may be of a single type or multiple types, and each may form a capacitive node.", "As used herein, track 14 may refer to such electrodes, the track of conductive material connecting the electrode to controller 12, or both, as appropriate.", "In self capacitance embodiments, when an object touches or approaches a capacitive node, a change in self-capacitance may occur at the capacitive node and controller 12 may measure the change in capacitance.", "As a particular example, a change in capacitance may be measured as a change in the amount of charge needed to raise the voltage at the capacitive node by a pre-determined amount.", "By measuring changes in capacitance throughout the array of electrodes, controller 12 may determine the position of the touch or proximity within the touch-sensitive area(s) of touch sensor 10.Furthermore, multiple tracks 14 may be galvanically connected to form a cluster that can be sensed as a single capacitive node, which may increase the sensitivity of touch sensor 10 and/or reduce noise interference experienced by touch sensor 10.This disclosure contemplates any suitable form of capacitive touch sensing, where appropriate.", "For example, other embodiments may utilize mutual capacitance sensing.", "FIG.", "3A illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor 10.Touch sensor 10 includes clusters 30a and 30b and panel 32.In the illustrated embodiment, touch sensor 10 may detect the presence and/or position of object 34, which is located a distance 36a from touch sensor 10.Panel 32 may be any material proximate to tracks 14 and configured to be positioned between tracks 14 and object 34.Panel 32 may be glass, plastic, or any suitable material through which electric fields generated by tracks 14 may interact with object 34.In certain embodiments, panel 32 may be transparent, though this is not required.", "Panel 32 may be formed as a separate layer from tracks 14, and in such embodiments, panel 32 may be affixed to a portion of touch sensor 10 via an adhesive.", "In some embodiments, panel 32, tracks 14, and/or one or more substrates may be integrally formed.", "Clusters 30a and 30b include tracks 14a-14c and tracks 14f-14j, respectively.", "A used herein, a “cluster” may refer to a single track 14 or multiple tracks 14 connected such that they can be charged and/or sensed as a single unit.", "In some embodiments, tracks 14 may be “connected” by galvanically connecting the tracks 14, though any suitable method of connecting tracks 14 may be used (e.g., multiple tracks 14 may be sensed together by capacitively coupling the tracks 14 via a sufficiently large capacitance).", "Furthermore, the one or more tracks 14 forming a cluster 30 may include any type, structure, and/or configuration discussed above with respect to tracks 14 of FIGS.", "1 and 2.For example, certain embodiments may include a cluster 30 of parallel tracks, perpendicular tracks, or both.", "As another example, certain embodiments may include one or more non-linear self-capacitance electrodes of one or more tracks 14.Thus, clusters 30 may be a set of one or more linear electrode tracks 14, a set of non-linear electrodes, or any suitable configuration of electrodes.", "As a particular example, the electrodes of cluster 30 may form a triangle, square, hexagon, or other geometric shape from a perspective orthogonal to the surface of touch sensor 10.In some embodiments, a cluster 30 may include all tracks 14 of touch sensor 10.The tracks 14 of a cluster may be adjacent, though this is not required.", "Furthermore, multiple clusters 30 may be sensed simultaneously or in close succession, which may allow controller to determine the position of object 34 taking into account multiple values.", "Clusters 30 may include adjacent tracks and/or non-adjacent tracks 14.In the illustrated embodiment, clusters 30a and 30b each include five galvanically connected electrode tracks 14.In other embodiments, clusters 30 may have more or fewer tracks 14.Such tracks 14 may be galvanically connected by, for example, using various switches of controller 12.Galvanically connecting tracks 14 to form clusters 30 in this manner may increase the sensitivity of touch sensor 10, which may enable detection of the presence and/or position of object 34 at greater distances from touch sensor 10.Such embodiments may also reduce noise interference experienced during the sensing sequence since a stronger signal may be generated relative to the noise effects impacting the measured capacitance values.", "Galvanically connecting tracks 14 to form clusters 30 may result in improved noise protective compared to touch sensors that sum measurements of the individual tracks 14, because such touch sensors may also effectively sum the noise interference experienced by the tracks 14.Object 34 may be any external object whose presence and/or position may be detected by tough sensor 10.Object 34 may be a finger, hand, stylus, or any suitable object.", "In the illustrated embodiment, object 34 is a finger located distance 36a from touch sensor 10.As used herein, a distance from tough sensor 10 may refer to the distance between object 34 and panel 32, tracks 14, or any suitable portion of touch sensor 10.Ranges 38a-38c may be any suitable ranges corresponding to positions of object 34 that may trigger a change in the configuration of clusters 30, as explained further below.", "For example, range 38a may be 8-20 mm and may be associated with a cluster size of five tracks 14, range 38b may be 4-10 mm and may be associated with a cluster size of three tracks 14, and range 38c may be 0-5 mm and may be associated with a cluster size of two tracks 14.Other embodiments may use any suitable values for range 38.Furthermore, various embodiments may use any number of range 38, which may be associated with any suitable cluster size.", "For example, a particular embodiment may have separate ranges associated with clusters of size 1-10.Furthermore, certain embodiments may not explicitly calculate an estimated distance of object 34 from touch sensor 10.For example, certain embodiments may change cluster configurations based directly on one or more measured capacitance values (rather than using those values to determine distance 36 and then using distance 36 to determine the cluster configuration).", "Thus, in some embodiments, changes in the configuration of clusters 30 may not directly correspond to the distance of object 34 from touch sensor 10.As a particular example, different objects 34 at the same distance from touch sensor 10 may result in different capacitance values measured by a single cluster 30.As another example, some embodiments may factor in the relative measurements from multiple clusters 30 in determining the appropriate cluster configuration, so different objects 34 (for example, a fingertip vs. a hand) at the same distance from touch sensor 10 and causing the same capacitive measurement at a single cluster 30 may nevertheless trigger different cluster configurations.", "In operation, tracks 14a -14c are connected to form cluster 30a, and tracks 14f-14j are connected to form cluster 30b.", "This connection may be a galvanic connection.", "Voltage is applied to cluster 30a and 30b, and a capacitance value associated with each cluster 30 is determined using any suitable sensing method described above with respect to FIGS.", "1 and 2.Clusters 30a and 30b may be sensed synchronously or sequentially.", "Based on the measured values, controller 12 may determine a distance between object 34 and touch sensor 10.Based on the measured distance, controller 12 may maintain the same cluster configuration or switch to a different cluster configuration.", "For example, controller 12 may determine whether the measured distance falls in one or more ranges 38.In the illustrated embodiment, distance 36a falls within range 38a.", "As object 34 moves to distance 36b from touch sensor 10, controller 12 may determine that object 34 has moved within range 38b and transition to the cluster configuration shown in FIG.", "3B.", "Any suitable cluster configuration and/or range may be used.", "FIG.", "3B illustrates an example cluster configuration that may be used in certain embodiments of touch sensor 10.Touch sensor 10 includes clusters 30a, 30b, and 30c and panel 32.In the illustrated embodiment, touch sensor 10 may detect the presence and/or position of object 34, which is located a distance 36b from touch sensor 10.Clusters 30a, 30b, and 30c include tracks 14a-14c, tracks 14d-14f, and tracks 14g-14i, respectively.", "Track 14j may be part of an additional cluster 30 that is not shown.", "In the illustrated embodiment, clusters 30a-30c each include three galvanically connected electrode tracks 14.Such tracks 14 may be galvanically connected by, for example, using various switches of controller 12.Connecting tracks 14 to form clusters 30 in this manner may increase the sensitivity of touch sensor 10, which may enable detection of the presence and/or position of object 34 at greater distances from touch sensor 10.Clusters of three tracks 14 may be more sensitive than clusters of two tracks 14 (see, e.g., FIG.", "3C) but less sensitive than clusters of live tracks 14 (see, e.g., FIG.", "3A).", "Certain embodiments may reduce noise interference experienced during the sensing sequence since a stronger signal may be generated relative to the noise effects impacting the measured capacitance values.", "Connecting tracks 14 to form clusters 30 may result in improved noise protection compared to touch sensor than sum measurements of the individual tracks 14, because such touch sensors may also effectively sum the noise interference experienced by the tracks 14.In the illustrated embodiment, object 34 is located distance 36b from touch sensor 10, which falls within range 38b.", "Controller 12 may configure clusters 30 as shown in FIG.", "3B in response to determining that the measured distance 36b falls within range 38b.", "If the measured distance 36 fell below a threshold value to move within range 38c, controller may configure clusters 30 as shown in FIG.", "3C.", "If the measured distance 36 is increased above a threshold value to move within range 38a, controller may configure clusters 30 as shown in FIG.", "3A.", "Any suitable cluster configuration and/or range may be used.", "FIG.", "3C illustrates an example cluster configuration that may be used in certain embodiments of a touch sensor.", "Touch sensor 10 includes clusters 30a-30c and panel 32.In the illustrated embodiment, touch sensor 10 may detect the presence and/or position of object 34, which is located a distance 36c from touch sensor 10.Clusters 30a-30e include tracks 14a-14b, tracks 14c-14d, tracks 14e-14f, tracks 14g-14h, and tracks 14i-14j, respectively.", "In the illustrated embodiment, clusters 30a-30 e each include two connected electrode tracks 14.Such tracks 14 may be galvanically connected by, for example, using various switches of controller 12.Galvanically connecting tracks 14 to form clusters 30 in this manner may increase the sensitivity of touch sensor 10, which may enable detection of the presence and/or position of object 34 at greater distances from touch sensor 10.Clusters of two tracks 14 may be more sensitive than clusters of a single track 14 (which may correspond to traditional sensing methods) but less sensitive than clusters using a greater number of tracks 14 (see, e.g., FIGS.", "3A and 3B).", "Certain embodiments may reduce noise interference experienced during the sensing sequence since a stronger signal may be generated relative to the noise effects impacting the measured capacitance values.", "Connecting tracks 14 to form clusters 30 may result in improved noise protection compared to touch sensors that sum measurements of the individual tracks 14, because such touch sensors may also effectively sum the noise interference experienced by the tracks 14.In the illustrated embodiment, object 34 is located distance 36c from touch sensor 10, which falls within range 38c.", "Controller 12 may configure clusters 30 as shown in FIG.", "3C in response to determining that the measured distance 36c falls within range 38c.", "If the measured distance 36 is increased to move within range 38b, controller may configure clusters 30 as shown in FIG.", "3B.", "If the measured distance 36 increased to move within range 38a, controller may configure clusters 30 as shown in FIG.", "3A.", "Other embodiments may utilize any suitable ranges and any suitable configuration of clusters 30.FIG.", "4A illustrates example touch points 40 on an example touch sensor 10.Touch sensor 10 includes panel 32 and clusters 30a and 30b.", "Clusters 30a and 30b include tracks 14a and 14b and tracks 14c and 14d, respectively.", "Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, and 3A-3C.", "Touch points 40a-40c represent a position of object 34 (not shown) moving from left to right across touch sensor 10.Touch points 40 may be positions where a portion of object 34 physically touches touch sensor 10, or they may be orthogonal projections of a portion of object 34 onto touch sensor 10.In the illustrated embodiments, touch points 40a-40c each fall directly above a portion of cluster 30a.", "Because tracks 14a and 14b of cluster 30a may be galvanically connected and sensed together during the sensing sequence, the similar capacitance values measured at touch points 40a-40c (see FIG.", "4B) may reduce the linearity of the measured position of object 34 as it moves across touch sensor 10.This issue is described further with respect to FIGS.", "4B, 5A, and 5B.", "This potential for reduced precision may be mitigated by cluster configurations discussed below with respect to FIGS.", "7A-7C.", "FIG.", "4B illustrates a graph of example measurements 44 and 46 that may be taken by certain embodiments of touch sensor 10.Measurements 42a, which include measurements 44a-44c, correspond to measurements associated with cluster 30a or FIG.", "4A.", "Measurements 42b, which include measurements 46a-46c, correspond to measurements associated with cluster 30b of FIG.", "4A.", "Measurements 42a represent values measured by cluster 30a of FIG.", "4A, and measurements 42b represent values measured by cluster 30b of FIG.", "4A.", "Measurements 44a-44c correspond to the values measured by cluster 30a at touch points 40a-40c, respectively, and measurements 46a-46c correspond to the values measured by cluster 30b at touch points 40a-40c, respectively.", "As discussed above, controller 12 may evaluate multiple measurements to determine the position of object 34.For example, the measured position of object 34 may be based on capacitive values measured by multiple clusters 30.Thus, as a particular example, the position of object 34 when object 34 is located at touch point 40a may be determined using measurements 44a and 46a (as well as values measured by other clusters 30).", "Furthermore, the position of object 34 may also be determined by taking into account previously measured values.", "Thus, as a particular example, the position of object 34 when object 34 is located at touch point 40c may be determined using measurements 44c and 46c as well as values measured during previous sensing sequences, such as 44b and 46b.", "Because tracks 14a and 14b of cluster 30a may be galvanically connected and sensed together during the sensing sequence, values measured when object 34 is located at touch points 40a-40c may not be sufficiently distinct to precisely distinguish between these touch points 40.Such measurement difficulties may be particular pronounced when clusters 30 include larger numbers of tracks 14.FIG.", "5A illustrates example touch points 50 on an example touch sensor 10.Touch sensor 10 includes panel 32 and clusters 30aand 30b.", "Clusters 30a and 30b include tracks 14a and 14b and tracks 14c and 14d, respectively.", "Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, and 3A-3C.", "Touch points 50a-50c represent a position of object 34 (not shown) moving from left to right across touch sensor 10.Touch points 50 may be positions where a portion of object 34 physically touches touch sensor 10, or they may be orthogonal projections of a portion of object 34 onto touch sensor 10.In the illustrated embodiments, touch points 50a-50c move from left to right, moving from cluster 30a to cluster 30b.", "Because clusters 30a and 30b are not galvanically connected during their respective sensing sequences, capacitive values measured when object 34 is at touch points 50a-50c may provide improved touch resolution.", "For example, such embodiments may provide increased linearity when determining the position of object 34 as it moves across touch sensor 10 in a straight line.", "Certain embodiments may take advantage of improved touch-sensing precision at the borders of clusters 30 by utilizing cluster configurations discussed below with respect to FIGS.", "6-9.FIG.", "5B illustrates a graph of example measurements 44 that may be taken by certain embodiments of touch sensor 10.Measurements 52a, which include measurements 54a-54c, correspond to measurements associated with cluster 30a of FIG.", "5A.", "Measurements 52b, which include measurements 56a-56c, correspond to measurements associated with cluster 30b of FIG.", "5A.", "Measurements 52a represent values measured by cluster 30a of FIG.", "5A, and measurements 52b represent values measured by cluster 30b of FIG.", "5A.", "Measurements 54a-54c correspond to the values measured by cluster 30a at touch points 50a-50c, respectively, and measurements 56a-56c correspond to the values measured by cluster 30b at touch points 50a-50c, respectively.", "As discussed above, controller 12 may evaluate multiple measurements to determine the position of object 34.For example, the measured position of object 34 may be based on capacitive values measured by multiple clusters 30.Thus, as a particular example, the position of object 34 when object 34 is located at touch point 50a may be determined using measurements 54a and 56a (as well as values measured by other clusters 30).", "Furthermore, the position of object 34 may also be determined by taking into account previously measured values.", "Thus, as a particular example, the position of object 34 when object 34 is located at touch point 50c may be determined using measurements 54c and 56c as well as values measured during previous sensing sequences, such as 54b and 56b.", "Because clusters 30a and 30b may be sensed separately, values measured when object 34 is located at touch points 50a-50c may be sufficiently distinct to precisely distinguish between these touch points 50.Certain embodiments may take advantage of this improved touch-sensing precision at the borders of clusters 30 by utilizing cluster configurations discussed below with respect to FIGS.", "6-9.FIGS.", "6A and 6B illustrate example cluster configurations that may be used in certain embodiments of touch sensor 10.In the illustrated embodiments, touch sensor 10 includes panel 32 and tracks 14a-j.", "In FIG.", "6A, tracks 14 are configured to form clusters 30a and 30b, which include tracks 14a-d and tracks 14e-h, respectively.", "In FIG.", "6B, tracks 14 are configured to form clusters 30c and 30d, which include tracks 14c-f and tracks 14g-i, respectively.", "For purposes of simplified illustration, FIGS.", "6A and 6B depict only two clusters 30.However, touch sensor 10 may be configured to include additional clusters 30 using tracks 14 that are not used in the illustrated clusters 30.For example, in FIG.", "6A, tracks 14i and 14j may operate as part of additional clusters 30 (not shown) or may operate independently (e.g., tracks 14i and 14j may be sensed individually without being clustered with other tracks 14).", "Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, and 3A-3C.", "FIGS.", "6A and 6B illustrate two cluster configurations that may be used in succession during a “rolling cluster” sequence.", "As used herein, a rolling cluster sequence refers to a sequential shifting of the configuration of clusters 30.As explained above with respect to FIGS.", "4A-4B and 5A-5B, the position of object 34 may be more precisely determined when located at or near the intersection of clusters 30.Utilizing rolling clusters may increase the likelihood that object 34 is positioned at or near the intersection of two or more clusters 30, which may improve the precision, resolution, and/or linearity of touch-sensor 10.Such improvements may be particular useful when utilizing cluster configurations with larger numbers of tracks 14 per cluster 30.Determination of the position of object 34 may be based on measurements from a single cluster pattern (e.g., the clusters 30 shown in FIG.", "6B), or the position may be based on a multiple cluster patterns (e.g., the clusters 30 shown in both FIGS.", "6A and 6B).", "A rolling cluster sequence may involve two or more sequential cluster patterns.", "For example, FIGS.", "6A-6B illustrate a rolling cluster sequence that may use two cluster patterns, and FIGS.", "7A-7C illustrate a rolling cluster sequence that may use three cluster patterns.", "Other embodiments may use any suitable configuration of clusters 30 in any suitable rolling cluster sequence.", "For example, some embodiments may used larger cluster sizes and/or different cluster shapes.", "As another example, some embodiments may use different numbers of cluster patterns in a single rolling cluster sequence (e.g., four, five, six, or more difference cluster patterns used sequentially), and some embodiments may cycle through cluster patterns in different orders.", "As used herein, a “cluster pattern” refers to a particular configuration of cluster 30 at a particular point in time or during a particular span of time.", "In certain embodiments, controller 12 may sequentially alter the configuration of clusters 30.For example, tracks 14a-14d may be galvanically connected and sensed as cluster 30a, and tracks 14e-14h may be galvanically connected and sensed as cluster 30b, as shown in FIG.", "6A.", "After measuring capacitance values associated with this configuration tracks 14c-14f may be galvanically connected and sensed as cluster 30c, and tracks 14g-14j may be galvanically connected and sensed as cluster 30d, as shown in FIG.", "6B.", "Controller 12 may determine the position of object 34 using the various capacitance values associated with these rolling clusters.", "For example, controller 12 may compare values measured by different clusters 30.As another example, controller 12 may calculate one or representative values that may indicate the position of object 34 relative to touch sensor 10.As a particular example, controller 12 may calculate a representative value for each track 14 based on the capacitance values measured from clusters 30.For example, a representative value for a particular track 14 may be calculated based on the capacitance value measured from each cluster 30 to which it belonged, and the relative representative values of tracks 14 (e.g.", "the shape of a plot of the representative values) may indicate the position of object 34.One ore more additional calculations may also be used, such as, for example, weighted averages, linear approximation, and balanced position techniques.", "Rolling clusters may improve the precision, resolution, and/or linearity of touch sensors 10 utilizing clusters 30 that include multiple tracks 14.Such embodiments may enable touch sensor 10 to provide improved sensitivity resulting from clustering tracks 14, as described above, while also avoiding potential reductions in precision, resolution, and/or linearity (as described with respect to FIGS.", "4A and 4B) that might otherwise be created by such clustering.", "FIGS.", "7A-7C illustrate example cluster configurations that may be used in certain embodiments of touch sensor 10.Touch sensor 10 includes panel 32 and clusters 30a and 30b.", "In FIG.", "7A, clusters 30a and 30b include tracks 14a-14c and tracks 14d-14f, respectively.", "In FIG.", "7B, clusters 30c and 30d include tracks 14b-14d and tracks 14e-14g, respectively.", "In FIG.", "7C, clusters 30e and 30f include tracks 14c-14e and tracks 14f-14h, respectively.", "For purposes of simplified illustration, FIGS.", "7A-7C depict only clusters 30a and 30b.", "However, touch sensor 10 may include additional clusters 30 using tracks 14 that are not used in the illustrated clusters 30.For example, in FIG.", "7A, tracks 14g-I may operate as part of an additional cluster 30 (not shown) or may operate independently (e.g., tracks 14g-I may be sensed individually without being clustered with other tracks 14).", "Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, 3A-3C, 6A-6B, and 7A-7C.", "FIGS.", "7A-7C illustrate three cluster configurations that may be used in succession during a rolling cluster sequence.", "These configurations may be used in embodiments utilizing rolling clusters that shift clusters 30 successively by a single track 14.As explained above with respect to FIGS.", "4A-4B, 5A-5B, and 6A-6B, the position of object 34 may be more precisely determined when located at or near the intersection of clusters 30.Utilizing rolling clusters may increase the likelihood that object 34 is positioned at or near the intersection of two or more clusters 30, which may improve the precision, resolution, and/or linearity of touch-sensor 10.Such improvements may be particular useful when utilizing cluster configurations with larger numbers of tracks 14 per cluster 30.Furthermore, utilizing rolling clusters that shift by a single track 14 may further improve the precision, resolution, and/or linearity of much sensing measurements.", "In certain embodiments, controller 12 may sequentially alter the configuration of clusters 30.For example, tracks 14a-14c may be galvanically connected and sensed as cluster 30a, and tracks 14d-14f may be galvanically connected and sensed as cluster 30b, as shown in FIG.", "7A.", "After measuring capacitance values associated with this configuration, tracks 14b-14d may be galvanically connected and sensed as cluster 30c, and tracks 14e-14g may be galvanically connected and sensed as cluster 30d, as shown in FIG.", "7B.", "After measuring capacitance values associated with this configuration, tracks 14c-14e may be galvanically connected and sensed as cluster 30e, and tracks 14f-14h may be galvanically connected and sensed as cluster 30f, as shown in FIG.", "7C.", "Controller 12 may determine the position of object 34 using the various capacitance values associated with these rolling clusters.", "For example, controller 12 may compare values measured by different clusters 30.As another example, controller 12 may calculate one or representative values that may indicate the position of object 34 relative to touch sensor 10.As a particular example, controller 12 may calculate a representative value for each track 14 based on the capacitance values measured from clusters 30.For example, a representative value for a particular track 14 may be calculated based on the capacitance value measured from each cluster 30 to which it belonged, and the relative representative values of tracks 14 (e.g.", "the shape of a plot of the representative values) may indicate the position of object 34.One or more additional calculations may also be used, such as, for example, weighted averages, linear approximation, and balanced position techniques.", "Rolling clusters may improve the precision, resolution, and/or linearity of touch sensors 10 utilizing clusters 30 that include multiple tracks 14.Such embodiments may enable touch sensor 10 to provide improved sensitivity resulting from clustering tracks 14, as described above, while also avoiding potential reductions in precision, resolution, and/or linearity (as described with respect to FIGS.", "4A and 4B) that might otherwise be created by such clustering.", "FIGS.", "8A and 8B an example cluster configurations that may be used in certain embodiments of touch sensor 10.Touch sensor 10 includes panel 32 and clusters 30a-c.", "In FIG.", "8A, cluster 30a includes tracks 14a-14d, cluster 30b and tracks 14e-14h, and cluster 30c includes tracks 14i-14k.", "Cluster 30c may also include additional tracks 14 (not shown).", "For purposes of simplified illustration, FIG.", "8A depicts only clusters 30a-30c.", "However, touch sensor 10 may include additional clusters 30 using tracks 14 that are not used in the illustrated clusters 30.Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or junction described above with respect to FIGS.", "1, 2, and 3A-3C.", "FIG.", "8B illustrates an example interleaved cluster configuration that may be used in certain embodiments.", "In FIG.", "8B, cluster 30d includes tracks 14b, 14c, and 14e; cluster 30e includes tracks 14d, 14f-14g, and 14i; and cluster 30f includes tracks 14h, and 14j-14k.", "Clusters 30d and 30f may include additional tracks 14 (not shown).", "For purposes of simplified illustration, FIG.", "8B depicts only clusters 30d-30f.", "However, touch sensor 10 may include additional clusters 30 using tracks 14 that are not used in the illustrated clusters 30.Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, 3A-3C, 6A-6B, and 7A-7C.", "As used herein, “interleaved clusters” refers in clusters 30 having one or more tracks 14 interspersed within the outer boundary of another cluster 30 from a perspective orthogonal to the surface of touch sensor 10.Interleaved clusters may have any suitable number and/or arrangement of tracks 14 that are interleaved with the tracks 14 of another cluster 30.FIG.", "8B illustrates a particular pattern of interleaved clusters 30, and other embodiments may use any suitable interleaving patterns.", "Interleaving the edges of clusters 30 as shown in FIG.", "8B may provide improved linearity when measuring an object moving across the surface of touch sensor 10 while touching or hovering near device 2.Such embodiments may mitigate potential redactions in precision, resolution, and/or linearity (as described with respect to FIGS.", "4A and 4B) that might otherwise be created by clustering of tracks 14.In some embodiments, interleaved cluster configurations, such as the configuration illustrated in FIG.", "8B, may be triggered by one or more previous measurements.", "For example, one or more measurements from clusters 30 of FIG.", "8A may trigger the interleaved cluster configuration of FIG.", "8B.", "Such embodiments may allow touch sensor 10 to achieve improved precision, resolution, and/or linearity when certain conditions are detected.", "For example, interleaved configurations may be triggered when controller 12 detects sufficiently nonlinear readings of the position of object 34, which may indicate that measurements of the position of object 34 have sub-optimal linearity in detecting the movement of object 34.Furthermore, in some embodiments, interleaved cluster configurations may be used in conjunction with rolling clusters.", "For example, a rolling cluster may be used with the configuration shown in FIG.", "8B such that clusters 30d-f effectively “shift” along touch sensor 10, analogous to the “shifting” of clusters 30 illustrated in FIGS.", "6A-B and 7A-7C.", "In other embodiments, interleaved cluster configurations may be used in conjunction with the alteration of cluster sizes illustrated shown in FIGS.", "3A-C. For example, when object 34 is detected at shorter distances from touch sensor 10 (e.g., distance 36b of FIG.", "3B), smaller cluster sizes and non-interleaved clusters may be used, and when object 34 is detected at longer distances from touch sensor 10 (e.g., distance 36a of FIG.", "3B) larger cluster sizes and interleaved clusters may be used.", "Such embodiments may allow touch sensor 10 to target the use of linearity-improving configurations more precisely to situations in which the linearity of its sensing may be reduced.", "FIGS.", "9A and 9B illustrate an example switch network 90 that may be used to configure clusters 30 in certain embodiments of touch sensor 10 and controller 12.In the illustrated embodiment, touch sensor 10 includes panel 32 and tracks 14a-14k, which form clusters 30a-30c; and controller 12 includes switch network 90 and measurement channels 96.Panel 32, clusters 30, and tracks 14 may have any suitable structure, configuration, and/or function described above with respect to FIGS.", "1, 2, 3A-3C, 6A-6B, 7A-7C, and 8A-8B.", "Switch network 90 may include any number, arrangement, and/or configuration of switches that are operable to connect one or more tracks 14 to one or more measurement channels 96.Switch network 90 may be configured by controller 12 during the operation of touch sensor 10.For example, controller 12 may control switch network 90 to form the cluster patterns shown in FIGS.", "3A-C, 6A-6B, 7A-7C, and 8A-8B.", "Certain embodiments may use any suitable switch network 90, and the configuration shown in FIGS.", "9A-B is used merely as an example.", "For example, cluster patterns shown in FIGS.", "6A-6B and 7A-7C may be configured using switch network 90 shown in FIGS.", "9A-B, while the cluster pattern shown in FIG.", "8B may rely on a more complex switch network 90 to facilitate the configuration of interleaved clusters 30.In the illustrated embodiments, switch network 90 includes switches 92 and 94.Switches 92 and 94 may be any suitable circuitry operable to connect or disconnect one or more tracks 14 from a portion of controller 12.For example, switches 92 and 94 may be any appropriate form of mechanical and/or electrical switching device operable to conduct current in the on position and/or form an open circuit in the off position.", "As a particular example, switches 92 and 94 may be any appropriate form of transistor device, including field effect transistors (FETS).", "In some embodiments, switches 92 and 94 may be implemented using metal oxide-semiconductor FETS (MOSFETS), such as NMOS or PMOS transistors.", "The gates of switches 92 and 94 may be controlled via one or more control signals transmitted from controller 12.Switches 92 and 94 may be part of touch sensor 10 and/or controller 12, and touch sensor 10 and/or controller 12 may have any suitable number, type, and/or configuration of switches 92 and 94.Measurement channels 96 represent portions of controller 12 operable to receive and/or transmit signals to one or more tracks 14 to facilitate the taking of one or more capacitive measurements.", "Measurement channels 96 may include any components and may perform any measurement functionality described above with respect to controller 12 in FIG.", "1.In some embodiments, different measurement channels 96 may be physically distinct.", "In other embodiments, measurement channels 96 may be logically distinct while sharing one or more common physical components.", "For example, each measurement channel 96 may include distinct measurement circuitry, or one or more portions of measurement channels 96 may operate as a multiplexer, connecting one or multiple inputs to common measurement circuitry.", "Switches 92 may operate to galvanically connect the tracks 14 of a particular cluster 30, while switches 94 may operate to connect a particular cluster 30 to a particular measurement channel 96.For example, as shown in FIG.", "9A, switches 92a-92b are closed, and switch 92c is open, thereby galvanically connecting tracks 14a-c to form cluster 30.Furthermore, switch 94a is closed, and switches 94b-94c are open, thereby connecting cluster 30a to measurement channel 96a.", "Similarly, switches 92d-92e and switch 94d are closed to form cluster 30b and connect it to measurement channel 96d, and switches 92g-92h and switch 94g are closed to form cluster 30f and connect it to measurement channel 96g.", "Analogous configurations may be used to form additional clusters 30 and to connect them to their respective measurement channels 96.Furthermore, switches 94j-94k are open, thereby disconnecting tracks 14j-14k from any measurement channel 96.Such embodiments may be used to prevent tracks 14 that are not included in a cluster 30 from being measured, though this is not required.", "For example, other embodiments may connect tracks 14 that are not included in a cluster 30 to a measurement channel 96.As shown in FIG.", "9B, altering the state of switch network 90 may form different clusters 30 and/or connect clusters 30 to different measurement channels.", "FIG.", "9B illustrates a configuration of switch network 90 that may be used to form clusters 30d-30f.", "Such configurations may facilitate the “shifting” of clusters described above with respect to FIGS.", "6A-6B and FIGS.", "7A-7C, which may be used during rolling cluster sensing sequences.", "In the illustrated embodiment, switches 92a and 92d are opened and switches 92b-92c are closed to form cluster 30d.", "Furthermore, switch 94b is closed to connect cluster 30d to measurement channel 96b.", "Similarly, switches 92e-92f and switch 94e are closed to form cluster 30e and connect it to measurement channel 96e, and switches 92h-92i and switch 94h are closed to form cluster 30f and connect it to measurement channel 96h.", "Switch network 90 may therefore facilitate alterations of the configurations of clusters 30 to improve the sensitivity of touch sensor 10 and/or provide improved precision, resolution, and/or linearity when touch sensor 10 is detecting the movement of object 34.FIG.", "10 illustrates an example method for use with certain embodiments of touch sensor 10.Various embodiments may perform some, all, or none of the steps described below.", "Furthermore, certain embodiments may perform these steps in different orders or in parallel, and certain embodiments may also perform additional steps.", "Moreover, any suitable component of touch sensor 10 and/or controller 12 may perform one or more steps of the sensing sequence.", "At step 100, controller 12 connects tracks 14 to form a first cluster pattern.", "Tracks 14 may be connected galvanically, capacitively, or using any suitable method.", "The first cluster pattern may have clusters 30 of any suitable size, shape, and/or configuration.", "For example, the first cluster pattern may have the configurations shown in FIGS.", "6-9 or any suitable configuration.", "Furthermore, the first cluster pattern may include different types of clusters 30 and may include tracks 14 that are not part of a cluster 30.In some embodiments, the first cluster pattern may include interleaved clusters 30 with any suitable interleaving pattern.", "At step 102, voltage is applied to the clusters 30 of the first cluster pattern.", "Voltage may be applied to a cluster 30 by connecting it to a voltage source (e.g.", "a voltage supply rail), a current source, or any other suitable component.", "Voltage may be applied for a fixed amount of time or a variable amount of time.", "In some embodiments, a predetermined amount of charge may be applied to each cluster 30.The application of voltage to cluster 30 may be performed at least in part by controller 12.Voltage may also be applied to additional clusters 30, and multiple clusters 30 may be charged simultaneously.", "At step 104, controller 12 measures a plurality of first values.", "Each first value is associated with the capacitance of a respective cluster 30 of the first cluster pattern, and the first values may be measured simultaneously or sequentially.", "The first values may be measured using any suitable method described above with respect to FIG.", "1.Each first value may be a capacitance or it may be a measurement that is proportional to, related to, or indicative of the capacitance (or change in capacitance) experienced by a cluster 30.For example, the first value may be a voltage measurement, current measurement, timing measurement, any other suitable measurement, or any combination thereof that is measured under conditions that make the measured value indicative of the capacitance (or change in capacitance) experienced by cluster 30.Furthermore, certain embodiments may utilize additional circuitry (such as, for example, one or more integrators, amplifiers, capacitors, switches, audio-to-digital converters, and/or any other suitable circuitry) to perform and/or enhance such measurements.", "Certain embodiments may measure a value at a particular point in time, measure a change in a value over time, and/or perform any other suitable processing to facilitate the determination of a position of object 34 relative to touch censor 10.In certain embodiments, the determination of the first value may be performed at least in part by controller 12.The first values may be used to determine the cluster configuration, trigger one or more functions, estimate a position of object 34 relative to touch sensor 10, estimate a shape and/or size of object 34 (e.g., by analyzing the distribution of first values measured by multiple clusters 30), and/or perform any suitable function.", "At step 106, controller 12 connects tracks 14 to form a second cluster pattern.", "In some embodiments, the second cluster pattern may have one or more interleaved clusters 30, though this is not required.", "Tracks 14 may be connected galvanically, capacitively, or using any suitable method.", "The second cluster pattern may have clusters 30 of any suitable size, shape, and/or configuration.", "For example, the second cluster pattern may have the configuration shown in FIG.", "8B or any suitable configuration, or the second cluster pattern may have different sizes of clusters 30 and/or different interleaving patterns.", "Furthermore, the second cluster pattern may include different types of clusters 30 and may include tracks 14 that are not part of a cluster 30.For example, some clusters 30 may be interleaved while other clusters 30 are not, or different clusters 30 in the second cluster pattern may have different interleaving patterns from one another.", "Interleaved clusters 30 may provide improved precision, resolution, and/or linearity tor touch sensing measurements, including hover measurements.", "The formation of the second cluster pattern may occur in response to the first values.", "For example, one or more of the first values may trigger controller 12 to form interleaved clusters 30.Such embodiments may allow touch sensor 10 to achieve improved precision, resolution, and/or linearity when certain conditions are detected.", "For example, interleaved configurations may be triggered when controller 12 detects sufficiently nonlinear readings of the position of object 34, which may indicate that measurements of the position of object 34 have sub-optimal linearity in detecting the movement of object 34.In some embodiments, interleaved cluster configurations may be used in conjunction with the alteration of cluster sizes illustrated shown in FIGS.", "3A-C. For example, when object 34 is detected at shorter distances from touch sensor 10 (e.g., distance 36b of FIG.", "3B), smaller cluster sizes and non-interleaved clusters 30 may be used, and when object 34 is detected at longer distances from touch sensor 10 (e.g., distance 36a of FIG.", "3B) larger cluster sizes and interleaved clusters 30 may be used.", "Such embodiments may allow controller 12 to target the use of linearity-improving configurations more precisely to situations in which the linearity of its sensing may be reduced.", "In some embodiments, the second cluster pattern may include clusters 30 that are “shifted” configurations of the clusters 30 of the first cluster pattern.", "For example, the first and second cluster patterns may be cluster patterns used in a rolling cluster embodiment, as described above with respect to FIGS.", "6A-6B and 7A-7C.", "In such embodiments, each cluster 30 of the second cluster pattern may include one or more tracks 14 that were included in a primary cluster 30 of the first cluster pattern and one or more tracks 14 that were included in a secondary cluster 30 of the first cluster pattern.", "As used herein, “primary” and “secondary” does not indicate a greater or lesser level of importance.", "In some embodiments, each cluster 30 of the second cluster pattern may include more tracks 14 from the primary cluster 30 than from the secondary cluster 30.For example, for clusters 30 of size N, each cluster 30 of the second cluster pattern may include N-1 tracks 14 from the primary cluster and a single track 14 from the secondary cluster 30.Thus, the secondary cluster pattern may shift the first cluster pattern by one track 14.Other embodiments may utilize different amounts of shifting.", "For example, as shown in FIGS.", "6A-6B, clusters 30 may be shifted approximately to the halfway point of clusters 30.Such embodiments may provide a balance between (1) the benefits in precision, resolution, and/or linearity provided by using rolling clusters and (2) the costs of providing additional measurements, such as time, processing resources, or other costs.", "At step 108, voltage is applied to the clusters 30 of the second cluster pattern.", "The application of voltage to the second cluster pattern may utilize analogous processes to those described above for step 102.At step 110, controller 12 measures a plurality of second values.", "Each second value is associated with the capacitance of a respective cluster 30 of the second cluster pattern, and the second values may be measured simultaneously or sequentially.", "The second values may be measured using any suitable method described above with respect to FIG.", "1.Each second value may be a capacitance or it may be a measurement that is proportional to, related to, or indicative of the capacitance (or change in capacitance) experienced by a cluster 30.For example, the second value may be a voltage measurement, current measurement, timing measurement, any other suitable measurement, or any combination thereof that is measured under conditions that make the measured value indicative of the capacitance (or change in capacitance) experienced by cluster 30.Furthermore, certain embodiments may utilize additional circuitry (such as, for example, one or more integrators, amplifiers, capacitors, switches, audio-to-digital converters, and/or any other suitable circuitry) to perform and/or enhance such measurements.", "Certain embodiments may measure a value at a particular point in time, measure a change in a value over time, and/or perform any other suitable processing to facilitate the determination of a position of object 34 relative to touch sensor 10.In certain embodiments, the determination of the second value may be performed at least in part by controller 12.The second values may be used to determine the cluster configuration, trigger one or more functions, estimate a distance between object 34 and touch sensor 10, estimate a shape and/or size of object 34 (e.g., by analyzing the distribution of second values measured by multiple clusters 30), and/or perform any suitable function.", "At step 112, controller 12 determines a position of object 34 relative to touch sensor 10 based at least on the second values.", "For example, controller 12 may calculate a representative value for each track 14 based at least on the second values associated with clusters 30 that include that track 14.The representative values may approximate the capacitance or change in capacitance that would be experienced by each 14 in a non-clustered configuration.", "The representative values may therefore indicated the relative proximity of object 34 to each track 14.In some embodiments, the determination of the position of object 34 may also be based on one or more previously measured values, such as the first values.", "Furthermore, some embodiments may utilize one or more additional calculations, such as, for example, weighted averages, linear approximation, or any suitable technique.", "The measured position may be an estimation of where a portion of object 34 physically touches touch sensor 10.The measured position may also be an estimation of an orthogonal projection of a portion of object 34 onto touch sensor 10 (e.g., a hover position).", "In some embodiments, the determination of the distance between object 34 and touch sensor 10 may be performed at least in part by controller 12.Determining the position of object 34 as described herein may enable touch sensor 10 to provide improved sensitivity resulting from clustering tracks 14, as described above, while also mitigating potential reductions in precision, resolution, and/or linearity (as described with respect to FIGS.", "4A and 4B) that might otherwise be created by utilizing larger cluster sizes.", "Such embodiments may also improve the precision, resolution, and/or linearity of hover measurements.", "Herein, “or” inclusive and not exclusive, unless expressly indicated otherwise or indicated otherwise by context.", "Therefore, herein, “A or B” means “A, B, or both,” unless expressly indicated otherwise or indicated otherwise by context.", "Moreover, “and” is both joint and several, unless expressly indicated otherwise or indicated otherwise by context.", "Therefore, herein, “A and B” means “A and B, jointly or severally,” unless expressly indicated otherwise or indicated otherwise by context.", "This disclosure encompasses all changes, substitutions, variations, alterations, and modifications to the example embodiments herein that a person having ordinary skill in the art would comprehend.", "For example, while the embodiment of FIG.", "2 illustrates a particular configuration of tracks 14, any suitable configuration may be used.", "As another example, while FIGS.", "3A-3C, 4A, 5A, 6A-6B, 7A-7C, 8A-8B, and 9A-9B illustrate particular configurations of clusters 30, any suitable number, type, and configuration may be used.", "Furthermore, some embodiments may combine two or more of the techniques described herein, such as, for example, dynamically changing cluster sizes (as shown, for example, in FIGS.", "3A-3C), rolling clusters (as shown for example, in FIGS.", "6A-6B and 7A-7C), and interleaved clusters (as shown for example, in FIG.", "8B).", "As another example, while FIGS.", "3A-3C, 4A, 5A, 6A-6B, 7A-7C, 8A-8B, and 9A-9B depict a single layer of tracks 14, other embodiments may include multiple layers of tracks 14.As yet another example, while this disclosure describes certain touch-sensing operations that may be performed using the components of touch sensor 10 and controller 12, any suitable touch-sensing operations may be performed.", "Furthermore, certain embodiments may alternate between or combine one or more touch-sensing methods described herein.", "Moreover, although this disclosure describes and illustrates respective embodiments herein as including particular components, elements, functions, operations, or steps, any of these embodiments may include any combination or permutation of any of the components, elements, functions, operations, or steps described or illustrated anywhere herein that a person having ordinary skill in the art would comprehend.", "Furthermore, reference in the appended claims to an apparatus or system or a component of an apparatus or system being adapted to, arranged to, capable of, configured to, enabled to, operable to, or operative to perform a particular function encompasses that apparatus, system, component, whether or not it or that particular function is activated, turned on, or unlocked, as long as that apparatus, system, or component is so adapted, arranged, capable, configured, enabled, operable, or operative." ] ]
Patent_15875827
[ [ "WEARABLE RECORDING SYSTEM WITH MEMORY DESIGNATION", "A surveillance apparatus continuously records imaged data from a camera into a circular buffer in a local memory.", "When a record signal is received, the system records the video stream that was recorded before the record signal was received, and the video stream that is recorded after the record signal was received.", "The recorded segment is then write-protected, so that the surveillance apparatus does not overwrite the recorded segment.", "The recorded segment could then be sent to a remote memory via a wireless connection to free up local memory for future recording sessions." ], [ "1.A recording system comprising: a pair of eyeglasses having a sensor that captures sensor data; a first memory communicatively coupled with the sensor; and a recording facility configured to: record the sensor data in the first memory; in response to a trigger signal, designate for saving a portion of the sensor data that was captured prior to occurrence of the trigger signal; and automatically overwrite portions of the sensor data that were recorded in the first memory that were not designated for saving.", "2.The recording system of claim 1, further comprising copying the designated portion to a second memory.", "3.The recording system of claim 2, wherein the second memory comprises a different part of the first memory, 4.The recording system of claim 2, wherein designated portion is stored in the second memory using compression.", "5.The recording system of claim 2, further comprising copying to the second memory another portion the sensor data that was captured after occurrence of the trigger signal.", "6.The recording system of claim 2, further comprising copying to the second memory another portion the sensor data that was captured during occurrence of the trigger signal.", "7.The recording system of claim 1, further including with the designated portion, another portion of the sensor data that was captured after occurrence of the trigger signal.", "8.The recording system of claim 1, further comprising a sending facility configured to transmit the designated portion to a remote memory.", "9.The recording system of claim 1, wherein the sensor data comprises at least one of the following: image data and video data.", "10.The recording system of claim 1, wherein the first memory comprises a circular buffer.", "11.The recording system of claim 1, wherein the designated portion is stored using time compression.", "12.The recording system of claim 1, wherein the designated portion is stored using frame compression.", "13.The recording system of claim 1, further comprising a camera that includes the sensor interface, the first memory, and the recording facility.", "14.The recording system of claim 13, wherein the camera comprises a security camera.", "15.The recording system of claim 1, further comprising a cell phone including the sensor interface, the first memory, and the recording facility.", "16.The recording system of claim 1, further comprising a personal area network coupled with the protecting facility and including at least two of the following: the sensor interface, the first memory, and the recording facility.", "17.The recording system of claim 1, wherein the first memory is configured to record the designated portion of the sensor data in a file.", "18.The recording system of claim 1, wherein the first memory is configured to record the designated portion of the sensor data in a single file.", "19.The recording system of claim 1, wherein the trigger signal represents detection of at least one of the following: a motion, a sound, an image, content in a data stream, a pattern in the sensor data, a person, a human face, a computer screen, an event, a verbal command, operation of switch, and operation of a button.", "20.The recording system of claim 1, further comprising the recording facility is configured to write-protect the designated portion as a write-protected portion in the first memory.", "21.The recording system of claim 20, further comprising the recording facility is configured to free the write-protected portion following transmission of the designated portion.", "22.The recording system of claim 1, wherein the recording facility is configured to index in the first memory the designated portion.", "23.The recording system of claim 1, wherein the recording facility is configured to index the designated portion while the recording facility is still recording the sensor data into the first memory.", "24.The recording system of claim 1, wherein the recording facility records the sensor data continuously, from before the trigger signal, through a pre-designated time period, and after the pre-designated time period." ], [ "<SOH> II.", "BACKGROUND <EOH>Conventional camcorders use a variety of storage formats including digital video cassettes, mini-DV cassettes, or DVD-R discs that offer a limited amount of memory to store data.", "One of the major shortcomings of the existing camcorder technology is that the memory runs out too soon.", "Once a portion of the memory has been recorded, there is less memory available for further recording.", "Then when the memory is full, users have to return to their home/work computer or media station to transfer the recorded data from the camera to free up the memory.", "This creates inconvenience and inflexibility for recording on the go.", "WO 2006/044476 to Vanman describes an electronic camera mounted to a police vehicle with a circular buffer that is constantly recording.", "When the camera reaches the end of the memory it records from the beginning of memory, erasing the earliest recorded data.", "Such technology is often employed with surveillance cameras for long and continuous recording with little or no supervision.", "However, Vanman does not distinguish or differentiate any data that is of importance.", "To save data in the buffer, the user of the Vanman device needs to transfer important portions of the buffer wirelessly to a central office or physically to a DVD disc, or else risk having the interesting data be recorded over during loop recording.", "Such transfers are processor intensive and may not be possible when the central office is out of range or an empty DVD disc is unavailable.", "Vanman and all other extrinsic materials identified herein are incorporated by reference in their entirety.", "Where a definition or use of a term in an incorporated reference is inconsistent or contrary to the definition of that term provided herein, the definition of that term provided herein applies and the definition of that term in the reference does not apply.", "EP 1064783 to Mann describes a camera mountable to a pair of eyeglasses that continuously saves recorded images to a circular buffer in a computer on the user's waist.", "When a user wants to save a piece of recorded video, the user must stop recording and offload the data at another location before the user can record again.", "Mann also discusses streaming the images directly to a wireless memory that may have a larger capacity; however, this means that the camera does not work in the absence of a wireless connection.", "U.S. Pat.", "No.", "5,523,799 to Hattori et al.", "also describes storing data in a memory having an archival portion.", "However, Hattori fails to address sending data to a remote memory.", "Thus, there is still a need for a recording camera that provides better recording and editing functions." ], [ "<SOH> III.", "SUMMARY OF THE INVENTION <EOH>The present invention provides apparatus, systems, and methods in which a surveillance apparatus processes images by (1) continuously recording a stream of imaged data, (2) write protecting segments of the recorded stream, and (3) sending write protected segments from a local memory to a remote memory using a wireless transmitter.", "The surveillance apparatus generally has a camera functionally coupled to a local memory with a circular buffer.", "As used herein, the term “a local memory functionally coupled to the camera” means that the memory that is distanced less than 20 cm from the camera, and is coupled to the camera using entirely physical connectors (e.g., wires, pins, conductive paths, etc.).", "This contrasts with a “remote memory functionally coupled to the camera”, which would be a memory that is distanced from the camera by at least 20 cm, or is coupled to the camera using a wireless connection.", "The circular buffer is preferably organized into a series of memory segments that loops back on itself and is organized into both available segments and write-protected segments.", "This allows a recording facility to record the data stream available in portions of the circular buffer while skipping over write-protected matter.", "Preferably, the local memory is large enough to store at least 5 or 10 minutes of imaged data.", "Preferably, the recording facility continuously records the data stream into the circular buffer any time the surveillance apparatus is powered on.", "As used herein, the term “continuous” with respect to recording a video data stream means that data is recorded at least every half second over a given ten second period.", "When a signal to record is sent to the surveillance apparatus, a protecting facility designates a segment of the circular buffer to be write-protected to prevent that segment from being overwritten during the next recording loop.", "Part of the write-protected portion could be a pre-recorded subset that is recorded before the signal is received, while part of the write-protected portion could be a post-recorded subset that is recorded after the signal is received.", "The pre-recorded subset could be as long as 5 seconds, 10 seconds, 30 seconds, or more.", "Preferably, various aspects of both the pre-recorded subset and the post-recorded subset can be configured with a user interface.", "While the write-protected portions could be electronically indexed using a memory heap or a clustered index, the write-protected portions are preferably stored as separate files in the memory.", "Such files could be stored in physically dis-contiguous parts of the circular buffer.", "Additionally, the indexing is preferably performed while the recording facility is still recording data into the memory.", "The signal to record is preferably automatically triggered by a sensor that detects an image in the camera or a sound by the camera's microphone.", "For example, the signal to record could be triggered by a human face, an open book, a computer screen, a whistle, a verbal command, a recognized object, or a pattern of knocks.", "Alternatively, a user interface could allow a human user to manually send the signal to record and could even allow the human user to designate a length of time of the pre-recorded subset or the post-recorded subset.", "A user could send two signals, one to start recording and another to stop recording, but preferably the user merely sends a signal to start recording for a specified period of time.", "In an exemplary embodiment, when the specified period of time has almost expired, say, for example, 5 seconds, 10 seconds, or longer, the user could extend the length of specified time by sending another trigger to the surveillance apparatus.", "For example, the surveillance apparatus could send a warning 10 seconds before it is scheduled to stop recording by vibrating against the user; in response the user could say “don't stop” or merely cough to extend the length of the write-protected portion of memory.", "While the surveillance apparatus could be a mounted on a hand-held video camera, the surveillance apparatus is preferably a small device that can be mounted inconspicuously on a person.", "For example, contemplated surveillance apparatus mounts are a pair of wearable sunglasses or eyeglasses, a lapel pin, a collar button, a hat or visor, a hair pin, or a front of a purse.", "Since the surveillance apparatus is typically too small to house a large amount of memory, the surveillance apparatus also preferably has a wireless transmitter that is also functionally connected to the local memory.", "A sending facility uses the wireless transmitter to transmit write-protected portions of the circular buffer to a remote memory that is not physically connected to the local memory in some way.", "For example, the wireless transmitter could send write-protected portions of the circular buffer to a mobile phone, or the surveillance apparatus could be plugged into a mobile phone which is then used as a wireless transmitter to forward a write-protected portion to another remote memory.", "In a preferred embodiment, after a write-protected portion of the circular buffer is transferred to a remote memory, the protecting facility could remove the corresponding portion from protection so that the recording facility could use that portion to record data.", "Various objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of preferred embodiments of the invention, along with the accompanying drawings in which like numerals represent like components." ], [ "This application is a continuation of U.S. patent application Ser.", "No.", "15/279155 filed on Sep. 28, 2016 (pending), which is a continuation of U.S. patent application serial no.", "13/790553 filed on Mar.", "8, 2016 (now issued as U.S. Pat.", "No.", "9,485,471), which is a continuation of U.S. patent application Ser.", "No.", "12/560584, filed Sep. 16, 2009 (now issued as U.S. Pat.", "No.", "8,692,882), which is a continuation of U.S. patent application Ser.", "No.", "12/268286, filed Nov. 10, 2008 (now issued as U.S. Pat.", "No.", "7,593,034) which is a continuation-in-part of U.S. patent application Ser.", "No.", "11/770920, filed Jun.", "29, 2007 (now issued U.S. Pat.", "No.", "8,310,540), which claims the benefit of U.S. provisional patent 60/824097, filed Aug. 31, 2006.This application and Ser.", "No.", "12/268286 are also continuations-in-part of U.S. patent application Ser.", "No.", "11/846217, filed Aug. 28, 2007, which claims the benefit of U.S. provisional patent 60/824095, filed Aug. 31, 2006.These and all other referenced extrinsic materials are incorporated herein by reference in their entirety.", "Where a definition or use of a term in an incorporated reference is inconsistent or contrary to the definition of that term provided herein, the definition of that term provided herein applies and the definition of that term in the reference does not apply.", "I.", "FIELD OF THE INVENTION The field of the invention is video camera recording technology.", "II.", "BACKGROUND Conventional camcorders use a variety of storage formats including digital video cassettes, mini-DV cassettes, or DVD-R discs that offer a limited amount of memory to store data.", "One of the major shortcomings of the existing camcorder technology is that the memory runs out too soon.", "Once a portion of the memory has been recorded, there is less memory available for further recording.", "Then when the memory is full, users have to return to their home/work computer or media station to transfer the recorded data from the camera to free up the memory.", "This creates inconvenience and inflexibility for recording on the go.", "WO 2006/044476 to Vanman describes an electronic camera mounted to a police vehicle with a circular buffer that is constantly recording.", "When the camera reaches the end of the memory it records from the beginning of memory, erasing the earliest recorded data.", "Such technology is often employed with surveillance cameras for long and continuous recording with little or no supervision.", "However, Vanman does not distinguish or differentiate any data that is of importance.", "To save data in the buffer, the user of the Vanman device needs to transfer important portions of the buffer wirelessly to a central office or physically to a DVD disc, or else risk having the interesting data be recorded over during loop recording.", "Such transfers are processor intensive and may not be possible when the central office is out of range or an empty DVD disc is unavailable.", "Vanman and all other extrinsic materials identified herein are incorporated by reference in their entirety.", "Where a definition or use of a term in an incorporated reference is inconsistent or contrary to the definition of that term provided herein, the definition of that term provided herein applies and the definition of that term in the reference does not apply.", "EP 1064783 to Mann describes a camera mountable to a pair of eyeglasses that continuously saves recorded images to a circular buffer in a computer on the user's waist.", "When a user wants to save a piece of recorded video, the user must stop recording and offload the data at another location before the user can record again.", "Mann also discusses streaming the images directly to a wireless memory that may have a larger capacity; however, this means that the camera does not work in the absence of a wireless connection.", "U.S. Pat.", "No.", "5,523,799 to Hattori et al.", "also describes storing data in a memory having an archival portion.", "However, Hattori fails to address sending data to a remote memory.", "Thus, there is still a need for a recording camera that provides better recording and editing functions.", "III.", "SUMMARY OF THE INVENTION The present invention provides apparatus, systems, and methods in which a surveillance apparatus processes images by (1) continuously recording a stream of imaged data, (2) write protecting segments of the recorded stream, and (3) sending write protected segments from a local memory to a remote memory using a wireless transmitter.", "The surveillance apparatus generally has a camera functionally coupled to a local memory with a circular buffer.", "As used herein, the term “a local memory functionally coupled to the camera” means that the memory that is distanced less than 20 cm from the camera, and is coupled to the camera using entirely physical connectors (e.g., wires, pins, conductive paths, etc.).", "This contrasts with a “remote memory functionally coupled to the camera”, which would be a memory that is distanced from the camera by at least 20 cm, or is coupled to the camera using a wireless connection.", "The circular buffer is preferably organized into a series of memory segments that loops back on itself and is organized into both available segments and write-protected segments.", "This allows a recording facility to record the data stream available in portions of the circular buffer while skipping over write-protected matter.", "Preferably, the local memory is large enough to store at least 5 or 10 minutes of imaged data.", "Preferably, the recording facility continuously records the data stream into the circular buffer any time the surveillance apparatus is powered on.", "As used herein, the term “continuous” with respect to recording a video data stream means that data is recorded at least every half second over a given ten second period.", "When a signal to record is sent to the surveillance apparatus, a protecting facility designates a segment of the circular buffer to be write-protected to prevent that segment from being overwritten during the next recording loop.", "Part of the write-protected portion could be a pre-recorded subset that is recorded before the signal is received, while part of the write-protected portion could be a post-recorded subset that is recorded after the signal is received.", "The pre-recorded subset could be as long as 5 seconds, 10 seconds, 30 seconds, or more.", "Preferably, various aspects of both the pre-recorded subset and the post-recorded subset can be configured with a user interface.", "While the write-protected portions could be electronically indexed using a memory heap or a clustered index, the write-protected portions are preferably stored as separate files in the memory.", "Such files could be stored in physically dis-contiguous parts of the circular buffer.", "Additionally, the indexing is preferably performed while the recording facility is still recording data into the memory.", "The signal to record is preferably automatically triggered by a sensor that detects an image in the camera or a sound by the camera's microphone.", "For example, the signal to record could be triggered by a human face, an open book, a computer screen, a whistle, a verbal command, a recognized object, or a pattern of knocks.", "Alternatively, a user interface could allow a human user to manually send the signal to record and could even allow the human user to designate a length of time of the pre-recorded subset or the post-recorded subset.", "A user could send two signals, one to start recording and another to stop recording, but preferably the user merely sends a signal to start recording for a specified period of time.", "In an exemplary embodiment, when the specified period of time has almost expired, say, for example, 5 seconds, 10 seconds, or longer, the user could extend the length of specified time by sending another trigger to the surveillance apparatus.", "For example, the surveillance apparatus could send a warning 10 seconds before it is scheduled to stop recording by vibrating against the user; in response the user could say “don't stop” or merely cough to extend the length of the write-protected portion of memory.", "While the surveillance apparatus could be a mounted on a hand-held video camera, the surveillance apparatus is preferably a small device that can be mounted inconspicuously on a person.", "For example, contemplated surveillance apparatus mounts are a pair of wearable sunglasses or eyeglasses, a lapel pin, a collar button, a hat or visor, a hair pin, or a front of a purse.", "Since the surveillance apparatus is typically too small to house a large amount of memory, the surveillance apparatus also preferably has a wireless transmitter that is also functionally connected to the local memory.", "A sending facility uses the wireless transmitter to transmit write-protected portions of the circular buffer to a remote memory that is not physically connected to the local memory in some way.", "For example, the wireless transmitter could send write-protected portions of the circular buffer to a mobile phone, or the surveillance apparatus could be plugged into a mobile phone which is then used as a wireless transmitter to forward a write-protected portion to another remote memory.", "In a preferred embodiment, after a write-protected portion of the circular buffer is transferred to a remote memory, the protecting facility could remove the corresponding portion from protection so that the recording facility could use that portion to record data.", "Various objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of preferred embodiments of the invention, along with the accompanying drawings in which like numerals represent like components.", "IV.", "BRIEF DESCRIPTION OF THE DRAWING FIG.", "1 is a camera mounted on a pair of glasses connected to a circular buffer in a local memory that is controlled by a ring.", "FIG.", "2A and 2B show user interface buttons for the ring of FIG.", "1.FIG.", "3 shows a diagram representation of the circular buffer of FIG.", "1.FIG.", "4A-4H show representations of the circular buffer of FIG.", "1 while the surveillance apparatus is in use.", "V. DETAILED DESCRIPTION In FIG.", "1, an example surveillance apparatus 100 generally comprising eyeglasses 110 having a camera 120 mounted at the nose bridge, and an optional viewfinder 130 applied onto one of the lenses.", "A data and power cord 140 couples the camera 120 to a belt-worn recorder 160, which cooperates with a ring-shaped signaling device 150 and a remote memory 170.Those skilled in the art will appreciate that the term “surveillance” is used herein in its broadest possible sense, to include not only professional or commercial types of surveillance, but also any other type of observation, including for example an ordinary person watching a baseball game or birthday party.", "The eyeglasses 110 are used here euphemistically to represent any type of camera mount.", "In a preferred embodiment, the camera mount is disguised to be a common object worn by a person or lying around a house, but could also be shaped and sized to look like a camera.", "Contemplated mounts include lapel pins, hair clips, shirt buttons, purses, staplers, desk clocks, tripods, head gear, hand grips, or security camera mounts.", "As used herein the term “camera” means any device or collection of devices that includes an image capturing component that captures image data, and a converting component that converts the image data into digital data, possibly at least one data stream.", "An image capturing component could include one or more charge-couple devices (CCD) complimentary metal-oxide-semiconductors (CMOS), CMOS focal plane arrays (CFP), radiometers, or other manners of image obtaining devices.", "Camera 120 should be interpreted euphemistically to include all such variants.", "Likewise, a suitable converting component could include one or more analog-to-digital converters, software codecs, or integrated circuits.", "Camera 120 contains an imager lens 122 used to focus images for camera 120.Conventional lenses allow one or more of the following adjustments: aperture (to control the amount of light), zoom (to control the field-of-view), or shutter speed (to capture continuous motion.).", "In preferred embodiments, these adjustments are automatically controlled by the camcorder electronics, generally to maintain constant exposure onto the imager without the manual adjustment from a user.", "It is contemplated that the preferred embodiments offer direct user control of all major optical functions.", "As with most modern digital recorders and camcorders, an analog-to-digital (ADC) converter is used to digitize the analog imager waveform output into a discrete digital-video signal.", "Thus, the recorder can be used to record analog or digital formats.", "Viewfinder image lens 130 is calibrated to have the same field of view as camera 120.Preferably, viewfinder image lens 130 is embedded in between the two glass lenses of eyeglasses 110.Preferably viewfinder image lens 130 is a zoom lens with preferred standard zoom ration of 3× in or out of the focal length of the camera.", "A more sophisticated viewfinder image lens 130 is contemplated to have a greater zoom range and also has nighttime vision or is able to render images under different light conditions.", "Within the lenses, viewfinder image lens 130 is outlined by tinted area or graticle and the outline graticle is embedded with glowing fiber optic filament.", "Eye-glasses are made preferably from opaque, translucent, or possibly photo gray-type tint of glasses.", "Alternatively, glasses change color slightly when energized.", "Where the camera is a hand-held camera and is not embedded in a pair of eyeglasses, viewfinder image lens 130 can be permanently fixed to camera 120, or it can be interchangeable with lenses of different focal lengths and other properties depending on the need and circumstances of use.", "Recorder 160 is any recording device that records video and/or audio/video data, including conventional recorders.", "Recorder 160 preferably includes a processor 162 with software or hardware that accomplishes the functions described herein, or one or more physical memories that are collectively referred to herein as memory 166.Typically, the recorder or the camera converts analog images into a digital format to be stored in the memory, or also preferably records both audio and video input analog signals from the camera to the memory.", "Those skilled in the art will also appreciate that the concepts described herein with respect to video and/or audio/video data can be applied to recordation of purely audio, or in fact any other type of data stream; this specification should be interpreted as expressly including such application.", "A wearer could clip recorder 160 as a belt clip or another compact device.", "Contemplated recorders include MP3 players, purses, or buttons.", "It is also contemplated that recorder 160 could also comprise a cell or mobile phone having a suitable recorder application installed that is configured to communicate with the other components of apparatus 100.Although not expressly shown, recorder 160 also includes an appropriate power supply or connection, supporting electronics, or a display or other interface.", "The power source can vary considerably.", "For example, in embodiments where the recorded is relatively large, a suitable power source can include a rechargeable battery.", "On the other hand, when the recorder is relatively small, an appropriate power source can include an external power source (e.g., transformer for wall outlet or cigarette lighter adapter).", "Whether embodied in control buttons or in some other manner, it is also contemplated that recorder can include some sort of security control requiring a password and some sort of automatic turnoff feature that activates after a certain amount of inactivity.", "Authentication or authorization of user access provides for ensuring a proper user of apparatus 100 retains desired control.", "For example, once a user is authenticated, the user can control indexing, editing, transmitting, or adjusting content data in memory.", "Recorder 160 would typically record images in digital format, but analog or combination formats are also contemplated.", "Preferably, the recorder's electronics are preferably all on a unitary printed circuit board with different functions, or facilities, encoded on a programmable chip or disk.", "For example, a recording facility 163 could record the data stream from camera 120 to local memory 166, a protecting facility 164 could protect segments (not shown) of recorded data on memory 166, or a sending facility 165 could transmit protected segments (not shown) of recorded data to remote memory 170.While the different facilities are preferably part of a single hardware or software program, the facilities could be split into different components as necessary.", "Other facilities could be installed, for example a facility that allows editing of the received image data or protected data.", "It is contemplated that such facilities could be purchased through or installed on a cell phone, possibly through an on-line application store.", "The contemplated memory could vary depending on the particular configuration of the recorder.", "Particularly suitable memories include commercially available micro hard drives with a 1-inch disc (which can preferably have a capacity of more than 1 GB), flash memory cards with a capacity of up to 128 MB and more, or other transient and/or permanent memory units.", "Likewise, suitable memory can also include SDRAM, MRAM, racetrack memory, SIMM, DIMM, etc.", "with a capacity of at least 32 MB, more preferably at least 64 MB, or most preferably above 128 MB.", "In preferred embodiments, memory 166 is a SDRAM capable of storing at least 1000 frames of data.", "Once received by memory 166, frames are preferably compressed by employing conventional compression rates and methods to achieve at least a 50:1 ratio.", "In preferred embodiments, image data received by recorder 160 is stored in a circular buffer 167 on memory 166.Preferably, all of the free space in memory 166 is used to create circular buffer 167, however, multiple circular buffers could be created in the memory where there exist multiple cameras connected to a single recorder.", "Once the recorder is turned on, image data is continuously stored in circular buffer 167 for access.", "If no record or protect button is pushed, the recorder will loop back and store the image data for a said length of time.", "Recording, book-marking and protecting are used synonymously herein.", "Recording, book-marking and protecting means that a portion of the imaged data is write-protected upon an activation of a signal by a user and can not then be overwritten unless the write-protection is later removed.", "Consequently, protected data cannot be overwritten by newly stored imaged data in the circular buffer.", "The circular buffer is preferably large enough to store at least 3 minutes of data, more preferably 5 minutes and most preferred 10 minutes of data.", "However, it is contemplated that depending on the size and capacity of the device, any length longer or shorter is possible.", "It is preferred when the recorder is turned on the entire circular buffer is treated and stored as a single file.", "Thus, if no recording is protected or bookmarked, then the entire file will be erased and the recording will start at the beginning of the circular buffer.", "Signaling device 150 is shown in the figure as a ring that communicates wirelessly (e.g., BlueTooth, Skinplex, wireless USB, 802.11, 802.15, UWB, Z-Wave, IrDa, Zigbee, etc.)", "with the recorder, possibly as part of a personal area network (PAN).", "The ring can have any suitable interface, shown in FIGS.", "2A and 2B, including for example buttons on its outer periphery, or a sensor along its inner edge.", "In FIG.", "2A, ring 150 has user interface 200, with a start signal button 210, a stop signal button 220, a transmit button 230, a zoom in button 240, or a zoom out button 250.Alternatively, the buttons could have decorative symbols in lieu of words of function as shown in FIG.", "2B, or could even have arbitrary symbols surrounding the entirety of signaling device 150.These buttons allow a user to manipulate and control image data and to generate protected data.", "In an alternative embodiment, turning the ring in one direction might produce a start signal, and continuing to turn the ring in that direction might extend backwards the time period that is protected.", "Where a default time period for storing pre-start signal data is used, extending the time can be considered overriding the default.", "Similarly, turning the ring in the opposite direction might produce a stop signal, and where a default time period for storing post-start signal data is used, extending the time can be considered overriding that default.", "Electronics for such a ring or other control device are readily available, and for example are similar to those used in key fobs.", "Indeed, signaling device 150 should be interpreted euphemistically to include key fob type device.", "It is contemplated that the signaling device could be integrated into other items as an accessory and that is wearable on an individual, for example a lapel pin or a pen within a pocked protector.", "It is also contemplated that the switch could be portable or can be hidden or attached to other devices such as a vehicle, furniture, etc.", "The signal could also be generated in non-tactile ways, for example by an audio command or whistle, or by an automatic sensor.", "Preferably, the user could configure the audio signal to match only his/her voice.", "At any moment, the user can signal recorder 160 to place a “bookmark” in the recording.", "This signal can be sent by pressing a button that will then transmit a signal through wires 140 that attach to the glasses then to the recorder.", "The wires 140 could be fiber optic wires that are small and thin to avoid detection.", "In an exemplary embodiment, the user could control the entire system using signaling device 150, for example by turning the camera on and off, recording a portion of image data, stop the recording, zooming in and out of image data; or transmitting protected data into the remote memory 170.While remote memory 170 is shown in the figure as a mobile or cell phone, remote memory 170 should be interpreted euphemistically to include any memory that is not connected to surveillance apparatus 100, for example, a DVD writer, a wireless network attached storage (NAS) device, a wireless storage area network (SAN) device, a home computer, or even a second surveillance apparatus.", "Preferably, sending facility 165 sends protected segments of circular buffer 167 wirelessly to remote memory 170 whenever remote memory 170 is within range of wireless transceiver 169, possibly as part of a PAN using one or more wireless communication technologies (e.g., BlueTooth, Skinplex, wireless USB, 802.11, 802.15, UWB, Z-Wave, IrDa, Zigbee, etc.).", "However, sending facility 165 could also respond to manual commands, for example through a button on signaling device 150, recorder 160, or the mobile phone itself.", "In an alternative embodiment, the mobile phone does not act as a remote memory depository, and instead forwards the protected segment to a remote memory via a mobile internet or wi-fi connection.", "Preferably, once protected data is transferred to a remote memory, the protected memory areas then will go back to become free memory areas that are available for further loop recording.", "Write-protected data could be sent to the remote memory by an image sensor that outputs data directly in “block” format typically converted with a raster-to-block converter.", "Further compression could be used before transmitting the data if wireless bandwidth is an issue.", "Either DCT or wavelet transformed data on native sensor formats could be used.", "The data is preferably transmitted via the Internet, but could also be transmitted using radio waves, a pager, a two-way pager, a physical cable, email, text message, picture message, or any other suitable communication mechanism.", "FIG.", "3 shows a diagram representation of circular buffer 167 in memory 166, with unprotected segment 320 and write-protected segment 330.Write-protected segment 330 is characterized as a predefined portion of the recording data.", "The recording facility 163 continuously records the data stream 310 into circular buffer 167.The recording facility 163 records over unprotected segment 320 of circular buffer 167, while skipping over protected segments 330 of circular buffer 167.It is contemplated that the portion of the circular buffer that is marked as write-protected data cannot be overwritten once the recorder loops back to the beginning of the media.", "FIGS.", "4A-4H show an alternative diagram representation of a circular buffer 300 as it is being used.", "In FIG.", "4A the recording facility (not shown) records data stream “A” into circular buffer 400.The “ ” character is used to designate blank memory, or unprotected memory that can be overwritten.", "The ellipsis “.", ".", ".", "” is used to show that the memory can be very large relative to the number of positions shown in the figure.", "It is contemplated, for example, that memory 400 can be up to several hundred megabytes, 1 GB, 2 GB, 4 GB, 8 GB, or even more.", "Such memory is preferably of a flash type, non-volatile RAM, preferably in the form of a standard flash memory card.", "In a preferred embodiment, circular buffer 400 comprises a logical representation of a physical memory area.", "Buffer 400 can be configured to store data in the physical memory by taking into account wear-leveling across the physical memory.", "In FIG.", "4B the protecting facility (not shown) has protected a recent period of data stream “A” as a function of a start signal, shown by the character “I”.", "The start signal can be triggered in any suitable manner, including for example manual operation of a trigger or a switch by a user.", "For example, the ring of FIG.", "1 could be used as a switch, or any other wearable accessory could have a switch integrated into it.", "In other examples, start signals can be triggered by detection of a particular circumstance by a motion, sound or other sensor.", "In an exemplary system, software can operate upon content in the data stream, such as by monitoring an entrance to a building using a data stream received from one or more cameras, and could then send a start signal when the image shows a person loitering in a certain area of the screen.", "The “[” character is used to designate the start of protected memory.", "Concomitantly, the portion of the data stream prior to the protected portion has once again been marked “_” to show that it is unprotected.", "In protecting recent data, the system can protect a default historical time period before the start signal is sent and protect a default period after the start signal is sent (such as 30 seconds, 2 minutes, etc).", "All suitable defaults are contemplated.", "Alternatively, the historical time period being protected could be altered in some manner, such as by software or by a user operating a history button.", "For example, if a user hits a start button, the system might default to protecting the last 30 seconds and the next 60 seconds; or it might protect the last 15 seconds and the next 2 minutes.", "Preferably, before the protecting facility stops protecting data, the system sends a signal to the user that the system is about to stop write-protecting data.", "For example, the system could send an audio chirp signal, or in the case of a pair of eyeglasses, a portion of the glasses could slightly vibrate to signal that the system will stop recording in 10 seconds.", "If the user wishes to protect an additional 60 second time period, the user could hit a “more time” button.", "In this manner a user could protect a 5 or 10 minute period, or an even much longer portion of a data stream prior to a start signal.", "Indeed, if memory 400 is interpreted as a multi gigabyte flash memory, it is entirely possible that one could back up sufficiently to protect an hour or more of such a data stream.", "In FIG.", "4C the protecting facility has received a “stop” signal some time after receiving the start signal, thereby lengthening the protected portion of the “A” data stream.", "The stop signal is shown as character “]” and could be automatically generated from software or hardware as a function of a default time after the start signal, or could be manually sent by the user of the system.", "All suitable defaults are contemplated, including for example a 30 second or 1 minute time period.", "Stop signals could also be generated in a variable fashion, again as a function of software or hardware, through action of a user, or in any other suitable manner.", "Thus, a user can well operate a start button or other switch, a more time switch, and a stop switch, which could have the same or different interfaces.", "It is also contemplated that the system could recognize a user's verbal commands for these functions.", "Just as the system can utilize a default pre-start signal period of time for which a portion of the data stream is stored unless overridden in some manner, contemplated systems can utilize a default post-start signal period of time for which a portion of the data stream is stored unless overridden in some manner.", "Using defaults for both pre-start and post-start signal periods allows a system to operate with an extremely high level of user convenience.", "For example, in one contemplated class of systems using such defaults, a user could operate a single button, ring or other interface to initiate a start signal, and the system could respond by automatically storing a default 60 second portion of a data stream prior to the signal, as well as a default 30 time period of the data stream after the signal.", "And all of that could be accomplished with a single operation of the button.", "An interface could also be provided to allow the user to alter the length of time for the pre-recorded portion and the length of time for the post-recorded portion.", "As should be apparent from the description above, all reasonable the default time periods are contemplated.", "In FIG.", "4D, the system has finished protecting the desired portion of the “A” data stream, and the recording facility continues to store a “B” data stream into circular buffer 400.Of course, the “B” data stream can be contiguous with the “A” data stream, so that differentiation of “A” and “B” streams is merely an artifact of how the data is being stored.", "For example, if a system included an eyeglasses camera being used at baseball game, the wearer might watch the game for an hour or more without anything of interest happening.", "During all that time the system would record image frames from the camera, looping in memory if the memory had remaining capacity for less than an hour of images.", "When finally the wearer sees an interesting play that he wants to protect, he operates a start button, or perhaps a more time button to protect the previous minute of play.", "Once the interesting action has completed, he operates a stop button, which thereby causes the system to complete protecting the entire “A” period of interest.", "But the wearer continues watching the game, and the subsequent images, continuous with the “A” images, would be considered “B” images.", "In some embodiments, the wearer can select to have more of previously recorded data to be protected.", "For example, once the wearer operates the start button, the wearer could also indicates that additional previously recorded data should be protected (e.g., past 10 seconds, 30 seconds, one minute, five minutes, etc.)", "while new image data is being stored and protected.", "In FIG.", "4E the system has again received a start signal, and has again protected a fixed or variable historical time period.", "In FIG.", "4F the system has received a stop signal, and has protected the entire desired portion of the “B” data stream.", "In FIG.", "4G the system has continued to record data, which is now depicted as data stream “C” because it follows data stream “B”.", "This figure is particularly significant in that recordation of the “C” data stream skips over the protected portion of the “A” data stream.", "In FIG.", "4H the system received another start signal, and has protected a desired portion of the “C” data stream that straddles the protected “A” stream.", "As shown, the C data stream is saved as a physically dis-contiguous file.", "In a preferred embodiment, segments of recorded data are “write-protected” by being indexed in an electronic index.", "This scheme allows the system to protect previously recorded areas of memory without interrupting any concurrent recording, and further allows the system to easily locate such protected segments for play-back or offloading to a remote memory.", "Additionally, this allows the system to keep track of multiple fragments dis-contiguous fragments of a single write-protected segment.", "The electronic index is preferably a hash table or a clustered index, but other methods of addressing memory are also suitable.", "Protected data can be copied to a memory separate from the circular buffer, for example in a separate file within a local memory.", "Multiple segments of protected data could thus be stored as a series of protected files in a directory structure.", "In an exemplary embodiment, as soon as the data is designated in the index as being protected, the recorder searches for a remote memory in range, and immediately copies the protected data from the circular buffer to the remote memory.", "Once confirmation of copying is received, the index can be updated to identify the previously protected portion of the circular buffer as being non-protected.", "As previously discussed, a sending facility uses a wireless transmitter to transmit write-protected data to a remote memory.", "One should note that there are many additional aspects of the inventive subject matter surrounding transmitting the data to the remote memory.", "In some embodiments a surveillance apparatus participates in a PAN with other components including the remote memory.", "In such embodiments the apparatus can discover other components of the system, including the remote memory or signaling devices, by sending message over one or more suitable protocols (e.g., BlueTooth, Skinplex, wireless USB, 802.11, 802.15, 802.16, UWB, Z-Wave, IrDA, Zigbee, etc.).", "Component discovery can be via a push where the apparatus sends a discovery message over a protocol to remote components or via a pull where the remote components send a discovery message to the apparatus.", "The components of the system can respond with a discovery response message.", "Preferred discovery or discovery response messages comprise characteristics of the various responding components including buffer size, read/write rates, authentication, authorized command sets, or other parameters.", "For example, the surveillance apparatus could send a discovery message out to discover any suitable remote memories in the PAN where the message is encapsulated in a BlueTooth protocol packet.", "A cell phone could respond by allocating a memory area to operate as a remote memory and by sending a discovery response message back to the apparatus describing the amount of memory available.", "Such an approach provides for creating surveillance apparatus products that are able to operate across multiple cell phone platforms by allowing a cell phone user to download or install an application, or possibly a driver for their specific cell phone model, capable of being discovered and operating with the apparatus.", "Another aspect of transmitting data to the remote memory includes authenticating the various components with respect to access or to control remote memory.", "In some embodiments, the surveillance apparatus, or even the signaling facility, can be authenticated with the remote memory via a suitable token exchange (e.g., passwords, public-private key, handshakes, etc.).", "For example, a remote memory could be bound to a specific apparatus via a secret key, possibly having a UUID or GUID.", "Furthermore, the components of the system can be authorized to access various levels of functionality based on their authentication.", "Some apparatus could have read only access, while other could have write access, or while still others have editing capabilities.", "Yet another aspect of transmitting data to the remote memory includes the remote memory providing access to multiple surveillance apparatus.", "For example, a single remote memory, possibly a cell phone, could be in close proximity (e.g., physically local within 100 meters or logically local within the same network) to two or more apparatus.", "The remote memory can provide image storage as necessary to each apparatus, assuming appropriate authentication or permissions.", "In addition, it is contemplated that a single apparatus could have more than one camera where each camera has its data transmitted to the remote memory collectively via the apparatus or even individually, possibly where each camera has access to different remote memories, or different areas (e.g., files) in the same remote memory.", "One should also note that a surveillance apparatus can employ multiple wireless protocols to interact with the various components.", "For example, the apparatus's signaling device could connect to a recorded via Zigbee or Skiplex protocols while the apparatus could connect to the remote memory via IEEE 802.16.One purpose of the invention is to allow secret recording by a user.", "The user can integrate the recorder as part of his/her wardrobe or even as clothing accessories, such as a pin or a hat.", "Preferably, recorder will record video and audio as seen from the wearer's point of view without requiring the wearer to exert any special effort to operate the recorder.", "The recorder operates for extended periods of time while remaining unobtrusive both to the wearer/operator and to the subject(s) being recorded.", "In order for the device to be practical, physical size and weight are of primary importance.", "The recorder portion must be small and lightweight enough to be unobtrusive.", "Preferably, the size of the recorder is two inches by three inches by one-half inch and six ounces in weight including battery.", "The camera or imaging component must be small and lightweight enough to be mounted on a pair of eyeglasses without conspicuous bulk or uncomfortable weight on the order of one-quarter inch by one-quarter inch by one inch or smaller and weighing one ounce or less.", "Further, the imaging component must be capable of sufficient resolution that the image quality of the resulting recording is comparable with existing consumer camcorder products.", "Preferred resolutions are at least 640×480 pixels.", "However, more preferred resolutions include high definition quality resolutions (e.g., 720p, 1080i, 1080p, 2160p, etc.).", "Since the recorder will be worn on the body and the wearer can move actively about when the device is in operation, it is important that the entire device be mechanically rugged and that all components, particularly the storage media, be impervious to the shocks resulting from such body movement.", "The device is also likely to be exposed to environmental stresses such as dust and moisture so the components and packaging must accommodate this exposure.", "Solid state media such as compact flash memory is particularly suitable as the recorder's storage media since it meets these requirements.", "Alternatively, the recorder device can be mounted on vehicles, planes or any other objects for ease of use.", "It is contemplated that the present invention can be used in military or field intelligence operations.", "The advantages of the disclosed techniques are clear.", "A user of the inventive subject matter can capture image data while also participating with others in an event without being trapped behind a recording device.", "Furthermore, the user's hands can remain substantially free while recording.", "Thus, specific embodiments and applications of recording images to a circular buffer in a local memory and transmitting it wirelessly to a remote memory have been disclosed.", "It should be apparent, however, to those skilled in the art that many more modifications besides those already described are possible without departing from the inventive concepts herein.", "The inventive subject matter, therefore, is not to be restricted except in the spirit of the appended claims.", "Moreover, in interpreting both the specification and the claims, all terms should be interpreted in the broadest possible manner consistent with the context.", "In particular, the terms “comprises” and “comprising” should be interpreted as referring to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps can be present, or utilized, or combined with other elements, components, or steps that are not expressly referenced.", "Where the specification claims refers to at least one of something selected from the group consisting of A, B, C .", ".", ".", "and N, the text should be interpreted as requiring only one element from the group, not A plus N, or B plus N, etc." ] ]
Patent_15875828
[ [ "Interlaced Multiband Antenna Arrays", "Antenna arrays which can work simultaneously in various frequency bands thanks to the physical disposition of the elements which constitute them, and also the multiband behavior of some elements situated strategically in the array.", "The configuration of the array is described based on the juxtaposition or interleaving of various conventional mono-band arrays working in the different bands of interest.", "In those positions in which elements of different multiband arrays come together, a multiband antenna is employed which covers the different working frequency bands.", "The advantages with respect to the classic configuration of using one array for each frequency band are: saving in cost of the global radiating system and its installation (one array replaces several), and its size and visual and environmental impact are reduced in the case of base stations and repeater stations for communication systems." ], [ "1.An antenna array comprising: a ground plane layer; a first set of antenna elements arranged on the ground plane layer and being configured to provide operation of the antenna array in at least three frequency bands respectively corresponding to three cellular frequency bands; a second set of antenna elements arranged on the ground layer and being configured to provide operation of the antenna array in two of the three frequency bands; the first set of antenna elements and the second set of antenna elements are substantially arranged along a longitudinal direction of the antenna array; the first set of antenna elements and the second set of antenna elements are arranged on alternating positions along the longitudinal direction; and wherein a ratio of a spacing between antenna elements of the first set to a wavelength of a first frequency band of the three frequency bands is substantially half of a ratio of a spacing between antenna elements of the second set to a wavelength of a second frequency band of the three frequency bands.", "2.The antenna array of claim 1, wherein a ratio between a frequency of the third frequency band and a frequency of the second frequency band is less than 1.5.3.The antenna array of claim 1, wherein a frequency for the first frequency band is situated around 900 MHz, a frequency for the second frequency band is situated around 1800 MHz, and a frequency for the third frequency band is situated around 2100 MHz.", "4.The antenna array of claim 1, wherein the three frequency bands are not separated by the same scale factor.", "5.A communication system comprising: a network of base stations comprising a plurality of base stations, at least some of the plurality of base stations comprising the antenna array of claim 1.6.An antenna array comprising: a ground plane layer; a first plurality of antenna elements arranged on the ground plane and being configured to provide operation of the antenna array in at least first and second frequency bands respectively corresponding to two cellular frequency bands, the first and second frequency bands being non-overlapping frequency bands; and a second plurality of antenna elements arranged on the ground plane layer and being configured to provide operation of the antenna array in the second frequency band, wherein the first plurality of antenna elements and the second plurality of antenna elements are substantially arranged along a longitudinal direction of the antenna array; and a ratio of a spacing between antenna elements providing operation at the first frequency band to an operating wavelength of the first frequency band is substantially the same as a ratio of a spacing between antenna elements providing operation at the second frequency band to an operating wavelength of the second frequency band.", "7.The antenna array of claim 6, wherein the antenna elements of the first plurality and the antenna elements of the second plurality are arranged in alternating positions along the longitudinal direction of the antenna array.", "8.The antenna array of claim 7, wherein a ratio between an operating frequency of the second frequency band and an operating frequency of the first frequency band is greater than two.", "9.The antenna array of claim 6, wherein each antenna element of the first plurality of antenna elements comprises a plurality of electromagnetically coupled portions configured to interact with each other.", "10.The antenna array of claim 9, further comprising a first distribution network configured to excite the first plurality of antenna elements, and a second distribution configured to excite the antenna elements providing operation at the second frequency band.", "11.A communication system comprising: a network of base stations comprising a plurality of base stations, at least some of the plurality of base stations comprising the antenna array of claim 6.12.An antenna array comprising: a ground plane layer; a plurality of antenna elements arranged on the ground plane layer along a longitudinal direction of the antenna array; a first distribution network configured to excite the plurality of antenna elements for a first polarization; and a second distribution network configured to excite the plurality of antenna elements for a second polarization, the first and second polarizations being orthogonal polarizations, wherein: the plurality of antenna elements are configured to provide operation of the antenna array in three frequency bands respectively associated with three cellular frequency bands; the highest frequency of a first frequency band of the three frequency bands is less than the lowest frequency of a second frequency band of the three frequency bands and the lowest frequency of a third frequency band of the three frequency bands is greater than the highest frequency of the second frequency band; a ratio between a frequency of the third frequency band and a frequency of the first frequency band being smaller than 1.5; a spacing between the antenna elements of the plurality of antenna elements is less than a wavelength of the lowest operating frequency of the first frequency band; and a spacing between the antenna elements of the plurality of antenna elements is greater than a half wavelength of the highest frequency of the third frequency band.", "13.The antenna array of claim 12, wherein a first spacing between a first pair of adjacent antenna elements of the plurality of antenna elements is different from a second spacing between a second pair of adjacent antenna elements of the plurality of antenna elements.", "14.The antenna array of claim 12, wherein any operating frequencies of the third frequency band does not correspond to an integer factor of an operating frequency of the first frequency band.", "15.The antenna array of claim 12, wherein the three frequency bands are not separated by the same scale factor.", "16.The antenna array of claim 12, wherein the antenna elements of the plurality of antenna elements comprises patch antennas.", "17.A communication system comprising: a network of base stations comprising a plurality of base stations, at least some of the plurality of base stations comprising the antenna array according to claim 12." ], [ "<SOH> BACKGROUND <EOH>The present invention consists of antenna arrays which can be operated simultaneously in various frequency bands thanks to the physical disposition of the elements that constitute it, as well as the multiband behavior of some elements situated strategically in the array.", "The array configuration is described on a basis of the juxtaposition or interleaving of various conventional single-band arrays operating in the different bands of interest.", "In those positions where elements of different multiband arrays come together, use is made of a multiband antenna which covers the different working frequency bands.", "The use of a multiband interleaved antenna array (hereinafter simply Multiband Interleaved Array, MIA) implies a great advantage over the classical solution of employing an array for each frequency band: there is a cost saving in the overall radiating system and in its installation (one array replaces several), its size is reduced as well as its visual and environmental impact in the case of base and repeater stations for communication systems.", "The present invention finds its application in the field of telecommunications and more specifically in radio-communication systems.", "Antennas started to be developed at the end of the nineteenth century based on the fundamental laws of electromagnetism postulated by James Clerk Maxwell in 1864.The invention of the first antenna has to be attributed to Heinrich Hertz in 1886 who demonstrated the transmission through air of electromagnetic waves.", "In the mid-1940's the fundamental restrictions regarding the reduction in size of antennas were shown with respect to wavelength and at the beginning of the sixties appeared the first frequency-independent antennas (E. C. Jordan, G. A. Deschamps, J. D. Dyson, P. E. Mayes, “Developments in Broadband Antennas,” IEEE Spectrum, vol.", "1, pp.", "58-71, April 1964; V. H. Rumsey, Frequency-Independent Antennas.", "New York Academic, 1966; R. L. Carrel, “Analysis and design of the log-periodic dipole array,” Tech.", "Rep. 52, Univ.", "of Illinois Antenna Lab., Contract AF33 (616)-6079, October 1961; P. E. Mayes, “Frequency Independent Antennas and Broad-Band Derivatives Thereof”, Proc.", "IEEE, vol.", "80, no.", "1, January 1992).", "At that time proposals were made for helical, spiral, log-periodic arrays, cones and structures defined exclusively by angle pieces for the implementation of broadband antennas.", "Antenna array theory goes back to the works of Shelkunoff (S. A. Schellkunhoff, “A Mathematical Theory of Linear Arrays,” Bell System Technical Journal, 22,80), among other classic treatises on antenna theory.", "Said theory establishes the basic design rules for shaping the radiation properties of the array (principally its radiation pattern), though its application is restricted mainly to the case of mono-band arrays.", "The cause of said restriction lies in the frequency behavior of the array being highly dependent on the ratio between the distance between elements (antennas) of the array and the working wavelength.", "Said spacing between elements is usually constant and preferably less than one wavelength in order to prevent the appearance of diffraction lobes.", "This implies that once the spacing between elements is fixed, the operating frequency (and the corresponding wavelength) is also fixed, it being particularly difficult that the same array work simultaneously at another higher frequency, given that in that case the magnitude of the wavelength is less than the spacing between elements.", "The log-periodic arrays suppose one of the first examples of antenna arrays capable of covering a broad range of frequencies (V. H. Rumsey, Frequency-Independent Antennas.", "New York Academic, 1966; R. L. Carrel, “Analysis and design of the log-periodic dipole array,” Tech.", "Rep. 52, Univ.", "Illinois Antenna Lab., Contract AF33 (616)-6079, October 1961; P. E. Mayes, “Frequency Independent Antennas and Broad-Band Derivatives Thereof”, Proc.", "IEEE, vol.", "80, no.", "1, January 1992).", "Said arrays are based on distributing the elements that constitute it in such a manner that the spacing between adjacent elements and their length vary according to a geometric progression.", "Although said antennas are capable of maintaining a same radiation and impedance pattern over a broad range of frequencies, their application in practice is restricted to some concrete cases due to their limitations regarding gain and size.", "Thus for example, said antennas are not employed in cellular telephony base stations because they do not have sufficient gain (their gain is around 10 dBi when the usual requirement is for about 17 dBi for such application), they usually have linear polarization whilst in said environment antennas are required with polarization diversity, their pattern in the horizontal plane does not have the width necessary and their mechanical structure is too bulky.", "The technology of individual multiband antennas is markedly more developed.", "A multiband antenna is understood to be an antenna formed by a set of elements coupled to each other electromagnetically which interact with each other in order to establish the radio-electric behavior of the antenna, behavior which with respect to radiation and impedance patterns is similar in multiple frequency bands (hence the name multiband antenna).", "Numerous examples of multiband antennas are described in the literature.", "In 1995 antennas of the fractal or multifractal type were introduced (the coining of the terms fractal and multifractal is attributable to B.", "B. Mandelbrot in his book The Fractal Geometry of Nature, W. H. Freeman and Co. 1983), antennas which by their geometry have a multifrequency behavior and, in determined cases, a reduced size (C. Puente, R. Pous, J. Romeu, X. Garcia “Antenas Fractales o Mulitfractales,” (Spanish patent P9501019).", "Subsequently multi-triangular antennas were introduced (Spanish patent P9800954) which could work simultaneously in the GSM 900 and GSM 1800 bands and, more recently, multilevel antennas (Patent PCT/ES99/00296), which offer a clear example of how it is possible to shape the geometry of the antenna in order to achieve a multiband behavior." ], [ "<SOH> SUMMARY <EOH>The present invention describes how multiband antennas can be combined in order to obtain an array that works simultaneously in several frequency bands.", "A Multiband Interleaved Array (MIA) consists of an array of antennas which has the particularity of being capable of working simultaneously in various frequency bands.", "This is achieved by means of using multiband antennas in strategic positions of the array.", "The disposition of the elements that constitute the MIA is obtained from the juxtaposition of conventional mono-band arrays, employing as many mono-band arrays as frequency bands that it is wished to incorporate in the Multiband Interleaved Array.", "In those positions in which one or various elements originating in the conventional mono-band arrays coincide, a single multiband antenna (element) shall be employed which covers simultaneously the different bands.", "In the remaining non-concurrent positions, it can be chosen to employ also the same multiband antenna or else recur to a conventional mono-band antenna which works at the pertinent frequency.", "The excitation at one or various frequencies of each element of the array depends therefore on the position of the element in the array and is controlled by means of the signal distribution network." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This patent application is a continuation of U.S. patent application Ser.", "No.", "14/529,715, filed on Oct. 31, 2014, which is a continuation of U.S. patent application No.", "13/530,249, filed on Jun.", "22, 2012, which is now U.S. Pat.", "No.", "8,896,493, issued on Nov. 25, 2014, which is a continuation of U.S. patent application Ser.", "No.", "13/044,831, filed on Mar.", "10, 2011, which is now U.S. Pat.", "No.", "8,228,256, issued on Jul.", "24, 2012, which is a continuation of U.S. patent application Ser.", "No.", "12/476,308, filed on Jun.", "2, 2009, which is now U.S. Pat.", "No.", "7,932,870, issued on Apr.", "26, 2011, which is a continuation of U.S. patent application Ser.", "No.", "11/803,782, filed on May 16, 2007, which is now U.S. Pat.", "No.", "7,557,768, issued on Jul.", "7, 2009, which is a continuation of U.S. patent application Ser.", "No.", "10/988,261, filed on Nov. 12, 2004, which is now U.S. Pat.", "No.", "7,250,918, issued on Jul.", "31, 2007, which is a continuation of U.S. patent application Ser.", "No.", "10/135,019, filed on Apr.", "23, 2002, which is now U.S. Pat.", "No.", "6,937,191, issued on Aug. 30, 2005, which is a continuation of International Application No.", "PCT/ES99/00343, filed on Oct. 26, 1999, the entire contents of which are hereby incorporated by reference.", "BACKGROUND The present invention consists of antenna arrays which can be operated simultaneously in various frequency bands thanks to the physical disposition of the elements that constitute it, as well as the multiband behavior of some elements situated strategically in the array.", "The array configuration is described on a basis of the juxtaposition or interleaving of various conventional single-band arrays operating in the different bands of interest.", "In those positions where elements of different multiband arrays come together, use is made of a multiband antenna which covers the different working frequency bands.", "The use of a multiband interleaved antenna array (hereinafter simply Multiband Interleaved Array, MIA) implies a great advantage over the classical solution of employing an array for each frequency band: there is a cost saving in the overall radiating system and in its installation (one array replaces several), its size is reduced as well as its visual and environmental impact in the case of base and repeater stations for communication systems.", "The present invention finds its application in the field of telecommunications and more specifically in radio-communication systems.", "Antennas started to be developed at the end of the nineteenth century based on the fundamental laws of electromagnetism postulated by James Clerk Maxwell in 1864.The invention of the first antenna has to be attributed to Heinrich Hertz in 1886 who demonstrated the transmission through air of electromagnetic waves.", "In the mid-1940's the fundamental restrictions regarding the reduction in size of antennas were shown with respect to wavelength and at the beginning of the sixties appeared the first frequency-independent antennas (E. C. Jordan, G. A. Deschamps, J. D. Dyson, P. E. Mayes, “Developments in Broadband Antennas,” IEEE Spectrum, vol.", "1, pp.", "58-71, April 1964; V. H. Rumsey, Frequency-Independent Antennas.", "New York Academic, 1966; R. L. Carrel, “Analysis and design of the log-periodic dipole array,” Tech.", "Rep. 52, Univ.", "of Illinois Antenna Lab., Contract AF33 (616)-6079, October 1961; P. E. Mayes, “Frequency Independent Antennas and Broad-Band Derivatives Thereof”, Proc.", "IEEE, vol.", "80, no.", "1, January 1992).", "At that time proposals were made for helical, spiral, log-periodic arrays, cones and structures defined exclusively by angle pieces for the implementation of broadband antennas.", "Antenna array theory goes back to the works of Shelkunoff (S. A. Schellkunhoff, “A Mathematical Theory of Linear Arrays,” Bell System Technical Journal, 22,80), among other classic treatises on antenna theory.", "Said theory establishes the basic design rules for shaping the radiation properties of the array (principally its radiation pattern), though its application is restricted mainly to the case of mono-band arrays.", "The cause of said restriction lies in the frequency behavior of the array being highly dependent on the ratio between the distance between elements (antennas) of the array and the working wavelength.", "Said spacing between elements is usually constant and preferably less than one wavelength in order to prevent the appearance of diffraction lobes.", "This implies that once the spacing between elements is fixed, the operating frequency (and the corresponding wavelength) is also fixed, it being particularly difficult that the same array work simultaneously at another higher frequency, given that in that case the magnitude of the wavelength is less than the spacing between elements.", "The log-periodic arrays suppose one of the first examples of antenna arrays capable of covering a broad range of frequencies (V. H. Rumsey, Frequency-Independent Antennas.", "New York Academic, 1966; R. L. Carrel, “Analysis and design of the log-periodic dipole array,” Tech.", "Rep. 52, Univ.", "Illinois Antenna Lab., Contract AF33 (616)-6079, October 1961; P. E. Mayes, “Frequency Independent Antennas and Broad-Band Derivatives Thereof”, Proc.", "IEEE, vol.", "80, no.", "1, January 1992).", "Said arrays are based on distributing the elements that constitute it in such a manner that the spacing between adjacent elements and their length vary according to a geometric progression.", "Although said antennas are capable of maintaining a same radiation and impedance pattern over a broad range of frequencies, their application in practice is restricted to some concrete cases due to their limitations regarding gain and size.", "Thus for example, said antennas are not employed in cellular telephony base stations because they do not have sufficient gain (their gain is around 10 dBi when the usual requirement is for about 17 dBi for such application), they usually have linear polarization whilst in said environment antennas are required with polarization diversity, their pattern in the horizontal plane does not have the width necessary and their mechanical structure is too bulky.", "The technology of individual multiband antennas is markedly more developed.", "A multiband antenna is understood to be an antenna formed by a set of elements coupled to each other electromagnetically which interact with each other in order to establish the radio-electric behavior of the antenna, behavior which with respect to radiation and impedance patterns is similar in multiple frequency bands (hence the name multiband antenna).", "Numerous examples of multiband antennas are described in the literature.", "In 1995 antennas of the fractal or multifractal type were introduced (the coining of the terms fractal and multifractal is attributable to B.", "B. Mandelbrot in his book The Fractal Geometry of Nature, W. H. Freeman and Co. 1983), antennas which by their geometry have a multifrequency behavior and, in determined cases, a reduced size (C. Puente, R. Pous, J. Romeu, X. Garcia “Antenas Fractales o Mulitfractales,” (Spanish patent P9501019).", "Subsequently multi-triangular antennas were introduced (Spanish patent P9800954) which could work simultaneously in the GSM 900 and GSM 1800 bands and, more recently, multilevel antennas (Patent PCT/ES99/00296), which offer a clear example of how it is possible to shape the geometry of the antenna in order to achieve a multiband behavior.", "SUMMARY The present invention describes how multiband antennas can be combined in order to obtain an array that works simultaneously in several frequency bands.", "A Multiband Interleaved Array (MIA) consists of an array of antennas which has the particularity of being capable of working simultaneously in various frequency bands.", "This is achieved by means of using multiband antennas in strategic positions of the array.", "The disposition of the elements that constitute the MIA is obtained from the juxtaposition of conventional mono-band arrays, employing as many mono-band arrays as frequency bands that it is wished to incorporate in the Multiband Interleaved Array.", "In those positions in which one or various elements originating in the conventional mono-band arrays coincide, a single multiband antenna (element) shall be employed which covers simultaneously the different bands.", "In the remaining non-concurrent positions, it can be chosen to employ also the same multiband antenna or else recur to a conventional mono-band antenna which works at the pertinent frequency.", "The excitation at one or various frequencies of each element of the array depends therefore on the position of the element in the array and is controlled by means of the signal distribution network.", "BRIEF DESCRIPTION OF THE DRAWINGS The characteristics expounded in the foregoing, are presented in graphical form making use of the figures in the drawings attached, in which is shown by way of a purely illustrative and not restrictive example, a preferred form of embodiment.", "In said drawings: FIG.", "1 shows the position of the elements of two classic mono-band arrays which work at frequencies f and f/2 respectively, and the disposition of elements in a multiband interleaved array, which has a dual frequency behavior (at frequencies f and f/2), working in the same manner as classic arrays but with a smaller total number of elements.", "FIG.", "2 shows another particular example of multiband interleaved array but with three frequencies in this case, and the respective three classic mono-band arrays which constitute it.", "It is a matter of extending the case of FIGS.", "1 to 3 frequencies f, f/2 and f/4.FIG.", "3 shows another particular example of multiband interleaved array, in which the different working frequencies are not separated by the same scale factor.", "It is a matter of extending the case of FIGS.", "1 and 2 to 3 frequencies f, f/2 and f/3.FIG.", "4 shows a further particular example of multiband interleaved array, in which the different working frequencies are not separated by the same scale factor.", "It is a matter of extending the case of FIGS.", "3 to 3 frequencies f, f/3 and f/4.FIG.", "5 shows a multiband interleaved array configuration which requires a repositioning of the elements to obtain frequencies that do not correspond to an integer factor of the highest frequency.", "In this particular example the frequencies f, f/2 and f/2.33 have been chosen.", "FIG.", "6 shows the extension of the design of an MIA to the two-dimensional or three-dimensional case, specifically, an extension of the example of FIG.", "1 to two dimensions.", "FIG.", "7 shows one of the preferred of operating modes (AEM1).", "It is a matter of an MIA in which the multiband elements are multi-triangular elements.", "The array works simultaneously at dual frequencies, for example in the GSM 900 and GSM 1800 bands.", "FIG.", "8 shows another of the preferred operating modes (AEM2).", "It is a matter of an MIA in which the multiband elements are multilevel elements.", "The array works simultaneously at dual frequencies, for example in the GSM 900 and GSM 1800 bands.", "FIG.", "9 shows another of the preferred operating modes (AEM3).", "It is a matter of an MIA in which the multiband elements are multilevel elements.", "The configuration is similar to that of FIG.", "8 (AEM2 mode), the difference being that the new disposition permits the total width of the antenna to be reduced.", "FIG.", "10 shows another example of multiband antenna which can be employed in MIAs.", "It is a matter of a stacked patch antenna, which in this specific example works at two dual frequencies (for example, GSM 900 and GSM 1800).", "FIG.", "11 shows the disposition of said patches in the MIA type array (AEM4 configuration).", "Observe that, in contrast to the previous cases, in this case multiband antennas are employed only in those positions where it is strictly necessary; in the remainder mono-band elements are employed the radiation pattern of which is sufficiently like that of the multiband element in the pertinent band.", "FIG.", "12 shows another configuration (AEM5), in which the elements have been rotated through 45° in order to facilitate the procurement of double polarization at +45° or −45°.", "DETAILED DESCRIPTION In making the detailed description that follows of the preferred embodiment of the present invention, reference shall constantly be made to the figures of the drawings, throughout which use has been made of the same numerical references for the same or similar parts.", "A multiband interleaved array (MIA) is constituted by the juxtaposition of various conventional mono-band arrays.", "The conventional antenna arrays usually have a mono-band behavior (that is, they work within a relatively small frequency range, typically of the order of 10% about a center frequency) and this is not only because the elements (antennas) that constitute it have a mono-band behavior, but also because the physical spacing between elements conditions the working wavelength.", "Typically, the conventional mono-band arrays are designed with a spacing between elements of around a half-wavelength, spacing which may be increased in some configurations in order to enhance directivity, though it is usually kept below one wavelength to avoid the appearance of diffraction lobes.", "This purely geometric restriction (the magnitude of the wavelength conditions the geometry of the elements of the array and their relative spacing) signifies a major drawback in those environments and communication systems in which various frequency bands have to be employed simultaneously.", "A clear example is the GSM cellular mobile telephony system.", "Initially located in the 900 MHz band, the GSM system has turned into one of the most widespread on a world scale.", "The success of the system and the spectacular growth in demand for this type of service has led to the cellular mobile telephony operators expanding its service into a new band, the 1800 MHz band, in order to provide coverage for a greater customer base.", "Making use of classic mono-band antenna technology, the operators have to duplicate their antenna network in order to provide coverage simultaneously to GSM 900 and GSM 1800.Using a single MIA specially designed for the system (like that described in the particular cases of FIGS.", "7 through 12), the operators reduce the cost of their network of base stations, the time to expand into the new band and the visual and environmental impact of their installations (through the simplification of the overall radiating structure).", "It is important to point out that the scenario which has just been outlined above deals only with one particular example of a type of MIA and its application; as may well be gauged by anyone familiar with the subject, in no way are the MIAs which are described in the present invention restricted to said specific configuration and can easily be adapted to other frequencies and applications.", "The multiband interleaved arrays base their operation on the physical disposition of the antennas which constitute them and on the particular type of element that is employed in some strategic positions of the array.", "The positions of the elements in an MIA are determined from the positions of the elements in as many mono-band arrays as there are frequencies or frequency bands required.", "The design of the array is, in that sense, equal to that of the mono-band arrays insomuch as it is possible to choose the current weighting for each element, in order to shape the radiation pattern according to the needs of each application.", "The configuration of the MIA is obtained from the juxtaposition of the positions of the different mono-band arrays.", "Naturally, such juxtaposition proves difficult to implement in practice in those positions in which various antennas of the different arrays coincide; the solution proposed in this invention rests in the use of a multiband antenna (for example of the fractal, multi-triangular, multi-level, etc.", "type) which covers all the frequencies associated with its position.", "A basic and particular example of how to arrange the elements in an MIA is described in FIG.", "1.In the columns of the FIG.", "1 (1a) and (1b) two conventional mono-band arrays are shown in which the positions of the elements (indicated by the black circles and the circumferences respectively) are chosen in such a manner that the spacing between elements is typically less than the working wavelength.", "Thus, taking as reference the working frequency f of the array (1a), the array (1b) would work at a frequency f/2 as the elements have a spacing double that of the previous case.", "In FIG.", "1 (column 1c) the disposition is shown of the elements in the MIA which is capable of working simultaneously on the frequencies f and f/2 conserving basically the same facilities as the two arrays (1a) and (1b).", "In the positions in which elements of the two conventional arrays (indicated in FIG.", "1 (1c) by means of black circles located at the center of a circumference) coincide, a multiband antenna is employed capable of working in the same manner (same impedance and pattern) on the frequencies (1a) and (1b).", "The remaining not common elements (indicated either by a black circle, or by a circumference) can be implemented either by means of the same multiband element employed in the common positions (and selecting the working frequency by means of the signal distribution network of the array), or by employing conventional mono-band elements.", "In this example the array (1c) has a dual behavior frequency-wise (at frequencies f and f/2), working in the same manner as the arrays (1a) and (1b) but with a smaller total number of elements (12 instead of 16).", "Multiple examples of multiband antennas are already described in the state of the art.", "Antennas with fractal geometry, multi-triangular antennas, multi-level antennas even stacked patch antennas are some examples of antennas capable of working in like manner in multiple frequency bands.", "These, and other multiband elements can be employed in the positions of the MIAs in which elements of various mono-band arrays come together.", "In the following figures other MIA configurations are shown, based on the same inventive concept, though having the disposition of the elements adapted to other frequencies.", "In FIG.", "2 the configuration described is that of a tri-band MIA working at frequencies f, f/2 and f/4.The disposition of elements in the three classic mono-band arrays at the frequencies f, f/2 and f/4 is illustrated in the columns of FIGS.", "2 (2a), (2b) and (2c) by means of black circles, circumferences and squares respectively.", "The position of the elements of the MIA is determined from the configuration of the three mono-band arrays designed for each one of the three frequencies.", "The three arrays come together in the MIA that is shown in column 2d of FIG.", "2.In those positions where elements of the three arrays would come together (indicated in the drawing by the juxtaposition of the different geometric figures identifying each array) use is made of a multiband element.", "The three-frequency array of column (2d) behaves in the same manner as the three arrays (2a), (2b) and (2c) at their respective working frequencies, but employing only 13 elements instead of the 21 required in the total of the three mono-band arrays.", "FIGS.", "3, 4 and 5 describe, by way of example and not restrictively, the design of other MIAs based on the same principle though at other frequencies.", "In the first two cases the frequencies employed are integer multiples of a fundamental frequency; in the case of FIG.", "5 the ratio between frequencies is not restricted to any particular rule, though it supposes an example of array in which the frequencies the GSM 900, GSM 1800 and UMTS services can be combined.", "Specifically, FIG.", "3 illustrates another particular example of multiband interleaved array, in which the different working frequencies are not separated by the same scale factor.", "It concerns the extension of the case of FIGS.", "1 and 2 to 3 frequencies f, f/2 and f/3.The disposition of elements of the three classic mono-band arrays at the frequencies f, f/2 and f/3 is shown in the columns of FIGS.", "3 (3a), (3b) and (3c) by means of black circles, circumferences and squares respectively.", "The column (3d) of FIG.", "3 shows the disposition of elements in the tri-band interleaved array.", "In those positions in which elements of the three arrays come together (indicated in the drawing by the juxtaposition of the different geometric figures identifying each array), use is made of a multiband element; the same strategy is followed in those positions in which elements of two arrays coincide: use should be made of a multiband element capable of covering the frequencies pertinent to its position, preferentially the same element as that used in the remaining positions, selecting those frequencies which are necessary by means of the feeder network.", "Notice that as the three-frequency array of column (3d) of FIG.", "3 behaves in the same manner as the three arrays (3a), (3b) and (3c) at their respective working frequencies, but employing only 12 elements instead of the 21 required in the total of the three mono-band arrays.", "FIG.", "4 illustrates a new particular example of multiband interleaved array, in which the different working frequencies are not separated by the same scale factor.", "It concerns the extension of the case of FIGS.", "3 to 3 frequencies f, f/3 and f/4.The disposition of elements of the three classic mono-band arrays at the frequencies f, f/3 and f/4 are shown in the columns of FIGS.", "4 (4a), (4b) and (4c) by means of black circles, circumferences and squares respectively.", "The column (4d) of FIG.", "4 shows the disposition of elements in the tri-band interleaved array.", "In those positions where elements of the three arrays would come together (indicated in the drawing by the juxtaposition of the different geometric figures identifying each array), use is made of a multiband element.", "The three-frequency array of column (4d) of FIG.", "4 behaves in the same manner as the three arrays (4a), (4b) and (4c) at their respective working frequencies, but employing only 15 elements instead of the 24 required in the total of the three mono-band arrays.", "It is convenient to re-emphasis that in the particular cases of FIGS.", "3 and 4 the arrays can work at 3 frequencies simultaneously.", "The disposition of elements is such that the three frequencies do not always coincide in all the elements; nonetheless, by employing a tri-band antenna in those positions and selecting the working frequencies for example by means of a conventional frequency-selective network, it is possible to implement the MIA.", "In some configurations of multiband interleaved array, especially in those in which the different frequencies do not correspond to an integral factor of the highest frequency 1, it is required that the elements be repositioned, as in FIG.", "5.In this particular example the frequencies f, f/2 and f/2,33 have been chosen.", "The disposition of elements of the three classic mono-band arrays at the frequencies f, f/2 and f/2.33 is represented in the columns of FIGS.", "5 (5a), (5b) and (5c) by means of black circles, circumferences and squares respectively.", "The column (5d) of FIG.", "5 shows what would be the disposition of elements in the tri-band interleaved array according to the same plan as in the previous examples.", "Notice how in this case the ratio of frequencies involves the collation of elements at intermediate positions which make its practical implementation difficult.", "The solution to be adopted in this case consists in displacing the position of the element of the array that works at the lowest frequency (indicated by arrows) until it coincides with another element (that nearest) of the highest frequency array; then the two or more coincident elements in the new position are replaced with a multiband element.", "An example of the final configuration once the elements have been repositioned, is shown in column (5e) of FIG.", "5.It is important that the element displaced be preferentially that of the lowest frequency array, in this way the relative displacement in terms of the working wavelength is the least possible and the appearance of secondary or diffraction lobes is reduced to the minimum.", "FIG.", "6 illustrates how the configuration MIAs is not limited to the linear (one-dimensional) case, but it also includes arrays in 2 and 3 dimensions (2D and 3D).", "The procedure for distributing the elements of the array in the 2D and 3D cases is the same, replacing also the different coincident elements with a single multiband antenna.", "More examples of particular configurations of MIAs are described below.", "In the five examples described, various designs are presented for GSM 900 and GSM 1800 systems (890 MHz-960 MHz and 1710 MHz-1880 MHz bands).", "It is a question of antennas for cellular telephony base stations, which present basically the same radiofrequency behavior in both bands; by employing such versions of MIA antenna the operators reduce the number of antennas installed to one half, minimizing the cost and environmental impact of their base stations.", "AEM1 Mode The AEM1 configuration, represented in FIG.", "7, is based on the use of GSM 900 and GSM 1800 multi-triangular elements.", "The array is obtained by interleaving two conventional mono-band arrays with spacing between elements less than one wavelength in the pertinent band (typically a spacing is chosen less than 0.9 in order to minimize the appearance of the diffraction lobe in the end-fire direction).", "The original arrays can have 8 or 10 elements, depending on the gain required by the operator.", "The juxtaposition of both arrays in a single MIA is achieved in this case by employing dual multi-triangular elements.", "Such elements incorporate two excitation points (one for each band), which allows the working band to be selected according to their position in the array.", "In FIG.", "7 the position of the elements is shown, as well as their working frequencies.", "The elements shown in white indicate operation in the GSM 900 band; the elements shown in black indicate operation in the GSM 1800 band and the elements marked in black in the lower triangle and in white in their two upper triangles indicate simultaneous operation in both bands.", "Precisely the simultaneous operation in both bands via a single multiband element (the multi-triangular element) in such positions of the array (those positions at which those of the original mono-band arrays coincide), is one of the main characteristic features of the MIA invention.", "The manner of feeding the elements of the AEM1 array is not characteristic of the invention of the MIAs and recourse may be had to any conventionally known system.", "In particular and given that the multi-triangular elements are excited at two different points, it is possible to make use of an independent distribution network for each band.", "Another alternative consists in employing a broadband or dual band distribution network, by coupling a combiner/diplexer which interconnects the network and the two excitation points of the multi-triangular antenna.", "Finally, the antenna may therefore come with two input/output connectors (one for each band), or combined in a single connector by means of a combiner/diplexer network.", "AEM2 Mode This particular configuration of AEM2, shown in FIG.", "8, is based on a multilevel antenna which acts as a multiband element.", "In addition to working simultaneously in the GSM 900 and GSM 1800 bands, the antenna has also double linear polarization at +45° and −45° with respect to the longitudinal axis of the array.", "The fact that the antenna has double polarization signifies an additional advantage for the cellular telephony operator, since in this manner he can implement a diversity system which minimizes the effect of fading by multipath propagation.", "The multilevel element which is described in FIG.", "8 is more suitable than the multi-triangular element described previously since the element itself has a linear polarization at +45° in GSM 900 and at −45° in GSM 1800.The array is obtained by interleaving two conventional mono-band arrays with spacing between elements less than one wavelength in the pertinent band (typically a spacing less than 0.9 is chosen in order to minimize the appearance of the diffraction lobe in the end-fire direction).", "The original arrays can have 8 or 10 elements depending on the gain required by the operator.", "The juxtaposition of both arrays in a single MIA is achieved in this case by employing in-band dual multilevel elements.", "Such elements incorporate two points of excitation (one for each band), which permits the working band to be selected according to their position in the array.", "In FIG.", "8 the position of the elements is shown, as well as their working frequencies.", "The elements shown in white indicate operation in the GSM 900 band; the elements shown in black indicate operation in the GSM 1800 band and the elements marked in black in their lower triangle and in white in the upper triangles indicate simultaneous operation in both bands.", "Precisely the simultaneous operation in both bands via a single multiband element (the multilevel element) in such positions of the array (those positions in which those of the original mono-band arrays coincide), is one of the main characteristic features of the MIA invention.", "It is possible to achieve double polarization on a basis of exciting the multilevel element at various points on its surface, nonetheless in order to augment the isolation between connectors of different polarization, it is chosen in the example described to implement a double column to separate the +45° polarization (left-hand column) from that of −45° (right-hand column).", "To increase the isolation between bands, it is even possible to interchange the polarization inclination in the columns of the array in one of the bands (for example in DCS).", "The manner of feeding the elements of the array AEM2 is not characteristic of the invention of the MIAs and recourse can be had to any conventionally known system.", "In particular and given that the multi-triangular elements are excited at two different points, it is possible to make use of an independent distribution network for each band and polarization.", "Another alternative consists in employing a broadband or dual band distribution network, by coupling a combiner/diplexer which interconnects the network and the two excitation points of the multilevel antenna.", "The antenna may then come with four input/output connectors (one for each band and polarization), or else combined in only two connectors (one for each independent polarization) by means of combiner/diplexer network in each polarization.", "AEM3 Mode The AEM3 configuration, as shown in FIG.", "9, is very similar to the AEM2 (the position of the multilevel elements and the type of element itself is the same as in the previous case), with the difference that the right-hand column is reversed with respect to that on the left.", "In this manner an antenna with dual band and polarization is obtained, the total width of the antenna being reduced with respect to the previous case (in this particular example the width is reduced by about 10%).", "In order to increase the isolation between the columns of double polarization it is convenient that oblique fins be inserted between contiguous elements.", "In that case, lateral fins are also incorporated in all the elements which work in GSM 1800, fins which contribute to narrowing the radiation beam in the horizontal plane (plane at right angles to the longitudinal axis of the array).", "Nor is the signal distribution system especially characteristic of the MIA configuration and the same system can be used as in the previous case.", "AEM4 Mode Another example of multiband interleaved array is that termed herein AEM4 and which is shown in schematic form in FIG.", "11.In this case, the multiband element is a stacked square patch antenna (FIG.", "10), though it is obvious for anyone familiar with the subject that patches of other shapes could be employed.", "Square- or circular-shaped types are preferred in the event that is wished to work with double polarization.", "In the example of FIG.", "10 the particular case is described of square patches.", "The lower patch is of appropriate size for its resonant frequency (associated typically with the patch fundamental mode) to coincide with the lower band (GSM 900 in this specific case); moreover, this patch acts in turn as ground plane of the upper patch.", "The latter is of a size such that its resonance is centered in the upper band (GSM 1800).", "The elements of the array are mounted on a metallic or metal-coated surface which acts as ground plane for all the elements of the array.", "The feeder system is preferentially of the coaxial type, a cable being employed for the lower patch and band and another for the upper patch and band.", "The excitation points are collated on the bisectors of the patches (for example, the approximate excitation points are marked by means of circles on the plan view of the antenna) if vertical or horizontal polarization is desired, or on the diagonals if, on the other hand, linear polarization inclined at 45° is desired.", "In the event it is desired that the array work with double polarization, each of the patches is excited additionally on the bisector or diagonal opposite (orthogonal) to the first.", "The feeding of the elements of the array AEM4 is not characteristic of the invention of the MIAs and recourse can be had to any conventionally known system.", "In particular and given that the stacked patch antenna is excited at two different points, it is possible to make use of an independent distribution network for each band and polarization.", "Another alternative consists in employing a broadband or dual band distribution network, by coupling a combiner/diplexer which interconnects the network and the two excitation points of the multilevel antenna.", "The antenna may then come with four input/output connectors (one for each band and polarization), or else combined in only two connectors (one for each independent polarization) by means of a combiner/diplexer network in each polarization.", "AEM5 Mode The AEM5 configuration, as shown in FIG.", "12, adopts the same approach as the AEM4, though all the elements are rotated through 45° in the plane of the antenna.", "In this manner the radiation pattern is modified in the horizontal plane, in addition to rotating the polarization through 45°.", "It is of interest to point out that both in the AEM4 configuration and in the AEM5, the multiband element constituted by the stacked patches is really only strictly necessary in those strategic positions in which elements originating in the conventional mono-band arrays coincide.", "In the remaining positions, it shall be possible to employ indistinctly multiband or mono-band elements that work at the frequency determined for its location, as long as its radiation pattern is sufficiently like that of the stacked patch antenna in order to avoid the appearance of diffraction lobes.", "It is not deemed necessary to extend further the content of this description in order that an expert in the subject can comprehend its scope and the benefits arising from the invention, as well as develop and implement in practice the object thereof.", "Notwithstanding, it must be understood that the invention has been described according to a preferred embodiment thereof, for which reason it may be susceptible to modifications without this implying any alteration to its basis, it being possible that such modifications affect, in particular, the form, the size and/or the materials of manufacture." ] ]
Patent_15875831
[ [ "Treatment of Glaucoma Using Laquinimod", "The subject invention provides a method of treating a subject afflicted with glaucoma, suffering from retinal ganglion cell (RGC) loss or damage, or elevated intraocular pressure (IOP), or of reducing RGC loss or damage, or reducing IOP in a subject, comprising administering to the subject an amount of laquinimod effective to treat the subject, to reduce RGC loss or damage, or to reduce IOP in the subject.", "Provide also is a pharmaceutical composition, a package and a therapeutic package for treating a subject afflicted with glaucoma." ], [ "1.A method of treating a subject afflicted with glaucoma comprising administering to the subject an amount of laquinimod effective to treat the subject.", "2.The method of claim 1, wherein the administration of laquinimod is effective to reduce or inhibit a symptom of the glaucoma in the subject.", "3.The method of claim 2, wherein the symptom is retinal ganglion cell damage, retinal ganglion cell loss, or elevated intraocular pressure.", "4.The method of any one of claims 1-3, wherein laquinimod is laquinimod sodium.", "5.The method of any one of claims 1-4, wherein the route of administration of laquinimod is intraocular, periocular, ocular, oral, systemic or topical.", "6.The method of any one of claims 1-5, wherein laquinimod is administered in the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "7.The method of claim 6, wherein the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution, or 20-50 mg/ml solution.", "8.The method of any one of claims 1-7, wherein laquinimod is administered periodically.", "9.The method of claim 8, wherein laquinimod is administered daily.", "10.The method of claim 8, wherein laquinimod is administered more often than once daily or less often than once daily.", "11.The method of any one of claims 1-10, wherein the amount laquinimod administered is at least 0.2 mg/day and/or less than 0.6 mg/day.", "12.The method of any one of claims 1-10, wherein the amount laquinimod administered is 0.03-600 mg/day, 0.1-40.0 mg/day, 0.1-2.5 mg/day, 0.25-2.0 mg/day, 0.5-1.2 mg/day, 0.25 mg/day, 0.3 mg/day, 0.5 mg/day, 0.6 mg/day, 1.0 mg/day, 1.2 mg/day, 1.5 mg/day or 2.0 mg/day.", "13.The method of any one of claims 1-12, wherein the amount of laquinimod administered is 0.05-4.0 mg per administration, 0.05-2.0 mg per administration, 0.2-4.0 mg per administration, 0.2-2.0 mg per administration, about 0.1 mg per administration, or about 0.5 mg per administration.", "14.The method of any one of claims 1-13, further comprising administration of a second agent for the treatment of glaucoma.", "15.The method of claim 14, wherein the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "16.The method of any one of claims 8-15, wherein the periodic administration of laquinimod continues for at least 3 days, more than 30 days, more than 42 days, 8 weeks or more, at least 12 weeks, at least 24 weeks, more than 24 weeks, or 6 months or more.", "17.The method of any one of claims 1-16, wherein the subject is a human patient.", "18.A method of treating a subject suffering from retinal ganglion cell loss or retinal ganglion cell damage, or of reducing retinal ganglion cell loss or damage in a subject, comprising administering to the subject an amount of laquinimod effective to reduce retinal ganglion cell loss or retinal ganglion cell damage in the subject.", "19.A method of treating a subject suffering from elevated intraocular pressure, or of reducing intraocular pressure in a subject, comprising administering to the subject an amount of laquinimod effective to reduce intraocular pressure in the subject.", "20.A package comprising: a) a pharmaceutical composition comprising an amount of laquinimod; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "21.The package of claim 20, wherein the package comprises a second pharmaceutical composition comprising an amount of a second agent for the treatment of glaucoma.", "22.The package of claim 21, wherein the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "23.The package of any one of claims 20-22, wherein the pharmaceutical composition is the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "24.The package of claim 23, wherein the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution or 20-50 mg/ml solution.", "25.The package of claim 23, wherein the tablets are coated with a coating which inhibits oxygen from contacting the core.", "26.The package of claim 25, wherein the coating comprises a cellulosic polymer, a detackifier, a gloss enhancer, or pigment.", "27.The package of any one of claims 20-26, wherein the pharmaceutical composition further comprises mannitol, an alkalinizing agent, an oxidation reducing agent, a lubricant, a filler, and/or a desiccant.", "28.The package of claim 27, wherein the alkalinizing agent is meglumine.", "29.The package of any one of claims 20-27, wherein the pharmaceutical composition is stable and free of an alkalinizing agent or an oxidation reducing agent, preferably the pharmaceutical composition is free of an alkalinizing agent and free of an oxidation reducing agent.", "30.The package of any one of claims 20-29, wherein the pharmaceutical composition is stable and free of disintegrant.", "31.The package of claim 27, wherein the lubricant is present in the pharmaceutical composition as solid particles.", "32.The package of claim 27 or 31, wherein the lubricant is sodium stearyl fumarate or magnesium stearate.", "33.The package of claim 27, wherein the filler is present in the pharmaceutical composition as solid particles.", "34.The package of claim 27 or 33, wherein the filler is lactose, lactose monohydrate, starch, isomalt, mannitol, sodium starch glycolate, sorbitol, lactose spray dried, lactose anhydrouse, or a combination thereof.", "35.The package of claim 27, wherein the desiccant is silica gel.", "36.The package of any one of claims 20-35, wherein the pharmaceutical composition is stable and has a moisture content of no more than 4%.", "37.The package of any one of claims 20-36, wherein laquinimod is present in the pharmaceutical composition as solid particles.", "38.The package of any one of claims 20-37, wherein the package is a sealed packaging having a moisture permeability of not more than 15 mg/day per liter or a blister pack having a maximum moisture permeability of not more than 0.005 mg/day.", "39.The package of claim 38, wherein the sealed package is a bottle or comprises an HDPE bottle, preferably the bottle is closed with a heat induction liner.", "40.The package of claim 38 or 39, wherein the sealed package comprises an oxygen absorbing agent, which oxygen absorbing agent is preferably iron.", "41.The package of any one of claims 20-40, wherein the amount of laquinimod in the pharmaceutical composition is at least 0.2 mg, less than 0.6 mg, 0.1-40.0 mg, 0.03-600 mg, 0.1-2.5 mg, 0.25-2.0 mg, 0.5-1.2 mg, 0.25 mg, 0.3 mg, 0.5 mg, 0.6 mg, 1.0 mg, 1.2 mg, 1.5 mg, or 2.0 mg. 42.The package of any one of claims 20-41, wherein the pharmaceutical composition comprises unit doses of laquinimod of 0.05-4.0 mg, 0.05-2.0 mg, 0.2-4.0 mg, 0.2-2.0 mg, about 0.1 mg, or about 0.5 mg. 43.The package of any one of claims 20-42, wherein the pharmaceutical composition is formulated for intraocular, periocular, ocular, oral, systemic or topical administration.", "44.The package of any one of claims 20-43, for use in treating a subject afflicted with glaucoma.", "45.A therapeutic package for dispensing to, or for use in dispensing to, a subject afflicted with glaucoma, which comprises: a) one or more unit doses, each such unit dose comprising an amount of laquinimod thereof, wherein the amount of said laquinimod in said unit dose is effective, upon administration to said subject, to treat the subject, and b) a finished pharmaceutical container therefor, said container containing said unit dose or unit doses, said container further containing or comprising labeling directing the use of said package in the treatment of said subject.", "46.The therapeutic package of claim 45, wherein the package comprises a second pharmaceutical composition comprising an amount of a second agent for the treatment of glaucoma.", "47.The therapeutic package of claim 46, wherein the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "48.A pharmaceutical composition comprising an amount of laquinimod for use in treating a subject afflicted glaucoma.", "49.The pharmaceutical composition of claim 48, comprising an amount of a second agent for the treatment of glaucoma.", "50.The pharmaceutical composition of claim 49, wherein the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "51.The pharmaceutical composition of any one of claims 48-50, in the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "52.The pharmaceutical composition of claim 51, wherein the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution or 20-50 mg/ml solution.", "53.The pharmaceutical composition of claim 51, comprising a unit dose of 10 μL of an aqueous pharmaceutical solution which contains in solution at least 0.2 mg laquinimod.", "54.The pharmaceutical composition of any one of claims 48-53, wherein laquinimod is laquinimod sodium.", "55.The pharmaceutical composition of claim 51, wherein the tablets are coated with a coating which inhibits oxygen from contacting the core.", "56.The pharmaceutical composition of claim 55, wherein the coating comprises a cellulosic polymer, a detackifier, a gloss enhancer, or pigment.", "57.The pharmaceutical composition of any one of claims 48-56, further comprising mannitol, an alkalinizing agent, an oxidation reducing agent, a lubricant and/or a filler.", "58.The pharmaceutical composition of claim 57, wherein the alkalinizing agent is meglumine.", "59.The pharmaceutical composition of any one of claims 48-57, which is free of an alkalinizing agent or an oxidation reducing agent, preferably the pharmaceutical composition is free of an alkalinizing agent and free of an oxidation reducing agent.", "60.The pharmaceutical composition of any one of claims 48-59, which is stable and free of disintegrant.", "61.The pharmaceutical composition of claim 57, wherein the lubricant is present in the pharmaceutical composition as solid particles.", "62.The pharmaceutical composition of claim 57 or 61, wherein the lubricant is sodium stearyl fumarate or magnesium stearate.", "63.The pharmaceutical composition of claim 57, wherein the filler is present in the pharmaceutical composition as solid particles.", "64.The pharmaceutical composition of claim 57 or 63, wherein the filler is lactose, lactose monohydrate, starch, isomalt, mannitol, sodium starch glycolate, sorbitol, lactose spray dried, lactose anhydrouse, or a combination thereof.", "65.The pharmaceutical composition of any one of claims 48-64, wherein the amount of laquinimod is at least 0.2 mg, less than 0.6 mg, 0.1-40.0 mg, 0.03-600 mg, 0.1-2.5 mg, 0.25-2.0 mg, 0.5-1.2 mg, 0.25 mg, 0.3 mg, 0.5 mg, 0.6 mg, 1.0 mg, 1.2 mg, 1.5 mg, or 2.0 mg. 66.The pharmaceutical composition of any one of claims 48-65, comprising unit doses of laquinimod of 0.05-4.0 mg, 0.05-2.0 mg, 0.2-4.0 mg, 0.2-2.0 mg, about 0.1 mg, or about 0.5 mg. 67.The pharmaceutical composition of any one of claims 48-66, formulated for intraocular, periocular, ocular, oral, systemic or topical administration.", "68.The pharmaceutical composition of any one of claims 48-67, for use in treating a subject afflicted with glaucoma.", "69.A pharmaceutical composition in unit dosage form, useful in treating a subject afflicted with glaucoma, which comprises an amount of laquinimod; which amount of said laquinimod in said composition is effective, upon administration to said subject of one or more of said unit dosage forms of said composition, to treat the subject.", "70.A package comprising: a) a pharmaceutical composition of any one of claims 48-69; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "71.Laquinimod for the manufacture of a medicament for use in treating a subject afflicted glaucoma." ], [ "<SOH> BACKGROUND <EOH>Glaucoma is a group of ocular diseases characterized by progressive damage to the eye at least partly due to elevated intraocular pressure (IOP) (Merck Manual of Diagnosis and Therapy (1999)).", "Additionally, glaucoma is characterized by retinal ganglion cell (RGC) death, axon loss and an excavated appearance of the optic nerve head (Alward 1998).", "Glaucoma can be diagnosed before vision loss occurs by visual field testing and by ophthalmoscopic examination of the optic nerve to detect “cupping.” The mean IOP in normal adults is 15 to 16 mm Hg; the normal range is 10 to 21 mm Hg.", "One form of management of glaucoma is based on lowering the IOP using topically applied medications (Coleman 1999).", "Currently there are five major classes of medications that are used to lower the IOP: β-adrenergic antagonists, adrenergic agonists, parasympathomimetics, prostaglandin-like analogues and carbonic anhydrase inhibitors (Medeiros et al.", "2002).", "Although most medications are applied topically to the eye, they can cause severe systemic side effects and adversely affect the quality of the patient's life.", "If additional lowering of IOP is indicated or if medication fails to sufficiently lower the IOP, laser trabeculoplasty is usually the next step.", "If IOP is still not adequately controlled, incisional glaucoma surgery is indicated (Id).", "The lowering of IOP, despite significantly reducing the extent of neuronal loss, does not ensure cessation of the disease process, because the loss of RGCs may continue.", "Recent studies of the association between IOP regulation and visual field loss after medical or surgical intervention showed that ongoing neuronal loss reflected in visual field tests can be diminished if the IOP is low.", "However, neuronal loss may continue to occur after reduction of IOP (Bakalash et al.", "2002).", "Glaucomatous optic neuropathy appears to result from specific pathophysiological changes and subsequent death of RGCs and their axons.", "The process of RGC death is thought to be biphasic: a primary injury responsible for initiation of damage followed by a slower, secondary degeneration attributable to the hostile environment surrounding the degenerating cells (Kipnis et al.", "2000).", "The molecular mechanism triggering RGC death has not been identified.", "Deprivation of neurotrophic factors, ischemia, chronic elevation of glutamate and disorganized nitric oxide metabolism are suspected to be possible mechanisms (Farkas et al.", "2001).", "In addition, it is possible that the mechanisms leading to RGC death share common features with other types of neuronal injury, such as signaling by reactive oxygen species, depolarization of mitochondria, or induction of transcriptionally regulated cell death (Weinreb et al.", "1999).", "Laquinimod Laquinimod (LAQ) is a novel synthetic compound with high oral bioavailability which has been suggested as an oral formulation for the treatment of Multiple Sclerosis (MS) (Polman, 2005; Sandberg-Wollheim, 2005).", "Laquinimod and its sodium salt form are described, for example, in U.S. Pat.", "No.", "6,077,851.The mechanism of action of laquinimod is not fully understood.", "Animal studies show it causes a Th1 (T helper 1 cell, which produces pro-inflammatory cytokines) to Th2 (T helper 2 cell, which produces anti-inflammatory cytokines) shift with an anti-inflammatory profile (Yang, 2004; Brück, 2011).", "Another study demonstrated (mainly via the NFkB pathway) that laquinimod induced suppression of genes related to antigen presentation and corresponding inflammatory pathways (Gurevich, 2010).", "Other suggested potential mechanisms of action include inhibition of leukocyte migration into the CNS, increase of axonal integrity, modulation of cytokine production, and increase in levels of brain-derived neurotrophic factor (BDNF) (Runström, 2006; Brück, 2011).", "The effects of laquinimod on glaucoma have not previously been studied." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The subject invention provides a method of treating a subject afflicted with glaucoma comprising administering to the subject an amount of laquinimod effective to treat the subject.", "The subject invention also provides a method of treating a subject suffering from retinal ganglion cell loss or retinal ganglion cell damage, or of reducing retinal ganglion cell loss or damage in a subject, comprising administering to the subject an amount of laquinimod effective to reduce retinal ganglion cell loss or retinal ganglion cell damage in the subject.", "The subject invention also provides a method of treating a subject suffering from elevated intraocular pressure, or of reducing intraocular pressure in a subject, comprising administering to the subject an amount of laquinimod effective to reduce intraocular pressure in the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition comprising an amount of laquinimod; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "The subject invention also provides a therapeutic package for dispensing to, or for use in dispensing to, a subject afflicted with glaucoma, which comprises a) one or more unit doses, each such unit dose comprising an amount of laquinimod thereof, wherein the amount of said laquinimod in said unit dose is effective, upon administration to said subject, to treat the subject, and b) a finished pharmaceutical container therefor, said container containing said unit dose or unit doses, said container further containing or comprising labeling directing the use of said package in the treatment of said subject.", "The subject invention also provides a pharmaceutical composition and a package as described herein for use in treating a subject afflicted with glaucoma.", "The subject invention also provides a pharmaceutical composition in unit dosage form, useful in treating a subject afflicted with glaucoma, which comprises an amount of laquinimod; which amount of said laquinimod in said composition is effective, upon administration to said subject of one or more of said unit dosage forms of said composition, to treat the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition as described herein; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "The subject invention also provides laquinimod for the manufacture of a medicament for use in treating a subject afflicted glaucoma." ], [ "This application claims benefit of U.S.", "Provisional Application No.", "61/904,962, filed Nov. 15, 2013, the entire content of which is hereby incorporated by reference herein.", "Throughout this application, various publications are referred to by first author and year of publication.", "Full citations for these publications are presented in a References section immediately before the claims.", "Disclosures of the documents and publications referred to herein are hereby incorporated in their entireties by reference into this application.", "BACKGROUND Glaucoma is a group of ocular diseases characterized by progressive damage to the eye at least partly due to elevated intraocular pressure (IOP) (Merck Manual of Diagnosis and Therapy (1999)).", "Additionally, glaucoma is characterized by retinal ganglion cell (RGC) death, axon loss and an excavated appearance of the optic nerve head (Alward 1998).", "Glaucoma can be diagnosed before vision loss occurs by visual field testing and by ophthalmoscopic examination of the optic nerve to detect “cupping.” The mean IOP in normal adults is 15 to 16 mm Hg; the normal range is 10 to 21 mm Hg.", "One form of management of glaucoma is based on lowering the IOP using topically applied medications (Coleman 1999).", "Currently there are five major classes of medications that are used to lower the IOP: β-adrenergic antagonists, adrenergic agonists, parasympathomimetics, prostaglandin-like analogues and carbonic anhydrase inhibitors (Medeiros et al.", "2002).", "Although most medications are applied topically to the eye, they can cause severe systemic side effects and adversely affect the quality of the patient's life.", "If additional lowering of IOP is indicated or if medication fails to sufficiently lower the IOP, laser trabeculoplasty is usually the next step.", "If IOP is still not adequately controlled, incisional glaucoma surgery is indicated (Id).", "The lowering of IOP, despite significantly reducing the extent of neuronal loss, does not ensure cessation of the disease process, because the loss of RGCs may continue.", "Recent studies of the association between IOP regulation and visual field loss after medical or surgical intervention showed that ongoing neuronal loss reflected in visual field tests can be diminished if the IOP is low.", "However, neuronal loss may continue to occur after reduction of IOP (Bakalash et al.", "2002).", "Glaucomatous optic neuropathy appears to result from specific pathophysiological changes and subsequent death of RGCs and their axons.", "The process of RGC death is thought to be biphasic: a primary injury responsible for initiation of damage followed by a slower, secondary degeneration attributable to the hostile environment surrounding the degenerating cells (Kipnis et al.", "2000).", "The molecular mechanism triggering RGC death has not been identified.", "Deprivation of neurotrophic factors, ischemia, chronic elevation of glutamate and disorganized nitric oxide metabolism are suspected to be possible mechanisms (Farkas et al.", "2001).", "In addition, it is possible that the mechanisms leading to RGC death share common features with other types of neuronal injury, such as signaling by reactive oxygen species, depolarization of mitochondria, or induction of transcriptionally regulated cell death (Weinreb et al.", "1999).", "Laquinimod Laquinimod (LAQ) is a novel synthetic compound with high oral bioavailability which has been suggested as an oral formulation for the treatment of Multiple Sclerosis (MS) (Polman, 2005; Sandberg-Wollheim, 2005).", "Laquinimod and its sodium salt form are described, for example, in U.S. Pat.", "No.", "6,077,851.The mechanism of action of laquinimod is not fully understood.", "Animal studies show it causes a Th1 (T helper 1 cell, which produces pro-inflammatory cytokines) to Th2 (T helper 2 cell, which produces anti-inflammatory cytokines) shift with an anti-inflammatory profile (Yang, 2004; Brück, 2011).", "Another study demonstrated (mainly via the NFkB pathway) that laquinimod induced suppression of genes related to antigen presentation and corresponding inflammatory pathways (Gurevich, 2010).", "Other suggested potential mechanisms of action include inhibition of leukocyte migration into the CNS, increase of axonal integrity, modulation of cytokine production, and increase in levels of brain-derived neurotrophic factor (BDNF) (Runström, 2006; Brück, 2011).", "The effects of laquinimod on glaucoma have not previously been studied.", "SUMMARY OF THE INVENTION The subject invention provides a method of treating a subject afflicted with glaucoma comprising administering to the subject an amount of laquinimod effective to treat the subject.", "The subject invention also provides a method of treating a subject suffering from retinal ganglion cell loss or retinal ganglion cell damage, or of reducing retinal ganglion cell loss or damage in a subject, comprising administering to the subject an amount of laquinimod effective to reduce retinal ganglion cell loss or retinal ganglion cell damage in the subject.", "The subject invention also provides a method of treating a subject suffering from elevated intraocular pressure, or of reducing intraocular pressure in a subject, comprising administering to the subject an amount of laquinimod effective to reduce intraocular pressure in the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition comprising an amount of laquinimod; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "The subject invention also provides a therapeutic package for dispensing to, or for use in dispensing to, a subject afflicted with glaucoma, which comprises a) one or more unit doses, each such unit dose comprising an amount of laquinimod thereof, wherein the amount of said laquinimod in said unit dose is effective, upon administration to said subject, to treat the subject, and b) a finished pharmaceutical container therefor, said container containing said unit dose or unit doses, said container further containing or comprising labeling directing the use of said package in the treatment of said subject.", "The subject invention also provides a pharmaceutical composition and a package as described herein for use in treating a subject afflicted with glaucoma.", "The subject invention also provides a pharmaceutical composition in unit dosage form, useful in treating a subject afflicted with glaucoma, which comprises an amount of laquinimod; which amount of said laquinimod in said composition is effective, upon administration to said subject of one or more of said unit dosage forms of said composition, to treat the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition as described herein; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "The subject invention also provides laquinimod for the manufacture of a medicament for use in treating a subject afflicted glaucoma.", "BRIEF DESCRIPTION OF THE FIGURES FIG.", "1: Example 1: Mean ΔIOP (OHT minus Non-OHT) (mmHg).", "FIG.", "2: Example 1: % Fluoro-gold Labeled RGC Loss.", "FIG.", "3: Example 1: Mean Fluoro-gold Labeled RGC count per mm2.FIG.", "4: Optic Nerve Injury Grade (1-5).", "FIG.", "5A: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 48, Group 1, Left Eye.", "FIG.", "5B: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 48, Group 1, Right Eye.", "FIG.", "5C: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 59, Group 2, Left Eye.", "FIG.", "5D: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 59, Group 2, Right Eye.", "FIG.", "5E: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 22, Group 3, Left Eye.", "FIG.", "5F: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 22, Group 3, Right Eye.", "FIG.", "5G: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 31, Group 4, Left Eye.", "FIG.", "5H: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 31, Group 4, Right Eye.", "FIG.", "5I: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 35, Group 5, Left Eye.", "FIG.", "5J: Example 1: Representative images of the retinas with FG-labeled RGC—Animal Number 35, Group 5, Right Eye.", "DETAILED DESCRIPTION OF THE INVENTION The subject invention provides a method of treating a subject afflicted with glaucoma comprising administering to the subject an amount of laquinimod effective to treat the subject.", "In one embodiment, the administration of laquinimod is effective to reduce or inhibit a symptom of the glaucoma in the subject.", "In another embodiment, the symptom is retinal ganglion cell damage, retinal ganglion cell loss, or elevated intraocular pressure.", "In another embodiment, laquinimod is laquinimod sodium.", "In one embodiment, the route of administration of laquinimod is intraocular, periocular, systemic or topical.", "In another embodiment, laquinimod is administered via oral administration.", "In another embodiment, laquinimod is administered via ocular administration.", "In another embodiment, laquinimod is administered in the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "In an embodiment, the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution, or 20-50 mg/ml solution.", "In another embodiment, laquinimod is administered periodically.", "In another embodiment, laquinimod is administered daily.", "In another embodiment, laquinimod is administered more often than once daily.", "In yet another embodiment, laquinimod is administered less often than once daily.", "In one embodiment, the amount laquinimod administered is at least 0.2 mg/day and/or less than 0.6 mg/day.", "In another embodiment, the amount laquinimod administered is 0.03-600 mg/day, 0.1-40.0 mg/day, 0.1-2.5 mg/day, 0.25-2.0 mg/day or 0.5-1.2 mg/day.", "In another embodiment, the amount laquinimod administered is 0.25 mg/day, 0.3 mg/day, 0.5 mg/day, 0.6 mg/day, 1.0 mg/day, 1.2 mg/day, 1.5 mg/day or 2.0 mg/day.", "In yet another embodiment, the amount of laquinimod administered is 0.05-4.0 mg per administration, 0.05-2.0 mg per administration, 0.2-4.0 mg per administration, 0.2-2.0 mg per administration, about 0.1 mg per administration, or about 0.5 mg per administration.", "In one embodiment of the present invention, the method further comprises administration of a second agent for the treatment of glaucoma.", "In another embodiment, the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "In one embodiment, the periodic administration of laquinimod continues for at least 3 days, more than 30 days, more than 42 days, 8 weeks or more, at least 12 weeks, at least 24 weeks, more than 24 weeks, or 6 months or more.", "In another embodiment, the subject is a human patient.", "The subject invention also provides a method of treating a subject suffering from retinal ganglion cell loss or retinal ganglion cell damage, or of reducing retinal ganglion cell loss or damage in a subject, comprising administering to the subject an amount of laquinimod effective to reduce retinal ganglion cell loss or retinal ganglion cell damage in the subject.", "The subject invention also provides a method of treating a subject suffering from elevated intraocular pressure, or of reducing intraocular pressure in a subject, comprising administering to the subject an amount of laquinimod effective to reduce intraocular pressure in the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition comprising an amount of laquinimod; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "In one embodiment of the present invention, the package comprises a second pharmaceutical composition comprising an amount of a second agent for the treatment of glaucoma.", "In another embodiment, the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "In one embodiment, the pharmaceutical composition is the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "In another embodiment, the pharmaceutical composition is in a liquid or a gel form.", "In one embodiment, the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution or 20-50 mg/ml solution.", "In another embodiment, the pharmaceutical composition is in capsule form or in tablet form.", "In another embodiment, the tablets are coated with a coating which inhibits oxygen from contacting the core.", "In another embodiment, the coating comprises a cellulosic polymer, a detackifier, a gloss enhancer, or pigment.", "In one embodiment, the pharmaceutical composition further comprises mannitol.", "In another embodiment, the pharmaceutical composition further comprises an alkalinizing agent.", "In another embodiment, the alkalinizing agent is meglumine.", "In another embodiment, the pharmaceutical composition further comprises an oxidation reducing agent.", "In one embodiment, the pharmaceutical composition is stable and free of an alkalinizing agent or an oxidation reducing agent.", "In another embodiment, the pharmaceutical composition is free of an alkalinizing agent and free of an oxidation reducing agent.", "In one embodiment, the pharmaceutical composition is stable and free of disintegrant.", "In another embodiment, the pharmaceutical composition further comprises a lubricant.", "In another embodiment, the lubricant is present in the pharmaceutical composition as solid particles.", "In another embodiment, the lubricant is sodium stearyl fumarate or magnesium stearate.", "In one embodiment, the pharmaceutical composition further comprises a filler.", "In another embodiment, the filler is present in the pharmaceutical composition as solid particles.", "In another embodiment, the filler is lactose, lactose monohydrate, starch, isomalt, mannitol, sodium starch glycolate, sorbitol, lactose spray dried, lactose anhydrouse, or a combination thereof.", "In another embodiment, the filler is mannitol or lactose monohydrate.", "In one embodiment, the package further comprises a desiccant.", "In another embodiment, the desiccant is silica gel.", "In one embodiment, the pharmaceutical composition is stable and has a moisture content of no more than 4%.", "In another embodiment, laquinimod is present in the pharmaceutical composition as solid particles.", "In another embodiment, the package is a sealed packaging having a moisture permeability of not more than 15 mg/day per liter.", "In another embodiment, the sealed package is a blister pack in which the maximum moisture permeability is no more than 0.005 mg/day.", "In another embodiment, the sealed package is a bottle.", "In another embodiment, the bottle is closed with a heat induction liner.", "In another embodiment, the sealed package comprises an HDPE bottle.", "In another embodiment, the sealed package comprises an oxygen absorbing agent.", "In another embodiment, the oxygen absorbing agent is iron.", "In one embodiment, the amount of laquinimod in the pharmaceutical composition is at least 0.2 mg or less than 0.6 mg.", "In another embodiment, the amount of laquinimod in the pharmaceutical composition is 0.1-40.0 mg, 0.03-600 mg, 0.1-2.5 mg, 0.25-2.0 mg, 0.5-1.2 mg, 0.25 mg, 0.3 mg, 0.5 mg, 0.6 mg, 1.0 mg, 1.2 mg, 1.5 mg, or 2.0 mg.", "In another embodiment, the pharmaceutical composition comprises unit doses of laquinimod of 0.05-4.0 mg, 0.05-2.0 mg, 0.2-4.0 mg, 0.2-2.0 mg, about 0.1 mg, or about 0.5 mg.", "In one embodiment, the pharmaceutical composition is formulated for intraocular, periocular, systemic or topical administration.", "In another embodiment, the pharmaceutical composition is formulated for oral or ocular administration.", "The subject invention also provides packages as described herein for use in treating a subject afflicted with glaucoma.", "The subject invention also provides a therapeutic package for dispensing to, or for use in dispensing to, a subject afflicted with glaucoma, which comprises a) one or more unit doses, each such unit dose comprising an amount of laquinimod thereof, wherein the amount of said laquinimod in said unit dose is effective, upon administration to said subject, to treat the subject, and b) a finished pharmaceutical container therefor, said container containing said unit dose or unit doses, said container further containing or comprising labeling directing the use of said package in the treatment of said subject.", "In one embodiment, the therapeutic package comprises a second pharmaceutical composition comprising an amount of a second agent for the treatment of glaucoma.", "In another embodiment, the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "The subject invention also provides a pharmaceutical composition comprising an amount of laquinimod for use in treating a subject afflicted glaucoma.", "In one embodiment, the pharmaceutical composition comprises an amount of a second agent for the treatment of glaucoma.", "In another embodiment, the second agent is a β-adrenergic antagonist, adrenergic agonist, parasympathomimetic, prostaglandin-like analog, or carbonic anhydrase inhibitor.", "In an embodiment, the pharmaceutical composition is in the form of an aerosol, an inhalable powder, an injectable, a liquid, a gel, a solid, a capsule or a tablet.", "In another embodiment, the pharmaceutical composition is in a liquid or a gel form.", "In an embodiment, the concentration of laquinimod in the liquid or gel is 5-100 mg/ml solution, 20-100 mg/ml solution, 10-15 mg/ml solution or 20-50 mg/ml solution.", "In another embodiment, the pharmaceutical composition comprises a unit dose of 10 μL of an aqueous pharmaceutical solution which contains in solution at least 0.2 mg laquinimod.", "In another embodiment, laquinimod is laquinimod sodium.", "In one embodiment, the pharmaceutical composition is in capsule form or in tablet form.", "In another embodiment, the tablets are coated with a coating which inhibits oxygen from contacting the core.", "In another embodiment, the coating comprises a cellulosic polymer, a detackifier, a gloss enhancer, or pigment.", "In another embodiment, the pharmaceutical composition further comprises mannitol.", "In one embodiment, the pharmaceutical composition further comprises an alkalinizing agent.", "In another embodiment, the alkalinizing agent is meglumine.", "In another embodiment, the pharmaceutical composition further comprises an oxidation reducing agent.", "In one embodiment, the pharmaceutical composition is free of an alkalinizing agent or an oxidation reducing agent.", "In another embodiment, the pharmaceutical composition is free of an alkalinizing agent and free of an oxidation reducing agent.", "In one embodiment, the pharmaceutical composition is stable and free of disintegrant.", "In another embodiment, the pharmaceutical composition further comprises a lubricant.", "In another embodiment, the lubricant is present in the pharmaceutical composition as solid particles.", "In another embodiment, the lubricant is sodium stearyl fumarate or magnesium stearate.", "In one embodiment, the pharmaceutical composition further comprises a filler.", "In another embodiment, the filler is present in the pharmaceutical composition as solid particles.", "In another embodiment, the filler is lactose, lactose monohydrate, starch, isomalt, mannitol, sodium starch glycolate, sorbitol, lactose spray dried, lactose anhydrouse, or a combination thereof.", "In another embodiment, the filler is mannitol or lactose monohydrate.", "In one embodiment, the amount of laquinimod in the pharmaceutical composition is at least 0.2 mg or less than 0.6 mg.", "In another embodiment, the amount of laquinimod in is 0.1-40.0 mg, 0.03-600 mg, 0.1-2.5 mg, 0.25-2.0 mg, 0.5-1.2 mg, 0.25 mg, 0.3 mg, 0.5 mg, 0.6 mg, 1.0 mg, 1.2 mg, 1.5 mg, or 2.0 mg.", "In another embodiment, the pharmaceutical composition comprises unit doses of laquinimod of 0.05-4.0 mg, 0.05-2.0 mg, 0.2-4.0 mg, 0.2-2.0 mg, about 0.1 mg, or about 0.5 mg.", "In one embodiment, the pharmaceutical composition is formulated for intraocular, periocular, systemic or topical administration.", "In another embodiment, the pharmaceutical composition is formulated for oral or ocular administration.", "The subject invention also provides a pharmaceutical composition as described herein for use in treating a subject afflicted with glaucoma.", "The subject invention also provides a pharmaceutical composition in unit dosage form, useful in treating a subject afflicted with glaucoma, which comprises an amount of laquinimod; which amount of said laquinimod in said composition is effective, upon administration to said subject of one or more of said unit dosage forms of said composition, to treat the subject.", "The subject invention also provides a package comprising a) a pharmaceutical composition as described herein; and b) instruction for use of the pharmaceutical composition to treat a subject afflicted with glaucoma.", "The subject invention also provides laquinimod for the manufacture of a medicament for use in treating a subject afflicted glaucoma.", "For the foregoing embodiments, each embodiment disclosed herein is contemplated as being applicable to each of the other disclosed embodiments.", "For instance, the elements recited in the method embodiments can be used in the pharmaceutical composition, package, and use embodiments described herein and vice versa.", "Terms As used herein, and unless stated otherwise, each of the following terms shall have the definition set forth below.", "As used herein, “laquinimod” means laquinimod acid or a pharmaceutically acceptable salt thereof.", "A “salt thereof” is a salt of the instant compounds which have been modified by making acid or base salts of the compounds.", "The term “pharmaceutically acceptable salt” in this respect, refers to the relatively non-toxic, inorganic and organic acid or base addition salts of compounds of the present invention.", "For example, one means of preparing such a salt is by treating a compound of the present invention with an inorganic base.", "As used herein, an “amount” or “dose” of laquinimod as measured in milligrams refers to the milligrams of laquinimod acid present in a preparation, regardless of the form of the preparation.", "A “dose of 0.6 mg laquinimod” means the amount of laquinimod acid in a preparation is 0.6 mg, regardless of the form of the preparation.", "Thus, when in the form of a salt, e.g.", "a laquinimod sodium salt, the weight of the salt form necessary to provide a dose of 0.6 mg laquinimod would be greater than 0.6 mg (e.g., 0.64 mg) due to the presence of the additional salt ion.", "As used herein, a “unit dose”, “unit doses” and “unit dosage form(s)” mean a single drug administration entity/entities.", "As used herein, “about” in the context of a numerical value or range means±10% of the numerical value or range recited or claimed.", "As used herein, a composition that is “free” of a chemical entity means that the composition contains, if at all, an amount of the chemical entity which cannot be avoided although the chemical entity is not part of the formulation and was not affirmatively added during any part of the manufacturing process.", "For example, a composition which is “free” of an alkalizing agent means that the alkalizing agent, if present at all, is a minority component of the composition by weight.", "Preferably, when a composition is “free” of a component, the composition comprises less than 0.1 wt %, 0.05 wt %, 0.02 wt %, or 0.01 wt % of the component.", "As used herein, “alkalizing agent” is used interchangeably with the term “alkaline-reacting component” or “alkaline agent” and refers to any pharmaceutically acceptable excipient which neutralizes protons in, and raises the pH of, the pharmaceutical composition in which it is used.", "As used herein, “oxidation reducing agent” refers to a group of chemicals which includes an “antioxidant”, a “reduction agent” and a “chelating agent”.", "As used herein, “antioxidant” refers to a compound selected from the group consisting of tocopherol, methionine, glutathione, tocotrienol, dimethyl glycine, betaine, butylated hydroxyanisole, butylated hydroxytoluene, turmerin, vitamin E, ascorbyl palmitate, tocopherol, deteroxime mesylate, methyl paraben, ethyl paraben, butylated hydroxyanisole, butylated hydroxytoluene, propyl gallate, sodium or potassium metabisulfite, sodium or potassium sulfite, alpha tocopherol or derivatives thereof, sodium ascorbate, disodium edentate, BHA (butylated hydroxyanisole), a pharmaceutically acceptable salt or ester of the mentioned compounds, and mixtures thereof.", "The term “antioxidant” as used herein also refers to Flavonoids such as those selected from the group of quercetin, morin, naringenin and hesperetin, taxifolin, afzelin, quercitrin, myricitrin, genistein, apigenin and biochanin A, flavone, flavopiridol, isoflavonoids such as the soy isoflavonoid, genistein, catechins such as the tea catechin epigallocatechin gallate, flavonol, epicatechin, hesperetin, chrysin, diosmin, hesperidin, luteolin, and rutin.", "As used herein, “reduction agent” refers to a compound selected from the group consisting of thiol-containing compound, thioglycerol, mercaptoethanol, thioglycol, thiodiglycol, cysteine, thioglucose, dithiothreitol (DTT), dithio-bis-maleimidoethane (DTME), 2,6-di-tert-butyl-4-methylphenol (BHT), sodium dithionite, sodium bisulphite, formamidine sodium metabisulphite, and ammonium bisulphite.” As used herein, “chelating agent” refers to a compound selected from the group consisting of penicillamine, trientine, N,N′-diethyldithiocarbamate (DDC), 2,3,2′-tetraamine (2,3,2′-tet), neocuproine, N,N,N′,N′-tetrakis(2-pyridylmethyl)ethylenediamine (TPEN), 1,10-phenanthroline (PHE), tetraethylenepentamine, triethylenetetraamine and tris(2-carboxyethyl) phosphine (TCEP), ferrioxamine, CP94, EDTA, deferoxainine B (DFO) as the methanesulfonate salt (also known as desferrioxanilne B mesylate (DFOM)), desferal from Novartis (previously Ciba-Giegy), and apoferritin.", "As used herein, a pharmaceutical composition is “stable” when the composition preserves the physical stability/integrity and/or chemical stability/integrity of the active pharmaceutical ingredient during storage.", "Furthermore, “stable pharmaceutical composition” is characterized by its level of degradation products not exceeding 5% at 40° C./75% RH after 6 months or 3% at 55° C./75% RH after two weeks, compared to their level in time zero.", "As used herein, “effective” when referring to an amount of laquinimod refers to the quantity of laquinimod that is sufficient to yield a desired therapeutic response.", "Efficacy can be measured by e.g., a reduced intraocular pressure (IOP).", "Administering to the subject” or “administering to the (human) patient” means the giving of, dispensing of, or application of medicines, drugs, or remedies to a subject/patient to relieve, cure, or reduce the symptoms associated with a condition, e.g., a pathological condition.", "The administration can be periodic administration.", "As used herein, “periodic administration” means repeated/recurrent administration separated by a period of time.", "The period of time between administrations is preferably consistent from time to time.", "Periodic administration can include administration, e.g., once daily, twice daily, three times daily, four times daily, weekly, twice weekly, three times weekly, four times weekly and so on, etc.", "The route of administration can be, e.g., topical.", "Routes of administration can also be classified by whether the effect is local (e.g., in topical administration) or systemic (e.g., in enteral or parenteral administration).", "“Local administration” as used herein shall mean administration of a compound or composition directly to where its action is desired, and specifically excludes systemic administration.", "“Topical administration” of a compound or composition as used herein shall mean application of the compound or composition to body surfaces such as the skin or mucous membranes such as eyes.", "“Ocular administration” as used herein shall mean application of a compound or composition to the eye of a subject or to the skin around the eye (periocular skin) of a subject, i.e., local administration.", "Examples of ocular administration include topical administration directly to the eye, topical application to the eye lid or injection into a portion of the eye or eye socket.", "In addition, an “ocular pharmaceutical composition” as used herein means a pharmaceutical composition formulated for ocular administration.", "“Treating” as used herein encompasses, e.g., inducing inhibition, regression, or stasis of a disease or disorder, e.g., glaucoma, or alleviating, lessening, suppressing, inhibiting, reducing the severity of, eliminating or substantially eliminating, or ameliorating a symptom of the disease or disorder.", "“Inhibition” of disease progression or disease complication in a subject means preventing or reducing the disease progression and/or disease complication in the subject.", "A “symptom” associated with glaucoma includes any clinical or laboratory manifestation associated with glaucoma and is not limited to what the subject can feel or observe.", "As used herein, a subject “afflicted” with glaucoma means the subject has been diagnosed with glaucoma.", "As used herein, a subject at “baseline” is as subject prior to administration of laquinimod in a therapy as described herein.", "A “pharmaceutically acceptable carrier” refers to a carrier or excipient that is suitable for use with humans and/or animals without undue adverse side effects (such as toxicity, irritation, and allergic response) commensurate with a reasonable benefit/risk ratio.", "It can be a pharmaceutically acceptable solvent, suspending agent or vehicle, for delivering the instant compounds to the subject.", "It is understood that where a parameter range is provided, all integers within that range, and tenths thereof, are also provided by the invention.", "For example, “0.1-2.5 mg/day” includes 0.1 mg/day, 0.2 mg/day, 0.3 mg/day, etc.", "up to 2.5 mg/day.", "This invention will be better understood by reference to the Experimental Details which follow, but those skilled in the art will readily appreciate that the specific experiments detailed are only illustrative of the invention as described more fully in the claims which follow thereafter.", "EXPERIMENTAL DETAILS Example 1: Assessment of the Neuroprotective Efficacy of Laquinimod for the Retinal Ganglion Cell (RGC) Survival in a Rat Glaucoma Model The purpose of this study was to assess the efficacy of laquinimod in protecting against chronic ocular hypertension (OHT) and RGC degeneration in a rat model of glaucoma, created by injecting hypertonic saline into the episcleral veins in one eye of the Brown Norway rat.", "In this model, RGC degeneration occurs in response to increased IOP and OHT similar to that in human patients with glaucoma.", "SUMMARY The study included 5 groups (n=8 each): Group 1 (1% Laquinimod for topical administration), Group 2 (Vehicle for topical administration), Group 3 (4% Laquinimod for topical administration), Group 4 (0.25% Laquinimod for topical administration) and Group 5 (0.1% Laquinimod for oral administration).", "Prior to any experimental procedure, clinical observations were performed daily, body weights were obtained, intraocular pressure (IOP) was measured and detailed ocular examinations were performed on both eyes.", "Animals that were found to be clinically normal with no baseline ocular abnormalities were selected for use in the study.", "The rat model of chronic ocular hypertension (OHT)/glaucoma was created in the left eye of each animal via two hypertonic saline injections (HSI) each one week apart.", "The un-injected right eye served as the control.", "Throughout the study, the vehicle control and the test articles were prepared weekly.", "Rats were dosed once daily for oral administration (Group 5) and twice daily for topical administration groups (Groups 1-4), starting on the day of the first HSI until euthanasia.", "Detailed ocular examinations were performed one week after the 2nd HSI and on the day of euthanasia.", "Approximately one week prior to euthanasia, RGCs were retrogradely-labeled by bilateral injections of Fluoro-Gold (FG) into the superior colliculus in the brain.", "Post-dose IOP was measured weekly for 5 weeks starting one week after the 2nd HSI until euthanasia.", "For each IOP measurement time-point following the 2nd HSI, the IOP elevation was calculated as the difference between the IOP in the left eye with OHT and that in the non-OHT right eye (ΔIOP).", "The ΔIOP for the 5 weekly post-HSI IOP measurements were averaged and constituted the Mean ΔIOP for each animal.", "Rats which did not have individual IOP measurements of 50 mmHg in the OHT eyes were selected from a larger pool and groups (n=8 each) were matched for the Mean ΔIOP.", "Other animals were removed from the study.", "Animals were euthanized 5 weeks after the 2nd HSI.", "The retinas were flat-mounted, slide IDs were masked and 8 regions per retina were imaged using a confocal microscope.", "RGC at these regions were counted using the Image J software.", "After the optic nerves were extracted, they were plastic-embedded, sectioned and stained with Toluidine Blue at Schepens Eye Research Institute, Boston, Mass.", "The injury in the optic nerves was evaluated and graded by microscopic examination in a masked fashion.", "There were no abnormal clinical signs or ocular abnormalities noted in any of the 40 animals in the beginning of the study.", "There were no treatment-related findings in the clinical observations or ocular examinations during the study in any of the animals.", "A One-Way ANOVA indicated that the group body weights were not statistically different on the day of dosing among groups (P=0.957).", "Groups also did not differ in the amount of weight gained between the day of dosing and the day of euthanasia (One-Way ANOVA; P=0.559).", "For five weeks post-dose, the mean ΔIOP values (OHT−Non-OHT) (Mean±SD) were 9.1±2.2 mmHg, 9.1±2.6 mmHg, 9.3±2.7 mmHg, 9.2±1.5 mmHg, and 9.1±2.9 mmHg for Groups 1, 2, 3, 4 and 5, respectively.", "A One-Way ANOVA analysis indicated that the groups were well-matched for Mean ΔIOP (P>0.9).", "Compared to the non-OHT retinas, % Fluoro-gold labeled-RGC Loss (Mean±SD) in the OHT retinas was 17.6±33.8, 34.8±42.7, 26.8±36.4, 21.6±21.7, and 22.9±33.1 for Groups 1, 2, 3, 4 and 5, respectively.", "FG-Labeled RGC counts per mm2 were compared between the Non-OHT and the OHT retinas for each group using two-tailed paired-t tests.", "Group 2 (vehicle topical; P=0.047) and Group 4 (0.25% Laquinimod topical; P=0.033) had fewer RGCs in the OHT eye compared to the Non-OHT eyes.", "The number of RGCs in Group 3 (4% Laquinimod topical; P=0.071) and Group 5 (0.1% Laquinimod oral; P=0.075) were statistically different between the OHT and Non-OHT eyes.", "However, in Group 1 (1% Laquinimod topical), there was no statistically significant difference in the RGC counts between the OHT and Non-OHT eyes (P=0.189).", "This suggests that the daily topical application of 1% Laquinimod may be neuroprotective for the RGC.", "For the optic nerves in the Non-OHT (control) eyes, the Mean Injury Grades (Mean±SD) were 1.1±0.1, 1.2±0.2, 1.2±0.2, 1.2±0.3 and 1.2±0.2 for Groups 1, 2, 3, 4 and 5, respectively.", "For the optic nerves in the OHT eyes, the Mean Injury Grades (Mean±SD) for the OHT optic nerves were 2.5±1.3, 3.0±1.6, 3.0±1.5, 2.8±1.3 and 2.7±1.5 for Groups 1, 2, 3, 4, and 5 respectively.", "As a secondary analysis, Mean ON Injury Grades were compared between the Non-OHT and the OHT optic nerves for each group using two-tailed paired-t tests.", "The Mean ON injury grades were significantly greater in the OHT eyes compared to the Non-OHT control eyes in all groups (P<0.05).", "Materials Test Article: laquinimod sodium stored at room temperature protected from light.", "Control Article: 0% laquinimod sodium stored under refrigeration.", "Animals Number and Species: The study quote and outline-specified data were collected on 40 Brown Norway rats (Rattus norvegicus).", "Rats have been used historically as OHT models and there are no other approved alternative (non-animal methods).", "The study started with 90 animals to ensure that sufficient data were available at the end of the study.", "Sex: male Weight/Age Range: approximately 271.2-366.4 grams/at least 12 weeks old (adult) weighed to nearest 0.1 g. Procedure Ocular and oral exposure corresponds to the route of human exposure.", "For the four topical administration groups, the test and control articles were applied topically to the surface of one eye of the test system.", "The test article was administered orally for the fifth group of animals.", "Preparation of Test and Control Articles All test and control articles were prepared as described below.", "The final volume for the formulations described below is 200 mL but the formulations were proportionally changed to the required volume for each preparation.", "Three different concentrations (0.25%, 1%, and 4%) of the test article for topical application and the test article for oral gavage (0.1%) were prepared weekly.", "The control article for topical application was also prepared weekly.", "The identification of the test and control articles for the topical administration was masked after preparation of the topical formulations.", "TABLE 1 LAQ 4.0% Topical Formulation (40 mg/mL of LAQ) Material g/200 mL solution Laquinimod Sodium 8.52 (equivalent to 8 g Laquinimod acid) Sodium Phosphate Dibasic 7 Hydrate 2.497 Sodium Phosphate Monobasic Monohydrate 0.0942 Hydroxy Ethyl Cellulose (HEC) HX 0.3 Water for Injection Amount required to bring solution to 200 mL Table 1 Process: 1.The entire process is performed under yellow light or at dark conditions.", "2.Weigh 175 g (175 mL) of water for injection in a glass container containing a stirrer.", "3.Weigh and add the Sodium Phosphate Monobasic Monohydrate and Sodium Phosphate Dibasic 7 Hydrate and stir for approximately 5 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "4.Measure the pH (Approximately 7.7-8.3).", "5.Weigh and add Laquinimod Sodium to the solution.", "Rinse the weighing boat from the Laquinimod Sodium remaining with ˜5 mL of water and to the solution.", "Stir for approximately 5 minutes and verify complete dissolution by visual inspection of a clear transparent solution.", "6.Weigh and add the Hydroxy Ethyl Cellulose HX.", "7.Stir for approximately 2 hours.", "8.Remove the stirrer and add the solution to a 200 mL volumetric flask.", "9.Add water for injection up to 200 mL.", "10.Shake manually for approximately two minutes.", "11.Transfer into an appropriate container.", "Wrap with aluminum foil and keep the solution refrigerated.", "TABLE 2 LAQ 1.0% Topical Formulation (10 mg/mL of LAQ) Material g/200 mL solution Laquinimod Sodium 2.12 (equivalent to 2 g Laquinimod acid) Sodium Phosphate Dibasic 7 Hydrate 2.497 Sodium Phosphate Monobasic Monohydrate 0.0942 Hydroxy Ethyl Cellulose (HEC) HX 0.3 Sodium chloride 0.75 Water for Injection Amount required to bring solution to 200 mL Table 2 Process: 1.The entire process is performed under yellow light or at dark conditions.", "2.Weigh 175 g (175 mL) of water for injection in a glass container containing a stirrer.", "3.Weigh and add the Sodium Phosphate Monobasic Monohydrate and Sodium Phosphate Dibasic 7 Hydrate and stir for approximately 5 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "4.Measure the pH (Approximately 7.7 to 8.3).", "5.Weigh and add Laquinimod Sodium to the solution.", "Rinse the weighing boat from the Laquinimod Sodium remaining with ˜5 mL of water and to the solution.", "Stir for approximately 5 minutes and verify complete dissolution by visual inspection of a clear transparent solution.", "6.Weigh and add the Sodium Chloride to the solution.", "Stir for approximately 2 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "7.Weigh and add the Hydroxy Ethyl Cellulose HX.", "8.Stir for approximately 2 hours.", "9.Remove the stirrer and add the solution to a 200 mL volumetric flask.", "10.Add water for injection up to 200 mL.", "11.Shake manually for approximately two minutes.", "12.Transfer into an appropriate container.", "Wrap with aluminum foil and keep the solution refrigerated.", "TABLE 3 LAQ 0.25% Topical Formulation (2.5 mg/mL of LAQ) Material g/200 mL solution Laquinimod Sodium 0.54 (equivalent to 0.5 g Laquinimod acid) Sodium Phosphate Dibasic 7 Hydrate 2.497 Sodium Phosphate Monobasic Monohydrate 0.0942 Hydroxy Ethyl Cellulose (HEC) HX 0.3 Sodium chloride 0.94 Water for Injection Amount required to bring solution to 200 mL Table 3 Process: 1.The entire process is performed under yellow light or at dark conditions.", "2.Weigh 175 g (175 mL) of water for injection in a glass container containing a stirrer.", "3.Weigh and add the Sodium Phosphate Monobasic Monohydrate and Sodium Phosphate Dibasic 7 Hydrate and stir for approximately 5 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "4.Measure the pH (Approximately 7.7-8.3).", "5.Weigh and add Laquinimod Sodium to the solution.", "Rinse the weighing boat from the Laquinimod Sodium remaining with ˜5 mL of water and to the solution.", "Stir for approximately 5 minutes and verify complete dissolution by visual inspection of a clear transparent solution.", "6.Weigh and add the Sodium Chloride to the solution.", "Stir for approximately 2 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "7.Weigh and add the Hydroxy Ethyl Cellulose HX.", "8.Stir for approximately 2 hours.", "9.Remove the stirrer and add the solution to a 200 mL volumetric flask.", "10.Add water for injection up to 200 mL.", "11.Shake manually for approximately two minutes.", "12.Transfer into an appropriate container.", "Wrap with aluminum foil and keep the solution refrigerated.", "TABLE 4 LAQ 0% Topical Formulation (Control Article) Material g/200 mL solution Sodium Phosphate Dibasic 7 Hydrate 2.497 Sodium Phosphate Monobasic Monohydrate 0.0942 Hydroxy Ethyl Cellulose (HEC) HX 0.3 Sodium chloride 1 Water for Injection Amount required to bring solution to 200 mL Table 4 Process: 1.The entire process is performed under yellow light or at dark conditions.", "2.Weigh 175 g (175 mL) of water for injection in a glass container containing a stirrer.", "3.Weigh and add the Sodium Phosphate Monobasic Monohydrate and Sodium Phosphate Dibasic 7 Hydrate and stir for approximately 5 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "4.Measure the pH (Approximately 7.7 to 8.3).", "5.Weigh and add the Sodium Chloride to the solution.", "Stir for approximately 2 minutes.", "Verify complete dissolution by visual inspection of a clear transparent solution.", "6.Weigh and add the Hydroxy Ethyl Cellulose HX.", "7.Stir for approximately 2 hours.", "8.Remove the stirrer and add the solution to a 200 mL volumetric flask.", "9.Add water for injection up to 200 mL.", "10.Shake manually for approximately two minutes.", "11.Transfer into an appropriate container.", "TABLE 5 LAQ 0.1% Oral Formulation (1.0 mg/mL of LAQ) Material g/200 mL solution Laquinimod Sodium 0.2 (Equivalent to 0.2 g laquinimod acid) Autoclaved Tap Water Amount required to bring solution to 200 mL Table 5 Process: 1.The entire process is performed under yellow light or at dark conditions.", "2.Weigh 150 g (150 mL) of autoclaved tap water in a glass container containing a stirrer.", "3.Weigh and add Laquinimod Sodium to the solution.", "Rinse the remaining Laquinimod Sodium in the weighing boat with ˜5 mL of water and add to the solution.", "Stir for approximately 5 minutes and verify complete dissolution by visual inspection of a clear transparent solution.", "4.Add autoclaved tap water up to 200 mL.", "5.Stir for 5 minutes.", "6.Transfer into an appropriate amber Pre-Dose Administration and Selection of Animals: Clinical observations were performed daily according to Table 6 below: TABLE 6 Clinical Signs and Observations Observed Sign Involved System(s) Respiratory Dyspnea (abdominal breathing, CNS, pulmonary, cardiac gasping), apnea, cyanosis, tachypnea, nostril discharges Motor Activities Decrease/increase somnolence, CNS, somatomotor, sensory, loss of righting, anaesthesia, neuromuscular, autonomic catalepsy, ataxia, unusual locomotion, prostration, tremors, fasciculation Convulsion Clonic, tonic, tonic-clonic, CNS, neuromuscular, autonomic, asphyxial, opisthotonos respiratory Reflexes Corneal, righting, myotact, light, CNS, sensory, autonomic, startle reflex neuromuscular Ocular Signs Lacrimation, miosis, mydriasis, Autonomic, irritation exophthalmos, ptosis, opacity, iritis, conjunctivitis, chromodacryorrhea, relaxation of nictitating membrane Cardiovascular Signs Bradycardia, tachycardia, CNS, autonomic, cardiac, arrhythmia, vasodilation, pulmonary vasoconstriction Salivation Excessive Autonomic Piloerection Rough hair Autonomic Analgesia Decrease reaction CNS, sensory Muscle Tone Hypotonia, hypertonia Autonomic Gastrointestinal Soft stool, diarrhea, emesis, CNS, autonomic, sensory, GI diuresis, erythruria motility, kidney Skin Edema, erythema Tissue damage, irritation Animals were weighed weekly prior to initiation of dosing.", "The first day the rats are weighed was designated as Study Day 1, and the beginning of Study Week 1.Ophthalmic Examinations: Animals selected for the study were examined prior to the initial administration of the test or the control articles to ensure that both eyes were free of abnormality, damage, and disease.", "Both eyes were examined, scored and recorded prior to the initial dose administration using a hand held slit-lamp and a direct ophthalmoscope or the surgical microscope according to the Classification System for Grading Ocular Lesions as described in Table 7, and the Ocular Posterior Segment Scoring Scale of Table 8.Posterior segment examination was performed after topical application of Tropicamide in the conscious state, or after the rats are anesthetized with isoflorane inhalation.", "Only rats showing no signs of eye irritation, ocular defects, or preexisting corneal injury were used in the study.", "TABLE 7 Combined Draize and McDonald-Shadduck Scoring System CONJUNCTIVA A. REDNESS/CONGESTION 0 Vessels normal.", "May appear blanched to reddish pink without perilimbal injection (except at 12:00 and 6:00 o'clock positions) with vessels of the palpebral and bulbar conjunctivae easily observed.", "1 Vessels definitely injected above normal.", "A flushed, reddish color predominately confined to the palpebral conjunctivae with some perilimbal injection but primarily confined to the lower and upper parts of the eye from, the 4:00 and 7:00 and 11:00 to 1:00 o'clock positions.", "2*† More diffuse, deeper crimson red, individual vessels not easily discernible.", "Bright red color of the palpebral conjunctiva with accompanying perilimbar injection covering at least 75% of the circumference of the perilimbal region.", "3*† Diffuse beefy red.", "Dark beefy red color with congestion of both the bulbar and palpebral conjunctiva along with pronounced perilimbal injection and the presence of petechia on the conjunctiva.", "The B. CHEMOSIS: 0 Normal.", "No swelling of the conjunctival tissue.", "1 Any swelling above normal (includes nictitating membrane).", "Swelling above normal without eversion of the lids (can be easily ascertained by noting that the upper and lower eyelids are positioned as in the normal eye); swelling generally starts in the lower cul-de-sac near the inner canthus which needs slit-lamp examination.", "2*† Obvious swelling with partial eversion of lids.", "Swelling with misalignment of the normal approximation of the lower and upper eyelids; primarily confined to the upper eyelid so that in the initial stages the misapproximation of the eyelids begins by partial eversion of the upper eyelid.", "In this stage, swelling is confined generally to the upper eyelid, although it exists in the lower cul-de-sac.", "Swelling with lids about half closed.", "3*† Swelling definite with partial eversion of the upper and lower eyelids essentially equivalent.", "This can be easily ascertained by looking at the animal straight head on and noticing the positioning of the eyelids; if the eye margins do not meet, eversion has occurred.", "4*† Swelling with lids about half closed to completely closed.", "Eversion of the upper eyelid is pronounced with less pronounced eversion of the lower eyelid.", "It is difficult to retract the lids and observe the perilimbal region.", "C. DISCHARGE: 0 Normal or no discharge.", "1 Any amount different from normal (does not include small amounts observed in inner canthus of normal animals).", "Discharge above normal and present on the inner portion of the eye but not on the lids or hairs of the eyelids.", "One can ignore the small amount that is in the inner and outer canthus if it has not been removed prior to starting the study.", "2 Discharge with moistening of lids and hairs just adjacent to lids.", "Discharge is abundant, easily observed, and has collected on the lids around the hairs of the eyelids.", "3 Discharge with moistening of the lids and hairs, and considerable area around the eye.", "CORNEA D. OPACITY-degree of density (areas most dense taken for reading): 0 No ulceration or opacity.", "Normal cornea.", "Appears with the slit lamp as having a bright gray line on the endothelial surface and a bright gray line on the endothelial surface with a marble-like gray appearance of the stroma.", "1* Scattered or diffuse areas of opacity (other than slight dulling of normal luster), details of iris clearly visible.", "Some loss of transparency.", "Only the anterior one-half of the stroma is involved as observed with an optical section of the slit lamp.", "The underlying structures are clearly visible with diffuse illumination, although some cloudiness can be readily apparent with diffuse illumination.", "2*† Easily discernible translucent areas, details of iris slightly obscured.", "Moderate loss of transparency.", "In addition to involving the anterior stroma, the cloudiness extends all the way to the endothelium.", "The stroma has lost its marble-like appearance and is homogeneously white.", "With diffuse illumination, underlying structures are clearly visible.", "3*† Opalescent/nacreous areas, no details of iris visible, size of pupil barely discernible.", "Involvement of the entire thickness of the stroma.", "With optical section, the endothelial surface is still visible.", "However, with diffuse illumination the underlying structures are just barely visible (to the extent that the observer is still able to grade flare, iritis, observe for pupillary response, and note lenticular changes.", "4*† Opaque cornea, iris not discernible through opacity.", "Involvement of the entire thickness of the stroma.", "With the optical section, cannot clearly visualize the endothelium.", "With diffuse illumination, the underlying structures cannot be seen.", "Cloudiness removes the capability of judging and grading aqueous flare, iritis, lenticular changes, and pupillary response.", "E. AREAS OF CORNEA INVOLVED: 0 Normal cornea with no area of cloudiness.", "1 One-quarter (or less), but not zero.", "2 Greater than one-quarter, but less than one-half.", "3* Greater than one-half, but less than three-quarters.", "4* Greater than three-quarters, up to whole area.", "F. FLUORESCEIN STAINING: 0 Absence of fluorescein staining.", "1 Slight fluorescein staining confined to a small focus.", "With diffuse illumination the underlying structures are easily visible.", "The outline of the papillary margin is as if there were no fluorescein staining.", "2 Moderate fluorescein staining confined to a small focus.", "With diffuse illumination the underlying structures are clearly visible, although there is some loss of detail.", "3 Marked fluorescein staining.", "Staining may involve a larger portion of the cornea.", "With diffuse illumination the underlying structures are barely visible but are not completely obliterated.", "4 Extreme fluorescein staining.", "With diffuse illumination the underlying structures cannot be observed.", "G. CORNEA PANNUS: 0 No pannus 1 Vascularization is present but vessels have not invaded the entire corneal circumference.", "Where localized vessel invasion has occurred, they have not penetrated beyond 2 mm.", "2 Vessels have invaded 2 mm or more around the entire corneal circumference.", "IRIS 0 Normal iris without any hyperemia of the iris vessels.", "Occasionally around the 12:00 to 1:00 position near the pupillary border and the 6:00 and 7:00 position near the pupillary border there is a small area around 1-3 mm in diameter in which both the secondary and tertiary vessels are slightly hyperemic.", "1*† Folds above normal, congestion, swelling, circumcorneal injection (any or all of these or combination of any thereof), iris still reacting to light (sluggish reaction is positive).", "Minimal injection of secondary vessels but not tertiary.", "Generally, it is uniform, but may be of greater intensity at the 1:00 or 6:00 position, the tertiary vessels must be substantially hyperemic.", "2*† No reaction to light, hemorrhage, gross destruction (any or all of these).", "Minimal injection of tertiary vessels and minimal to moderate injection of the secondary vessels.", "3*† Moderate injection of the secondary and tertiary vessels with slight swelling of the iris stroma (this gives the iris surface a slightly rupose appearance which is usually most prominent near the 3:00 and 9:00 positions).", "4*† Marked injection of the secondary and tertiary vessels with marked swelling of the iris stroma.", "The iris appears rugose; may be accompanied by hemorrhage (hyperemia) in the anterior chamber.", "AQUEOUS FLARE 0 Absence of visible light beam in the anterior chamber (no Tyndall effect).", "1 Tyndall effect is barely discernible.", "The intensity of the light beam in the anterior chamber is less than the density of the slit beam as it passes through the lens.", "2 The Tyndall effect in the anterior chamber is easily discernible and is of equal intensity as the density of the slit beam as it passes through the lens.", "3 The Tyndall effect in the anterior chamber is easily discernible; its intensity is greater than the intensity of the slit beam as it passes through the lens.", "PUPILLARY LIGHT REFLEX: 0 Normal pupillary light reflex 1 Sluggish pupillary light reflex 2 No pupillary light reflex LENS 0 Normal 1 The presence of lenticular opacities should be described and the location noted as defined below: Anterior capsule Anterior subcapsule Anterior cortical Nuclear Posterior cortical Posterior subcapsule Posterior capsule *= Positive Reaction (ISO) †= Positive Reaction (OECD) TABLE 8 Ocular Posterior Segment Scoring Scale VITREOUS BODY 0 Normal, the vitreous body is clear or transparent.", "1 Abnormal, the vitreous body is not clear or not transparent and homogenous gel that fills the space between the posterior axial lens capsule, posterior chamber, and ocular fundus.", "OPTIC DISC/OPTIC NERVE 0 Normal, the optic disc and optic nerve are with light red color, cupping size normal (cup-to-disc ratio <0.2), and normal sharpness of edge, without swelling, hemorrhages, notching in the optic disc and any other unusual anomalies.", "1 Abnormal, the optic disc and optic nerve are not with light red color or cupping size normal (cup-to-disc ratio >0.2), and no normal sharpness of edge or with swelling, hemorrhages, notching in the optic disc and any other unusual anomalies.", "RETINAL BLOOD VASCULATURE 0 Normal, the retinal arteries and veins fill in blood and normalize sharpness without hemorrhage and exudation.", "1 Abnormal, the retinal arteries and veins don't fill in blood and don't have normalize sharpness or with hemorrhage and exudation.", "RETINAL HEMORHAGE, EXUDATION, AND DETACHMENT 0 Normal retina with no area of hemorrhage or/and exudation or/and detachment 1 Retinal hemorrhage or/and exudation or/and detachment ≤1 quadrant area 2 1 quadrant area < retinal hemorrhage or/and exudation or/and detachment ≤ 2 quadrant areas 3 2 quadrant areas < hemorrhage or/and exudation or/and detachment ≤ 3 quadrant areas 4 3 quadrant areas < retinal hemorrhage or/and exudation or/and detachment exudation ≤ 4 quadrant areas CHOROIDAL HEMORRHAGE, EXUDATION, AND DETACHMENT 0 Normal choroid with no area of choroidal and hemorrhage or/and exudation or/and detachment 1 Choroidal hemorrhage or/and exudation or/and detachment ≤1 quadrant area 2 quadrant area < Choroidal hemorrhage or/and exudation or/and detachment ≤ 2 quadrant areas 3 2 quadrant areas < Choroidal hemorrhage or/and exudation or/and detachment ≤ 3 quadrant areas 4 quadrant areas < Choroidal hemorrhage or/and exudation or/and detachment ≤ 4 quadrant area Intraocular Pressure (IOP) Measurements: Baseline IOP measurements were taken before the initial dose administration.", "After application of topical anesthesia (0.5% Proparacaine HCl Ophthalmic Solution), IOP was measured on conscious rats on both eyes using a Tono-Pen Vet tonometer (Reichert, Inc.; Depew, N.Y.).", "Ten (10) IOP readings are recorded from each eye and averaged.", "IOP measurements were taken around the same time (e.g., between 10 a.m. and 2 p.m.) across measurement time-points to minimize the circadian variability of IOP.", "Dose Administration: Rats were separated in 5 groups.", "Animals in each group receive one of the five following articles during the study: 1.Control vehicletopical 2.0.25% Laquinimod topical 3.1% Laquinimod topical 4.4% Laquinimod topical 5.0.1% Laquinimod oral Topical Dosing: Rats were dosed topically only on the surface of the left eye in which OHT was induced.", "No article was administered on the un-operated right eye which served as the control.", "The topical dose was administered on the surface of the left eye using a calibrated micro-pipette and a sterile tip.", "The volume for each topical dose was 10 μL.", "Rats were dosed twice daily, starting on the day of the first HSI until euthanasia.", "The first daily dose was administered approximately between 8 a.m. and 9 a.m.", "The second daily dose was administered approximately between 4 p.m. and 5 p.m. On the day of euthanasia, rats were dosed only once in the morning approximately between 8 a.m. and 9 a.m.", "Oral Dosing: Rats were dosed orally daily approximately between 8 a.m. and 10 a.m., starting on the day of the first HSI until euthanasia.", "The last day of dosing was the day of euthanasia.", "The volume for each oral dose was 1 mL.", "Post-Dose Procedures: Chronic ocular hypertension (OHT) was created through two hypertonic saline injections (HSI) which were performed one week apart in the left eye.", "For each HSI, after the rats were anesthetized with a suitable anesthetic, a suture thread was passed through the left eyelid to fix it open.", "A local anesthetic (e.g., 0.5% Proparacaine HCl Ophthalmic Solution) was applied on the surgery eye topically.", "The conjunctiva was incised with Vannas scissors to expose an episcleral vein.", "An occluder ring with a groove was fitted around the left eye to provide unobstructed passage for the selected episcleral vein while obstructing the other episcleral veins.", "Using a pulled-glass needle, 50-250 μL of 1.8M hypertonic saline solution was injected into the exposed episcleral vein to scar the aqueous humor outflow pathway in an attempt to elevate IOP.", "The ring was removed shortly after the injection.", "The un-operated right eye served as the control.", "Ophthalmic ointment was applied to both eyes to prevent corneal damage.", "For each HSI procedure, buprenorphine was administered subcutaneously for approximately 24 hours to manage post-operative pains as appropriate.", "Clinical observations was performed at least once daily as described in Table 6.Moribund and Dead Animals: Animals were observed once daily for moribundity/mortality as part of the clinical observations.", "There were no moribound animals.", "Measurements and Criterion: IOP measurements were taken once weekly starting one week after the second HSI until euthanasia (a total of 5 measurements).", "After application of topical anesthesia (0.5% Proparacaine HCl Ophthalmic Solution), IOP was measured on conscious rats on both eyes using a Tono-Pen Vet tonometer (Reichert, Inc.; Depew, N.Y.).", "For each time-point, ten (10) IOP readings were recorded from each eye and averaged.", "IOP measurements were taken around the same time (e.g., between 10 a.m. and 2 p.m.) across measurement time-points to minimize the circadian variability of IOP.", "The IOP measurements were evaluated as follows: For each time-point following the HSI, the IOP elevation was calculated as the difference between the level in the left eye with OHT and that in the normal right eye (ΔIOP).", "The ΔIOP of the 5-weekly post-HSI IOP measurements were averaged and constitute the Mean ΔIOP for each animal.", "For each group, ten (10) animals with a sustained IOP elevation in the OHT eye were selected from a larger pool and groups are matched for the Mean ΔIOP.", "Other animals were removed from the study and euthanized.", "Data was analyzed and reported for rats which did not have individual IOP measurements of 50 mmHg in the OHT eyes.", "Ophthalmic Examinations: Both eyes were examined and scored at one week after the second HSI and on the day of euthanasia, for a total of two times.", "Ophthalmic examinations were performed according to the Classification System for Grading Ocular Lesions as described in Table 7, and the Ocular Posterior Segment Scoring Scale of Table 8 using a hand-held slit-lamp and a direct ophthalmoscope or the surgical microscope.", "Posterior segment examination was performed after the topical application of Tropicamide in the conscious state, or after the rats are anesthetized with isoflorane inhalation.", "Fluoro-Gold (FG) Back-Labeling of Retinal Ganglion Cells (RGC): Approximately one week prior to euthanasia, RGC was labeled with the retrograde tracer FG.", "First, animals were sedated with appropriate anesthesia.", "Using a stereotaxic device, RGC was back-labeled with an injection of 2.5 μL of 4% FG into the superior colliculus in each hemisphere.", "Rats received subcutaneous injections of buprenorphine for approximately 48 hours to manage post-surgery pain as appropriate.", "Animals were euthanized by CO2 inhalation 5-weeks after the 2′d HSI.", "Extraction and Processing of the Eyes and the Optic Nerves (ON): The eyes were immediately enucleated with the optic nerve attached.", "An approximately 2.0 mm piece of the ON proximal to the globe is separated and labeled with tissue mark to indicate the orientation of the nerve.", "The ON piece is placed in Modified Karnovsky's Fixative in 0.1M Na cacodylate buffer and kept at 4±2° C. overnight.", "The next day ON piece was washed at least three times for at least 10 minutes each in 0.1M Na cacodylate buffer and stored in 0.1M Na cacodylate buffer at 4±2° C. After specimens were transferred to a contracted processing facility, within a week, the optic nerves were processed for plastic embedding: After post-fixation in 2% osmium tetroxide in 0.1M Na cacodylate buffer for at least 1.5 hours, ONs were dehydrated in graded ethanols, transitioned in propylene oxide and infiltrated with propylene oxide and epon mixtures.", "One to five (1-5) μm-thick cross-sections were taken via a microtome at the ON end approximately 2.0 mm away from the globe.", "ON sections were stained with 1% toluidine blue and cover-slipped.", "The processed specimens were returned.", "The identification of the ONs were masked prior to injury analysis.", "The ON cross-sections were analyzed for injury by light microscopy as follows: The damaging effect of the sustained IOP elevation was assessed by qualitative microscopic analysis of the ON cross-sections using a well-established grading system described in Table 9.This method allowed damage analysis of the entire retinal ganglion cell output (the ON) in one section by light microscopy and was more sensitive than counting total axons especially if there is mild nerve damage.", "Sustained IOP elevation resulted in degenerating, swollen axons and collapsed myelin sheaths in the optic nerve.", "The extent of injury was then graded by light microscopy based on a pattern of damage observed in rats with elevated IOP.", "TABLE 9 Grading Scale for Optic Nerve Injury Grade 1 (Normal) Normal optic nerve with healthy axons.", "Grade 2 (FOCAL INJURY) Degenerating axons with myelin debris are stained densely and appear focally.", "Some axonal swellings are present.", "Grade 3 (INJURY SPREADING AWAY Several degenerating axons with myelin debris and axonal FROM FOCAL) swellings spread away from the focal area.", "Normal axons still predominate.", "Grade 4 (WIDE-SPREAD INJURY; Several degenerating axons with myelin debris and axonal EQUIVALENT NUMBER of swellings are present throughout the nerve.", "There are DEGENERATING and NORMAL AXONS) approximately equal numbers of normal and abnormal axons.", "Grade 5 (WIDE-SPREAD INJURY; Degenerating axons with myelin debris and swollen axons DEGENERATING AXONS LARGELY largely dominate the optic nerve, with gliosis in severe DOMINATE) cases.", "The eyes were fixed in 4% paraformaldehyde (PFA) fixative at 4±2° C. for at least 24 hours.", "Retinas were dissected and flat whole-mounted for confocal visualization and imaging.", "The actual identification of the retinal flat-mounts was masked prior to confocal imaging.", "Eight regions per retina were imaged using a confocal microscope and the RGC in each region were counted as follows: Retinal images were evaluated by confocal fluorescence microscopic examination.", "A three-dimensional view of the x-axis, y-axis, and z-axis were designed and processed using a specific system of image analysis software (Leica Confocal Software) to obtain an image of the viable RGCs labeled with FG.", "Two areas which were approximately 1.5 mm and 2.75 mm away from the center of the ON head were selected in each retinal quadrant (8 regions per retina) and serial images of the retinal ganglion cell layer were taken by the Confocal Microscope.", "A two-dimensional maximum projection image of the serial images was used to count the viable RGCs using an image analysis software.", "The number of viable RGCs per image was expressed in mm2.Evaluation Criteria The results of the study were considered in terms of the in-life observations and any microscopic observations.", "IOP Criterion: For each time-point following the HSI, the IOP elevation was calculated as the difference between the level in the left eye with OHT and that in the normal right eye (ΔIOP).", "The ΔIOP of the 5-weekly post-HSI IOP measurements were averaged and constitute the Mean ΔIOP for each animal.", "For each group, ten (10) animals with a sustained IOP elevation in the OHT eye were selected from a larger pool and groups were matched for the Mean ΔIOP.", "Other animals were removed from the study and euthanized.", "Data was analyzed and reported for rats which do not have individual IOP measurements of ≥50 mmHg in the OHT eyes.", "Imaging of the Retinas by Confocal Microscopy: Retinal images were evaluated by confocal fluorescence microscopic examination.", "A three-dimensional view of the x-axis, y-axis, and z-axis were designed and processed using a specific system of image analysis software (Leica Confocal Software) to obtain an image of the viable RGCs labeled with FG.", "Two areas which were approximately 1.5 mm and 2.75 mm away from the center of the ON head were selected in each retinal quadrant (8 regions per retina) and serial images of the retinal ganglion cell layer are taken by the Confocal Microscope.", "A two-dimensional maximum projection image of the serial images was used to count the viable RGCs using an image analysis software.", "The number of viable RGCs per image was expressed in mm2.Percent RGC loss in the OHT retinas was calculated in comparison to the RGC counts in the Non-OHT retina of the same animal using the following formula: (100−(100×OHT/Non-OHT Mean RGC Counts per Retina)).", "The RGC counts in each Non-OHT retina were considered 100% for that animal.", "The damaging effect of the sustained IOP elevation was assessed by qualitative microscopic analysis of the ON cross-sections using a well-established grading system described in Table 9.This method allowed damage analysis of the entire retinal ganglion cell output (the ON) in one section by light microscopy and was more sensitive than counting total axons especially if there is mild nerve damage.", "Sustained IOP elevation resulted in degenerating, swollen axons and collapsed myelin sheaths in the optic nerve.", "The extent of injury was then graded by light microscopy based on a pattern of damage observed in rats with elevated IOP.", "Data Analysis: Initially One-Way ANOVA was used to address statistically significant differences among groups.", "If there was a statistical significance, data of the test groups was further compared with the data of the control group using Dunnett's multiple comparison tests.", "Two-Way ANOVA, paired-t-tests, % neuroprotection calculations were also performed.", "Any differences between control and test animals was considered statistically significant only if the probability of the differences being due to chance is equal to or less than 5% (p≤0.05; two-tailed).", "Statistical analysis is performed using Minitab, Minitab Inc, State College, Pa. Any significant difference is further assessed for biological relevance by comparison to the literature and historical data.", "Results TABLE 10 % RGC Loss (100 − (100 × OHT/Non-OHT Mean RGC Counts per Retina)) Route/ Laquinimod Mean % RGC Group Percentage Loss SD % RGC Loss 1 Topical/1% 17.6 33.8 2 Topical/ 34.8 42.7 Vehicle 3 Topical/4% 26.8 36.4 4 Topical/0.25% 21.6 21.7 5 Oral/0.1% 22.9 33.1 RGC = Retinal Ganglion Cell OHT = Ocular Hypertension SD = Standard Deviation 1.Per protocol, data were analyzed and reported for forty (40) rats which did not have individual IOP measurements of 50 mmHg in the OHT eyes.", "2.Body Weights: The range of the baseline body weights of the 40 rats from Groups 1-5 was 258.6-351.3 grams at the start of the study.", "On the day of dosing and the first HSI, body weight range of all rats was 271.2-366.4 grams.", "A One-Way ANOVA indicated that the group body weights were not statistically different on the day of dosing (P=0.957).", "Rats weighed 271.4-349.3 grams on the day of euthanasia.", "Groups did not differ in the amount of weight gained between the day of dosing and the day of euthanasia (One-Way ANOVA; P=0.559).", "3.Clinical Observations: There were no abnormal clinical observations in the beginning of the study at the time of animal assignment.", "Following the HSI surgeries, swelling of the surgery eye, swelling of the conjunctiva and scleral/corneal discoloration in the surgery eye were observed in all groups.", "Following the Fluoro-Gold brain injection surgeries, hair loss and skin wounds were noted in all groups due to the hair on the skull being shaved prior to surgery and the skin on the skull being incised and sutured after surgery.", "These observations were expected and related with the glaucoma model creation (HSI) and the Fluoro-gold brain injection surgeries.", "Abnormal clinical observations which were not related with the HSI and FG injection surgeries were hair loss in an animal from Group 2 and in an animal from Group 5, and skin wound in 2 animals from Group 5.Since Group 2 was the vehicle control group, these abnormalities were incidental and not test-article related.", "4.IOP Measurements (mmHg): None of the animals included in the study had individual IOP measurements of ≥50 mmHg in the OHT eyes.", "The Mean ΔIOP (OHT−Non-OHT) (Mean±SD) was 9.1±2.2 mmHg, 9.1±2.6 mmHg, 9.3±2.7 mmHg, 9.2±1.5 mmHg, and 9.1±2.9 mmHg for Groups 1, 2, 3, 4 and 5, respectively.", "A One-Way ANOVA analysis indicated that the groups were well-matched for Mean ΔIOP (P>0.9) (FIG.", "1).", "Representative images of the retinas with FG-labeled RGC are shown in FIGS.", "5A-5J.", "% FG-Labeled RGC loss in the OHT retinas compared with the corresponding non-OHT retinas is shown in Table 10.Compared to the non-OHT retinas, % RGC Loss (Mean±SD) in the OHT retinas was 17.6±33.8, 34.8±42.7, 26.8±36.4, 21.6±21.7, and 22.9±33.1 for Groups 1, 2, 3, 4 and 5, respectively (FIG.", "2).", "5.FG-labeled RGC counts: A Two-Way ANOVA on the RGC counts in the OHT and Non-OHT (control) eyes versus groups did not show a significant eye versus group interaction (P=0.919), indicating that groups did not differ for the RGC counts in the OHT and Non-OHT eyes (FIG.", "3).", "As a secondary analysis, FG-Labeled RGC counts per mm2 were compared between the Non-OHT and the OHT retinas for each group using a paired-t test (two-tailed).", "Group 2 (vehicle topical; P=0.047) and Group 4 (0.25% Laquinimod topical; P=0.033) had fewer RGCs in the OHT eye compared to the Non-OHT eyes.", "The number of RGCs in Group 3 (4% Laquinimod topical; P=0.071) and Group 5 (0.1% Laquinimod oral; P=0.075) were statistically marginally different between the OHT and Non-OHT eyes.", "However, in Group (1% Laquinimod topical), there was no statistically significant difference in the RGC counts between the OHT and Non-OHT eyes (P=0.189).", "This suggests that the daily topical application of 1% Laquinimod may be neuroprotective for the RGC.", "6.Optic Nerve (ON) Injury Grades: Mean ON Injury Grades (Mean±SD) for the Non-OHT eyes were 1.1±0.1, 1.2±0.2, 1.2±0.2, 1.2±0.3 and 1.2±0.2 for Groups 1, 2, 3, 4 and 5, respectively.", "Mean Injury Grades (Mean±SD) for the OHT optic nerves were 2.5±1.3, 3.0±1.6, 3.0±1.5, 2.8±1.3 and 2.7±1.5 for Groups 1, 2, 3, 4, and 5, respectively.", "A Two-Way ANOVA on the Mean ON injury grades in the OHT and Non-OHT (control) eyes versus groups did not show a significant eye versus group interaction (P=0.98), indicating that groups did not differ for the injury in the OHT and Non-OHT optic nerves (FIG.", "4).", "As a secondary analysis, Mean ON Injury Grades were compared between the Non-OHT and the OHT optic nerves for each group using two-tailed paired-t tests.", "The Mean ON injury grades were significantly greater in the OHT eyes compared to the Non-OHT control eyes in all groups (P<0.05).", "7.Ophthalmic Examinations (OEs): At baseline ocular examinations prior to initial dose, there were no ocular abnormalities observed in either eye in any of the animals included in the study.", "During the post-dose OEs, there were no ocular problems observed in any of the animals in the Non-OHT eyes.", "However, there were some ocular abnormalities observed in the OHT eyes due to the glaucoma-model creation procedures (hypertonic saline injection surgeries into the episcleral veins) in all groups.", "These abnormalities included minor congestion and chemosis (swelling) in the conjunctiva, minor corneal opacities, lack of sufficient dilation of the pupil after application of the pupil-dilating agent Tropicamide, slight opacities in the lens and presence of free-floating iris pigments in front of the lens in the OHT eyes.", "The post-HSI ocular findings in the OHT eyes were observed in all groups with a similar occurrence and were not test articlerelated.", "CONCLUSION The study involved daily dosing of five groups for approximately six weeks: Group 1 (1% Laquinimod topical), Group 2 (Vehicle topical), Group 3 (4% Laquinimod topical), Group 4 (0.25% Laquinimod topical) and Group 5 (0.1% Laquinimod oral).", "The analyses for the RGC counts in Group 1 animals suggested a trend towards neuroprotection following daily topical application of 1% Laquinimod.", "Example 2: Assessment of Efficacy of Laquinimod for Treating Patients Afflicted with Glaucoma Periodic (e.g., daily or twice daily) administration of laquinimod (oral or topical) is effective in treating glaucoma human patients.", "Periodic (e.g., daily or twice daily) administration of laquinimod (oral or topical) is effective to reduce a glaucoma-associated symptom in the subject.", "A laquinimod composition as described herein is administered systematically or locally to the eye of a subject suffering from glaucoma.", "The administration of the composition is effective to treat the subject suffering from glaucoma.", "The administration of the composition is also effective to reduce a glaucoma-associated symptom of glaucoma in the subject.", "The administration of the composition is also effective to reduce intraocular pressure in the subject.", "The administration of the composition is effective to reduce RGC damage and/or RGC loss, and improve RGC viability in the subject.", "REFERENCES 1.“Glaucoma”, Merck Manual of Diagnosis and Therapy (1999), Merck Research Laboratories, (Whitehouse Station, N.J.), 733-738.2.Alward, “Medical Management of Glaucoma”, N Eng J Med, 1998; 339:1298-1307).", "3.Bakalash et al., “Resistance of Retinal Ganglion Cells to an Increase in Intraocular Pressure is Immune-dependent”, Invest Ophthalmol Vis Sci 2002; 43:2648-2653.4.Brod et al.", "(2000) Annals of Neurology, 47:127-131.5.Brück et al., (2011) “Insight into the mechanism of laquinimod action”, J. Neurol Sci.", "306:173-179.6.Cepurna et al.", "(2000) “Patterns of Intraocular Pressure Elevation After Aqueous Humor Outflow Obstruction in Rats.” Invest Ophthalmol Vis Sci.", "41(6) (May 2000):1380-5.7.Coleman “Glaucoma”, Lancet, 1999; 354:1803-1810.8.Draize, J. H. (1965) “Appraisal of the Safety of Chemicals in Foods, Drugs, and Cosmetics.” Association of Food and Drug Officials of the United States, Austin, Tex., 1965.36-45.9.Farkas et al., “Apoptosis, Neuroprotection and Retinal Ganglion Cell Death: An Overview”, Int Ophthalmol Clin 2001; 41:111-130.10.Freireich et al.", "(1966) “Quantitative comparison to toxicity of anticancer agents in mouse, rat, hamster, dog, monkey and man.” Cancer Chemother Rep, 50:219-244.11.Guidance for Industry.", "In vivo drug metabolism/drug interaction studies—study design, data analysis, and recommendations for dosing and labeling, U.S. Dept.", "Health and Human Svcs., FDA, Ctr.", "for Drug Eval.", "and Res., Ctr.", "For Biologics Eval.", "and Res., Clin./Pharm., November 1999 <http://www.fda.gov/cber/gdlns/metabol.pdf>.", "12.Gurevich et al.", "(2010) “Laquinimod suppress antigen presentation in relapsing-remitting multiple sclerosis: invitro high-throughput gene expression study.” J Neuroimmunol.", "2010 Apr.", "15; 221(1-2):87-94.Epub 2010 Mar.", "27.13.Hla et al.", "(2001) “Lysophospholipids—receptor revelations.” Science, 294(5548):1875-8.14.Horga and Montalban Jun.", "4, 2008; Expert Rev Neurother., 2008; 8(5):699-714.15.ISO/IEC 17025, 2005.General Requirements for the Competence of Testing and Calibration Laboratories.", "16.Kipnis et al., “T Cell Immunity To Copolymer 1 Confers Neuroprotection On The Damaged Optic Nerve: Possible Therapy For Optic Neuropathies”, Proc Natl Acad Sci 2000; 97:7446-7451.17.Kleinschmidt-DeMasters et al.", "(2005) New England Journal of Medicine, 353:369-379.18.Langer-Gould et al.", "(2005) New England Journal of Medicine, 353:369-379.19.McDonald, and Shadduck (1983).", "Eye Irritation in Dermatotoxicology (2nd Ed.).", "Edited by Marzulli F. N. Hemishpere Publishing Corp., New York, N.Y. 20.Medeiros et al., “Medical Backgrounders: Glaucoma”, Drugs of Today 2002; 38:563-570.21.National MS Society Website, retrieved Jul.", "10, 2012<http://www.nationalmssociety.org/ms-clinical-care-network/researchers/clinical-study-measures/index.aspx> 22.OECD 405, Organization for Economic Co-Operation and Development (OECD), Guidelines for the Testing of Chemicals, “Acute Eye Irritation/Corrosion”, adopted 24 Apr.", "2002.23.Ollivier F. J., et al.", "(2007) “Ophthalmic Examination and Diagnostics Part 1: The Eye Examination and Diagnostic Procedure” in Veterinary Ophthalmology, 4th Ed.", "by Gelatt, K. N. 2007, 438-483.Blackwell Publishing, Gainesville, Fla. 24.PCT International Application Publication No.", "WO 2007/0047863, published Apr.", "26, 2007.25.PCT International Application Publication No.", "WO 2007/0146248, published Dec. 21, 2007.26.Polman et al.", "(2005) “Treatment with laquinimod reduces development of active MRI lesions in relapsing MS.” Neurology.", "64:987-991.27.Polman et al.", "(2011) “Diagnostic Criteria for Multiple Sclerosis: 2010 Revisions to the McDonald Criteria.” Ann Neural, 69:292-302.28.Polman et al., (2005) “Diagnostic criteria for multiple sclerosis: 2005 revisions to the McDonald Criteria.” Annals of Neurology, 58(6):840-846.29.Rudick et al.", "(1999) “Use of the brain parenchymal fraction to measure whole brain atrophy in relapsing-remitting MS: Multiple Sclerosis Collaborative Research Group”.", "Neurology.", "53:1698-1704.30.Runström et al.", "(2002) “Laquinimod (ABR-215062) a candidate drug for treatment of Multiple Sclerosis inhibits the development of experimental autoimmune encephalomyelitis in IFN-β knock-out mice,” (Abstract), Medicon Valley Academy, Malmoe, Sweden.", "31.Sandberg-Wollheim et al.", "(2005) “48-week open safety study with high-dose oral laquinimod in patients.” Mult Scler.", "11:S154 (Abstract).", "32.U.S.", "Pat.", "No.", "6,077,851, issued Jun.", "20, 2000 (Bjork et al).", "33.U.S.", "Pat.", "No.", "7,589,208, issued Sep. 15, 2009 (Jansson et al).", "34.Vollmer et al.", "(2008) “Glatiramer acetate after induction therapy with mitoxantrone in relapsing multiple sclerosis.” Multiple Sclerosis, 00:1-8.35.Weinreb et al., “Is Neuroprotection a Viable Therapy for Glaucoma?” Arch Ophthalmol 1999; 117:1540-1544.36.Yang et al.", "(2004) “Laquinimod (ABR-215062) suppresses the development of experimental autoimmune encephalomyelitis, modulates the Th1/Th2 balance and induces the Th3 cytokine TGF-β in Lewis rats.” J. Neuroimmunol.", "156:3-9." ] ]
Patent_15875833
[ [ "STOCKING LEVEL INDICATION APPARATUS AND METHOD", "In some embodiments, systems, apparatuses, and methods are described herein including a shelf assembly that utilizes the accumulated weight of products stocked thereon to compress a compression member disposed within the assembly.", "An electronic imaging device can be mounted proximate to the assembly and can be oriented to capture an image of the compression member to determine whether a particular shelf needs to be restocked and avoid having to manipulate or remove products from a shelf to determine a current stock level." ], [ "1.A stock level indication assembly for a retail product storage structure, the stock level indication assembly comprising: upper and lower shelf members; a compression member disposed between the upper and lower shelf members, the compression member configured to visibly compress in response to one or more products being stocked on the upper shelf member to provide a visual indication of the number of products stocked on the upper shelf member; an electronic device proximate the upper and lower shelf members oriented and configured to detect a compression level of the compression member.", "2.The stock level indication assembly of claim 1, further comprising a control circuit operably coupled to the electronic device and configured to receive a signal including the compression level of the compression member therefrom, the control circuit configured to estimate a number of the products on the shelf based at least on the signal.", "3.The stock level indication assembly of claim 2, wherein the control circuit is configured to estimate the number of the products on the shelf by estimating a compression distance indicated in the signal, estimating a weight of total products on the shelf based on the estimated compression distance, accessing product location information, and estimating a number of the products on the shelf based on the product location information and the estimated weight.", "4.The stock level indication assembly of claim 2, wherein the control circuit is further configured to send a low stock signal in response to a determination that the number of the products estimated to be on the shelf is at or below a predetermined number.", "5.The stock level indication assembly of claim 2, wherein the compression level of the compression member includes a laterally angled compression of the compression member, and wherein the control circuit is further configured to determine a lateral distribution of products on the upper shelf member based on the laterally angled compression of the compression member.", "6.The stock level indication assembly of claim 1, wherein the electronic device is mounted to a mobile assembly.", "7.The stock level indication assembly of claim 1, wherein the upper and lower shelf members include front edges and the compression member is disposed between upper and lower shelf members so that a front edge thereof is recessed with respect to the front edges of the upper and lower shelf members such that bulging of the compression member after stocking does not extend past the front edges of the upper and lower shelf members.", "8.The stock level indication assembly of claim 1, wherein the compression member is adhered to the upper and lower shelf members along upper and lower surfaces thereof.", "9.The stock level indication assembly of claim 1, wherein the compression member has a different color or surface pattern than the upper and lower shelf members.", "10.The stock level indication assembly of claim 1, wherein the upper and lower shelf members have a generally rectangular footprint with front edges and the compression member has a generally triangular footprint, the compression member being disposed between the upper and lower shelf members with an edge thereof running adjacent to the front edges of the upper and lower members.", "11.The stock level indication assembly of claim 10, wherein the upper and lower shelf members are pivotably connected along rear edges thereof.", "12.A method for providing a visual indication of stock level on a retail product storage structure, the method comprising: providing a shelf assembly including upper and lower shelf members and a compression member disposed therebetween, the compression member configured to visibly compress in response to one or more products being stocked on the upper shelf member to provide a visual indication of the number of products stocked on the upper shelf member; receiving the one or more products on the shelf assembly; compressing the compression member in response to the one or more products being received on the upper shelf member of the shelf assembly; detecting a compression level of the compression member via an electronic device disposed proximate the shelf assembly.", "13.The method of claim 12, further comprising: receiving, at a control circuit, a signal including the compression level of the compression member transmitted from the electronic device; and estimating a number of the products on the shelf assembly based at least on the signal.", "14.The method of claim 12, wherein estimating the number of products on the shelf assembly comprises: estimating a compression distance indicated in the signal; estimating a weight of the products based on the estimated compression distance; accessing product location information; and estimating a number of the products on the shelf assembly with the control circuit based on the product location information and the estimated weight.", "15.The method of claim 14, further comprising sending a low stock signal with the control circuit in response to determining that the number of the products estimated to be on the shelf assembly is at or below a predetermined number.", "16.A method for installing a stock level indication assembly for a retail product storage structure, the method comprising: mounting a lower shelf member to a shelf assembly; disposing a compression member on the lower shelf member; disposing an upper shelf member on the compression member; stocking one or more products on the upper shelf member such that the weight of the products compresses the compression member; and providing an electronic device proximate the shelf assembly in an orientation to detect a compression level of the compression member, the compression level of the compression member being indicative of a number of products stocked on the upper shelf member.", "17.The method of claim 16, wherein disposing the compression member on the lower shelf member comprises disposing the compression member on the lower shelf member such that a front edge of the compression member is recessed from a front edge of the lower shelf member; and disposing the upper shelf member on the compression member comprises disposing the upper shelf member on the compression member such that the front edge of the compression member is recessed from a front edge of the upper shelf member.", "18.The method of claim 16, further comprising adhering the compression member to the upper and lower shelf members.", "19.The method of claim 16, further comprising pivotably coupling the upper and lower shelf members along at least a portion of rear edges thereof.", "20.The method of claim 16, further comprising installing a scale on the shelf assembly." ], [ "<SOH> BACKGROUND <EOH>Retail stores often utilize modular shelving units to display products for sale.", "It can be important to maintain an accurate count of inventory during operation of the store.", "Pursuant to this, associates often have to count products on the shelves.", "It can be difficult for associates to accurately determine a count of products on the shelves and, as such, one method to ensure an accurate count to remove all of the products from the shelves.", "Unfortunately, the associates must then restock the products on the shelves." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>Disclosed herein are embodiments of systems, apparatuses and methods pertaining to a shelving assembly that provides an indication of a stocking level of products on the shelving assembly.", "This description includes drawings, wherein: FIG.", "1 is a schematic perspective view of a shelving unit having a stocking level assembly mounted thereto and an electronic imaging device oriented at the stocking level assembly in accordance with some embodiments.", "FIG.", "2 is a side elevation view of the stocking level assembly of FIG.", "1 in an uncompressed configuration in accordance with several embodiments.", "FIG.", "3 is a side elevation view of the stocking level assembly of FIG.", "1 in a compressed configuration in accordance with some embodiments.", "FIG.", "4 is an exploded perspective view of a stocking level assembly having a triangular compression member in accordance with several embodiments.", "FIG.", "5 is a flowchart in accordance with some embodiments.", "FIG.", "6 is a flowchart in accordance with several embodiments.", "detailed-description description=\"Detailed Description\" end=\"lead\"?", "Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale.", "For example, the dimensions and/or relative positioning of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of various embodiments of the present invention.", "Also, common but well-understood elements that are useful or necessary in a commercially feasible embodiment are often not depicted in order to facilitate a less obstructed view of these various embodiments of the present invention.", "Certain actions and/or steps may be described or depicted in a particular order of occurrence while those skilled in the art will understand that such specificity with respect to sequence is not actually required.", "The terms and expressions used herein have the ordinary technical meaning as is accorded to such terms and expressions by persons skilled in the technical field as set forth above except where different specific meanings have otherwise been set forth herein." ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. application Ser.", "No.", "15/585,246, filed May 3, 2017, which claims the benefit of U.S.", "Provisional Application No.", "62/332,270, filed May 5, 2016, which are hereby incorporated by reference herein in their entireties.", "TECHNICAL FIELD This invention relates generally to retail shelving and, more particularly, to stocking level indicating shelving assemblies.", "BACKGROUND Retail stores often utilize modular shelving units to display products for sale.", "It can be important to maintain an accurate count of inventory during operation of the store.", "Pursuant to this, associates often have to count products on the shelves.", "It can be difficult for associates to accurately determine a count of products on the shelves and, as such, one method to ensure an accurate count to remove all of the products from the shelves.", "Unfortunately, the associates must then restock the products on the shelves.", "BRIEF DESCRIPTION OF THE DRAWINGS Disclosed herein are embodiments of systems, apparatuses and methods pertaining to a shelving assembly that provides an indication of a stocking level of products on the shelving assembly.", "This description includes drawings, wherein: FIG.", "1 is a schematic perspective view of a shelving unit having a stocking level assembly mounted thereto and an electronic imaging device oriented at the stocking level assembly in accordance with some embodiments.", "FIG.", "2 is a side elevation view of the stocking level assembly of FIG.", "1 in an uncompressed configuration in accordance with several embodiments.", "FIG.", "3 is a side elevation view of the stocking level assembly of FIG.", "1 in a compressed configuration in accordance with some embodiments.", "FIG.", "4 is an exploded perspective view of a stocking level assembly having a triangular compression member in accordance with several embodiments.", "FIG.", "5 is a flowchart in accordance with some embodiments.", "FIG.", "6 is a flowchart in accordance with several embodiments.", "Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale.", "For example, the dimensions and/or relative positioning of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of various embodiments of the present invention.", "Also, common but well-understood elements that are useful or necessary in a commercially feasible embodiment are often not depicted in order to facilitate a less obstructed view of these various embodiments of the present invention.", "Certain actions and/or steps may be described or depicted in a particular order of occurrence while those skilled in the art will understand that such specificity with respect to sequence is not actually required.", "The terms and expressions used herein have the ordinary technical meaning as is accorded to such terms and expressions by persons skilled in the technical field as set forth above except where different specific meanings have otherwise been set forth herein.", "DETAILED DESCRIPTION Generally speaking, pursuant to various embodiments, systems, apparatuses and methods are provided herein useful to provide a visual indication of a level or number of products stocked on a shelf of a shelving unit.", "The visual indication is provided by virtue of an accumulated weight of products causing a visual compression of a compression member according to the weight.", "More specifically, a shelf assembly is described herein that utilizes the accumulated weight of products stocked thereon to compress a compression member disposed within the assembly.", "An electronic imaging device can be mounted proximate to the assembly and can be oriented to capture an image of the compression member to determine whether a particular shelf needs to be restocked.", "Accordingly, the assembly and electronic imaging device described herein advantageously avoids having to manipulate or remove products from a shelf to determine a current stock level and helps a retail location avoid being out of product on the shelf By some approaches, a control circuit can be configured to receive the image from the electronic imaging device and analyze the image to determine a current stock level.", "For example, the control circuit can be configured to associate a compression level of the compression member to a weight of the products on the assembly.", "Utilizing the approximate weight and the type of product stocked on the shelf, an approximate number of products on the shelf can be extrapolated.", "An example shelving unit 10 is shown in FIG.", "1.Based on a particular use, multiple shelving units 10 can be aligned in a row to produce an aisle in a retail location.", "The shelving unit 10 includes a base portion 12 and a back wall 14 extending upwardly therefrom.", "The base portion 12 can include a base deck 16 and a kick plate 18, as commonly configured.", "A plurality of shelf notches 20 are vertically disposed adjacent to lateral edges 22 of the back wall 14 to provide anchor points for shelves 24 mounted to the shelving unit 10.After the shelving unit 10 is assembled, associates can then stock products 26 on the shelves 24.If desired, as shown in FIGS.", "1-3, one or more of the shelves 24 can include a stocking level indication assembly 50.The assembly 50 can be a shelf 24 configured to mount to the back wall 14 of the shelving unit 10 or can be an insert for a shelf 24 or disposed thereon.", "As shown, the assembly 50 includes rigid lower and upper shelf portions or members 52, 54 with a compression portion or member 56 disposed therebetween.", "The compression member 56 can be secured in the assembly, and to the shelf members 52, 54 thereof, by any suitable method, including adhesive, hardware, interlocking components, or the like.", "For example, the compression member 56 can be adhered to the upper and lower surfaces of the lower and upper shelf members 52, 54, respectively.", "The compression member 56 can have a first, base height corresponding to no products 26 being disposed on the assembly.", "Then, as products 26 are stocked on the assembly 50, the compression member 56 can be configured to compress at a known rate such that for a given weight on the assembly, the compression member 56 will have compressed a known amount between the lower and upper shelf members 52, 54.For example, the compression member can be made of any suitably compressible material, such as rubber, a polymer, or the like.", "By some approaches, outer edges 58 of the compression member 56 can be recessed from adjacent outer edges 60 of the shelf members 52, 54.So configured, when the assembly is fully stocked and the compression member 56 is compressed, bulging of the compression member 56 as a result of the compression will not extend past the outer edges 60 of the shelf members 52, 54.By other approaches, one or more of the outer edges 58 of the compression member 56 can align with the corresponding outer edges 60 of the shelf members 52, 54.As shown, in some forms, the lower and upper shelf members 52, 54 can each have a generally rectangular footprint where the outer edges 60 thereof include a front edge 62, a rear edge 64, and side edges 66 extending therebetween.", "Of course, other shapes and configurations can be utilized for particular applications or if desired.", "If desired, the compression member 56 can have a footprint with a similar shape as that of the lower and upper shelf members 52, 54.For example, as shown, the compression member 56 can have a generally rectangular footprint where the outer edges 58 thereof include a front edge 68, a rear edge 70, and side edges 72 running therebetween.", "As discussed above, by one approach, the compression member 56 can have substantially the same footprint as the shelf members 52, 54 with the edges 62, 64, 66 of the shelf members 52, 54 generally aligned with the edges 68, 70, 72 of the compression member 56.By another approach, the compression member 56 can have a relatively smaller footprint so that the edges 68, 70, 72 thereof are recessed with respect to the edges 58, 60, 62 of the shelf members 52, 54.By yet another approach, the compression member 56 need not span the entire surface area between the shelf members 52, 54.For example, the compression member 56 can be sized to 90%, 75%, or 50% of the surface area of the shelf members 52, 54.Pursuant to this, the compression member 56 can also have a differently shaped footprint than that of the shelf members 52, 54.In one form, as shown in FIG.", "4, one of the outer edges 58 of the compression member 56 can be disposed along and adjacent to the front edge 62 of the shelf members 52, 54 with one or more of the other edges 58 spaced from other outer edges 60 of the shelf members 52, 54.So configured, the compression member 56 is visible along a majority or, if desired, substantially all, of the front edge 62 of the shelf members 52, 54.As shown, the compression member 56 of this form can be generally triangular.", "Of course, other shapes and configurations can be utilized, including curvilinear shapes.", "So disposed, the triangular compression member 56 extends rearwardly between the shelf members 52, 54 to a point adjacent to the rear edges 66 thereof.", "If desired, and to provide additional stability to the assembly 50, the shelf members 52, 54 can be pivotably coupled along the rear edges 64 thereof with a hinge 73 or the like, such as along the entire length thereof or along portions thereof.", "So configured, the upper shelf member 54 can pivot with respect to the lower shelf member 52 along the rear edges 64 thereof and, therefore, can pivot to compress the compression member 56 disposed therebetween.", "Moreover, with the triangular compression member 56 described above, the shelf members 52, 54 of this form are stably assembled along the front and rear edges 62, 64 thereof If desired, the compression member 56 can be visually distinct with respect to the shelf members 52, 54.For example, the compression member 56 can have a different color, such as a bright color, including yellow, orange, red, or the like, a different pattern, such as striped, cross-checked, or the like, or combinations thereof.", "As shown in FIG.", "1, an electronic imaging device 74, such as a camera or the like, can be mounted adjacent to the assembly 50 to capture an image thereof including the compression member 56.By one approach, the imaging device 74 can be mounted proximate to the assembly 50.The imaging device 74 can be mounted to a fixed location, such as the shelving unit 10 itself, including to one of the shelves 24, a wall of the retail location, or other structure.", "With a fixed configuration, the imaging device 74 can be pivotably or slidably movable to capture images along a range so that the imaging device 74 can capture images of more than one assembly 50.In another form, the imaging device 74 can be mounted to a mobile structure or assembly, such as a cart, robot, or the like.", "For example, the imaging device 74 can be configured to operate as a shopper moves a cart around the store or as a robot is programmed to move up and down aisles in the store.", "Thereafter, the imaging device 74 can send the image to a computing device 76 having a control circuit therein over a wired connection or over any suitable network, utilizing radio communication, Bluetooth, WiFi, near field communication, or the like utilizing suitable receivers, transmitters, and/or transceivers.", "The computing device 76 can include a memory and may generally be any processor-based device such as one or more of a computer system, a server, a networked computer, a cloud-based server, etc.", "The control circuit 76 may comprise a central processing unit, a processor, a microprocessor, and the like.", "The control circuit 76 may be configured to execute computer-readable instructions stored on the memory.", "The memory may comprise volatile and/or non-volatile computer-readable storage memory and have stored upon it a set of computer readable instructions which, when executed by the control circuit 76, cause the system to perform operations as described herein.", "The control circuit 76 receives the image and is configured to estimate a weight indicated by the assembly 50.For example, the control circuit 76 can analyze the assembly 50 in the image to determine or estimate a compression distance of the compression member 56.The control circuit 76 can achieve this by determining a distance between the shelf members 52, 54, such as between the outer or inner front edge corners 78, 80 thereof.", "By one approach, the control circuit 76 can be calibrated to a particular product 26.For example, the control circuit 76 can receive an image from the imaging device 74 of the assembly 50 having no products 26 stocked thereon to establish a baseline measurement for a projection for the compression member 56.Next, the control circuit 76 can receive an image from the imaging device 74 of the assembly 50 in a fully stocked configuration to establish a top measurement for the projection for the compression member 56.The control circuit 76 can be provided with the products 26 intended for the assembly 50, such as through a remote database or server device 84 described below, to establish an intended number of products 26 and an intended weight for the fully stocked configuration.", "Identification of the baseline and fully stocked images can be provided from the remote server device 84 which can be configured to coordinate tasks, such as stocking and product location.", "So configured, the control circuit 76 can thereafter compare a subsequently received image, and the compression distance shown thereon, to the projection to determine an approximate weight and/or number of products 26 stocked on the assembly 50.By another approach, the assembly 50 can include a scale 82 mounted thereto and visible to the imaging device 74.The scale 82 can be any object of known dimensions so that the scale 82 can be compared to the compression distance.", "For example, the scale 82 can be a label for the products 26 on the shelf 24 or a dedicated object.", "If desired, the scale 82 can be mounted to one of the shelf members 52, 54, such as overhanging the gap therebetween.", "Next, the control circuit 76 can be configured to estimate a total weight of products 26 on the assembly 50 based on the compression distance of the compression member 56, such as by referencing a table or the like.", "The control circuit 76 can further be configured to access product location information, stored locally or on a remote database or server device 84.The product location information can identify the products 26 intended to be stocked on the assembly 50 and identify weight data of the identified products 26.From the weight of the products 26 that are intended to be stocked on the assembly 50 and the estimated weight, the control circuit 76 can estimate a number of products 26 on the assembly 50.If desired, the control circuit 76 can further compare the estimated number of products 26 on the assembly 50 to a predetermined stock number, such as a number that would indicate a low stock level.", "In response to determining that the estimated number is at or below the predetermined stock number, the control circuit 76 can be configured to send out a low stock signal.", "The low stock signal can be sent or routed to any suitable recipients, such as to create tasks therefor, including devices for stocking associates, devices for ordering additional stock, and the like.", "Additionally, the estimated weight and/or estimated number of products can be used by the control circuit 76 to generate warning signals.", "For example, if the estimates indicate that there is too much weight on the assembly 50, the control circuit 76 can be configured to send out a warning signal to suitable recipients to remove products 26 from the assembly 50.Moreover, if the estimates indicate an anomaly, such as a weight or number that do not reflect the products 26 intended to be stocked on the assembly 50, the control circuit 76 can be configured to send a warning signal to suitable recipients to check the shelving unit 10.In some embodiments, a stock level indication assembly is described herein that includes upper and lower shelf members, a compression member disposed between the upper and lower shelf members, and an electronic imaging device proximate the upper and lower shelf members oriented and configured to capture an image of the compression member.", "The compression member of these embodiments can be configured to visibly compress in response to one or more products being stocked on the upper shelf member to provide a visual indication of the number of products stocked on the upper shelf member.", "By several approaches, the stock level indication assembly can further include a control circuit operably coupled to the electronic imaging device.", "The control circuit can be configured to receive the image from the imaging device and estimate a number of the products on the shelf based at least on the image.", "By further approaches, the control circuit can be configured to estimate the number of the products on the shelf by estimating a compression distance indicated in the image, estimating a weight of total products on the shelf based on the estimated compression distance, accessing product location information, and estimating a number of the products on the shelf based on the product location information and the estimated weight.", "By further approaches, the control circuit can be configured to send a low stock signal in response to determining that the number of the products estimated to be on the shelf is at or below a predetermined number.", "By further approaches, the control circuit can be configured to determine a lateral distribution of products on the upper shelf member based on a laterally angled compression of the compression member.", "By some approaches, the upper and lower shelf members can have a generally rectangular footprint with front edges and the compression member can have a generally triangular footprint, where the compression member is disposed between the upper and lower shelf members with an edge thereof running adjacent to the front edges of the upper and lower members.", "In several embodiments, a method for providing 100 a visual indication of stock level is described herein that includes receiving 102 one or more products on a shelf assembly.", "The shelf assembly can include upper and lower shelf members and a compression member disposed therebetween, where the compression member configured to visibly compress in response to one or more products being stocked on the upper shelf member to provide a visual indication of the number of products stocked on the upper shelf member.", "The method can further include compressing 104 the compression member as a result of the products being received on the upper shelf member and capturing 106 an image of the shelf assembly with an electronic imaging device disposed proximate the shelf assembly.", "By some approaches, the method can further include receiving 108 the image at a control circuit from the electronic imaging device and estimating 110 a number of the products on the shelf assembly based at least on the image.", "By further approaches, estimating 110 the number of products on the shelf assembly can include estimating a compression distance indicated in the image, estimating a weight of the products based on the estimated compression distance, accessing product location information, and estimating a number of the products on the shelf assembly with the control circuit based on the product location information and the estimated weight.", "By yet further approaches, the method can include sending 112 a low stock signal with the control circuit in response to determining that the number of the products estimated to be on the shelf assembly is at or below a predetermined number.", "In some embodiments, a method for installing 200 a stock level indication assembly is described herein that includes mounting 202 a lower shelf member to a shelf assembly, disposing 204 a compression member on the lower shelf member, disposing 206 an upper shelf member on the compression member, stocking 208 one or more products on the upper shelf member such that the weight of the products compresses the compression member, and mounting 210 an electronic imaging device proximate the shelf assembly in an orientation to capture an image of the shelf assembly, the image configured to provide an indication of the number of products stocked on the upper shelf member.", "By several approaches, disposing 204 the compression member on the lower shelf member can include disposing the compression member on the lower shelf member such that a front edge of the compression member is recessed from a front edge of the lower shelf member and disposing the upper shelf member on the compression member can include disposing the upper shelf member on the compression member such that the front edge of the compression member is recessed from a front edge of the upper shelf member.", "By some approaches, the method can further include pivotably 212 coupling the upper and lower shelf members along at least a portion of rear edges thereof Those skilled in the art will recognize that a wide variety of other modifications, alterations, and combinations can also be made with respect to the above described embodiments without departing from the scope of the invention, and that such modifications, alterations, and combinations are to be viewed as being within the ambit of the inventive concept." ] ]
Patent_15875834
[ [ "Stent Graft With a Longitudinal Support Member", "A delivery system for delivering and deploying stent grafts having a proximal stent includes a first lumen and a stent capture device including a capture portion fixedly connected adjacent a first lumen distal end.", "An outer catheter has a catheter distal end and a catheter inner diameter.", "A second lumen having a second distal end is slidably disposed about the first lumen and within the outer catheter.", "A stent graft sheath has a sheath proximal end connected to the second distal end and disposed about the first lumen.", "The sheath has a sheath distal end and a sheath inner diameter greater than the catheter inner diameter for holding a compressed stent graft.", "A distal nose cone has a cone proximal end connected to either the capture portion or the first distal end.", "The nose cone and the capture portion are movably adjustable to selectively capture the sheath distal end therebetween." ], [ "1.A stent graft comprising: a) a luminal graft component defining a proximal end, a distal end, and a lumen therebetween, the luminal graft component defining a longitudinal axis; b) a proximal stent at the proximal end of the luminal graft component; c) a distal stent at the distal end of the luminal graft component; d) a plurality of intermediate stents along the luminal graft component between the proximal stent and the distal stent, wherein the intermediate stents define a circumferential proximal end that is distal to the proximal stent and a circumferential distal end that is proximal to the distal stent, wherein the circumferential proximal end lies in a plane distal to the proximal stent; and e) a curved longitudinal support member spanning at least a portion of the intermediate stents.", "2.The stent graft of claim 1, wherein at least one of the proximal stent and distal stent includes a plurality of struts that are joined to each other at opposite ends to define proximal apices and distal apices.", "3.The stent graft of claim 2, wherein the proximal apices of the proximal stent extend proximally from the proximal end of the luminal graft component.", "4.The stent graft of claim 2, wherein at least a portion of the intermediate stents include a plurality of struts that are joined to each other at opposite ends to define proximal apices and distal apices.", "5.The stent graft of claim 1, wherein the curved longitudinal support member is curvilinear.", "6.The stent graft of claim 5, wherein the curved longitudinal support member defines a proximal end and a distal end that asymptomatically approach lines that extend parallel to a longitudinal axis of the stent graft when the stent graft is in a relaxed position.", "7.The stent graft of claim 6, wherein proximal end and the distal end of the curved longitudinal support member are nested within proximal apices and distal apices, respectively, of the most proximal stent and most distal stent of the plurality of intermediate stents.", "8.The stent graft of claim 7, wherein the proximal end and distal end of the curved longitudinal support member are looped.", "9.The stent graft of claim 1, wherein the proximal stent includes nitinol.", "10.The stent graft of claim 1, wherein the distal stent includes nitinol.", "11.The stent graft of claim 1, wherein the intermediate stents include nitinol.", "12.The stent graft of claim 1, wherein the curved longitudinal support member is on one side of a plane parallel to and bisecting the longitudinal axis of the luminal graft component, the other side of the plane the luminal graft component being free of the curved longitudinal support member.", "13.The stent graft of claim 12, wherein the curved longitudinal support member being substantially reverse-mirror symmetrical with respect to the longitudinal axis of the luminal graft component.", "14.The stent graft of claim 13, wherein the proximal end of the curved longitudinal support member is distal to a distal end of the proximal stent and the distal end of the curved longitudinal support member is proximal to a proximal end of the distal stent." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>" ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>The invention provides a sheath capture device for an endovascular stent graft delivery system and a method for operating the device that overcome the hereinafore-mentioned disadvantages of the heretofore-known devices and methods of this general type and that provides a vessel repair device that implants/conforms more efficiently within the natural or diseased course of the aorta by aligning with the natural curve of the aorta, decreases the likelihood of vessel puncture, increases the blood-tight vascular connection, retains the intraluminal wall of the vessel position, is more resistant to migration, and delivers the stent graft into a curved vessel while minimizing intraluminal forces imparted during delivery and while minimizing the forces needed for a user to deliver the stent graft into a curved vessel.", "With the foregoing and other objects in view, there is provided, in accordance with the invention, a delivery system for delivering and deploying stent grafts having a proximal bare stent, the delivery system includes a hollow first lumen having a first distal end, a stent capture device including a capture portion fixedly connected adjacent said first distal end, a relatively rigid, hollow outer catheter having a catheter distal end and a catheter inner diameter, a hollow second lumen having a second distal end and being slidably disposed about said first lumen and slidably disposed in said outer catheter, a relatively flexible, hollow stent graft sheath having a sheath proximal end connected to said second distal end and disposed about said first lumen, said sheath having a sheath distal end and a sheath inner diameter greater than said catheter inner diameter to fit therein an at least partially compressed stent graft, and a distal nose cone having a cone proximal end connected to at least one of said capture portion and said first distal end, said nose cone and said capture portion being movably adjustable to selectively capture said sheath distal end therebetween.", "In accordance with another feature of the invention, the nose cone and the capture portion define a cavity in which the sheath distal end is held releasably.", "In accordance with a further feature of the invention, there is provided a handle, the outer catheter defining a longitudinal axis and being longitudinally fixedly connected to the handle about the second lumen, the first and second lumens being longitudinally movably connected to the handle.", "In accordance with an added feature of the invention, the outer catheter has a length only long enough to be inserted in a patient's aorta to approximately the patient's celiac axis.", "In accordance with an additional feature of the invention, there is provided a hollow third lumen disposed about the first lumen and within the second lumen, the third lumen having a third distal end, the capture portion being a first capture portion, the stent capture device including a second capture portion fixedly connected adjacent the third distal end and selectively movable with respect to the first capture portion to capture a stent therebetween.", "With the objects of the invention in view, there is also provided a method of loading a stent graft in a prosthesis delivery system for implanting a stent graft in a patient at a treatment site, includes the steps of providing a prosthesis delivery system including a hollow first lumen having a first distal end, a stent capture device including a capture portion fixedly connected adjacent the first distal end, a relatively rigid, hollow outer catheter having a catheter distal end and a catheter inner diameter, and, a hollow second lumen having a second distal end and being slidably disposed about the first lumen and slidably disposed in the outer catheter, loading a stent graft inside a relatively flexible, hollow stent graft sheath having a sheath inner diameter greater than the catheter inner diameter to temporarily dispose the stent graft therein in an at least partially compressed state, the stent graft sheath being disposed about the first lumen and having a sheath distal end and a sheath proximal end connected to the second distal end, temporarily capturing a stent of the stent graft with the stent capture device, removably capturing the sheath distal end between the capture portion and a distal nose cone having a proximal end connected to at least one of the capture portion and the first distal end, and loading the sheath with the partially compressed stent graft into the outer catheter to compress the stent graft even further for subsequent implantation at the treatment site.", "In accordance with yet another mode of the invention, the sheath capturing step is carried out by movably adjusting the nose cone and the capture portion to selectively secure the sheath distal end therebetween.", "In accordance with yet a further mode of the invention, the outer catheter is extended with the loaded sheath up to a given position at a distance from the treatment site and the stent graft is implanted at the treatment site.", "In accordance with yet an added mode of the invention, the implantation step is carried out by extending the first and second lumen in a patient towards the treatment site to move the sheath out from the outer catheter and return the stent graft to the partially compressed state in the sheath, extending the first and second lumen further towards the treatment site to place the loaded sheath at the treatment site, and releasing the sheath distal end from between the capture portion and the distal nose cone and unsheathing the stent graft from the sheath by retracting the second lumen away from the treatment site, and releasing the stent from the capture device to implant the stent graft at the treatment site.", "In accordance with yet an additional mode of the invention, the outer catheter is advanced in a patient towards a treatment site up to a given position at a distance from the treatment site, and, while retaining the outer catheter in the given position, the stent graft sheath is advanced out from the outer catheter to the treatment site to align the stent graft with the treatment site, the sheath distal end of the sheath is released from between the capture portion and the distal nose cone and unsheathing the stent graft from the sheath by retracting the second lumen away from the treatment site to at least partially implant the stent graft at the treatment site, the stent is released from the capture device to implant the stent graft at the treatment site, and, upon completion of the stent graft implantation, both of the stent graft sheath and the outer catheter are retracted from the patient.", "In accordance with again another mode of the invention, the advancing step is carried out by advancing the sheath out from the outer catheter to the treatment site to axially align the stent graft with the treatment site and rotating the stent graft sheath to circumferentially align the stent graft with the treatment site.", "In accordance with again a further mode of the invention, the advancing step is carried out by advancing the outer catheter towards the treatment site only up to a point at a distance from the treatment site greater than a length of the stent graft.", "In accordance with again an added mode of the invention, the advancing step is carried out by advancing the outer catheter in vasculature of a patient towards a vascular treatment site.", "In accordance with again an additional mode of the invention, the advancing step is carried out by advancing the outer catheter in aortic vasculature of the patient to approximately a celiac axis of the patient.", "In accordance with still another mode of the invention, the advancing step is carried out by advancing the outer catheter in aortic vasculature of the patient to approximately a celiac axis of the patient.", "In accordance with yet another mode of the invention, the outer catheter is provided with a length sufficient to reach to approximately a celiac axis of a patient.", "In accordance with yet a further mode of the invention, the outer catheter is provided with a length equal to approximately a distance from the patient's femoral arterial access site to the patient's celiac axis.", "In accordance with yet an added mode of the invention, the retracting step is carried out upon completion of the stent graft implantation by retracting the stent graft sheath into the outer catheter and subsequently retracting the outer catheter containing the stent graft sheath from the patient.", "In accordance with yet an additional mode of the invention, the stent graft sheath is of a material relatively more flexible than a material of the outer catheter.", "With the objects of the invention in view, there is also provided a method of implanting a stent graft in a patient at a treatment site, including the steps of longitudinally locking a set of inner lumens and an inner sheath with respect to an outer lumen, at least a portion of the inner sheath constraining a stent graft, capturing a distal end of the inner sheath between a stent capture device and a distal nose cone, threading the lumens and the inner sheath onto a guidewire and into a patient to place the outer lumen into an aorta of the patient only up to a point at a distance from the treatment site greater than a length of the prosthesis, longitudinally unlocking the inner lumens with respect to the outer lumen, advancing the inner lumens and inner sheath distally out of the outer lumen in the aorta towards the patient's heart to transport the stent graft further upstream into the aorta, unlocking the inner sheath constraining the stent graft from at least one interior lumen of the inner lumens, and releasing the distal end of the inner sheath from between the capture portion and the distal nose cone by retracting the inner sheath in a proximal direction to at least partially implant the stent graft in a vessel.", "In accordance with a concomitant mode of the invention, the stent graft is implanted in the vessel at the treatment site by retracting the second lumen away from the treatment site to unsheath the stent graft from the sheath and releasing the stent from the capture device.", "Other features that are considered as characteristic for the invention are set forth in the appended claims.", "Although the invention is illustrated and described herein as embodied in a sheath capture device for an endovascular stent graft delivery system and a method for operating the device, it is, nevertheless, not intended to be limited to the details shown because various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.", "The construction and method of operation of the invention, however, together with additional objects and advantages thereof, will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings." ], [ "RELATED APPLICATIONS This application is a continuation of U.S. application Ser.", "No.", "14/675,102, filed Mar.", "31, 2015, which is a continuation of U.S. application Ser.", "No.", "12/137,592, filed Jun.", "12, 2008, abandoned.", "U.S. application Ser.", "No.", "12/137,592 is a: continuation-in-part (CIP) application of U.S. patent application Ser.", "No.", "11/701,867, now U.S. Pat.", "No.", "9,198,786, filed Feb. 1, 2007, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Nos.", "60/765,449, filed Feb. 3, 2006, and 60/833,533, filed Jul.", "26, 2006; is a continuation-in-part of U.S. patent application Ser.", "No.", "11/828,653, filed Jul.", "26, 2007, now abandoned, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Nos.", "60/765,449, filed Feb. 3, 2006, and 60/833,533, filed Jul.", "26, 2006; is a continuation-in-part of U.S. patent application Ser.", "No.", "11/828,675, now U.S. Pat.", "No.", "8,062,345, filed Jul.", "26, 2007, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Nos.", "60/765,449, filed Feb. 3, 2006, and 60/833,533, filed Jul.", "26, 2006; is a continuation in part of U.S. patent application Ser.", "No.", "10/784,462, filed Feb. 23, 2004, now U.S. Pat.", "No.", "8,292,943, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Nos.", "60/499,652, filed Sep. 3, 2003, and 60/500,155, filed Sep. 4, 2003; is a continuation-in-part of U.S. patent application Ser.", "No.", "10/884,136, filed Jul.", "2, 2004, now U.S. Pat.", "No.", "7,763,063, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Nos.", "60/499,652, filed Sep. 3, 2003, and 60/500,155, filed Sep. 4, 2003; is a continuation in part of U.S. patent application Ser.", "No.", "11/348,176, filed Feb. 6, 2006, now U.S. Pat.", "No.", "8,308,790; is a continuation-in-part of U.S. application Ser.", "No.", "11/353,927, filed Feb. 13, 2006, now U.S. Pat.", "No.", "8,070,790; is a continuation-in-part of U.S. application Ser.", "No.", "11/449,337, filed Jun.", "8, 2006, now U.S. Pat.", "No.", "8,740,963; is a continuation-in-part of U.S. application Ser.", "No.", "11/699,700, filed Jan. 30, 2007, now abandoned; is a continuation-in-part of U.S. application Ser.", "No.", "11/699,701, filed Jan. 30, 2007, now U.S. Pat.", "No.", "8,007,605; is a continuation-in-part of U.S. application Ser.", "No.", "11/700,510, filed Jan. 31, 2007, now U.S. Pat.", "No.", "8,062,349; and a continuation-in-part of U.S. application Ser.", "No.", "11/700,609, filed Jan. 31, 2007, now U.S. Pat.", "No.", "9,320,631, the complete disclosures of the above-referenced applications are all hereby incorporated by reference herein in their entirety.", "STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT n/a BACKGROUND OF THE INVENTION Field of the Invention The invention lies in the field of endoluminal blood vessel repairs.", "The invention specifically relates to a delivery system, a kit, and method for endoluminally repairing a vessel, for example, an aneurysm and/or dissections of the thoracic transverse aortic arch, thoracic posterior aortic arch, and the descending thoracic portion of the aorta with a stent graft.", "The present invention, in particular, relates to a sheath capture device for an endovascular stent graft delivery system and a method for operating the device.", "Description of the Related Art A stent graft is an implantable device made of a tube-shaped surgical graft covering and an expanding or self-expanding frame.", "The stent graft is placed inside a blood vessel to bridge, for example, an aneurismal, dissected, or other diseased segment of the blood vessel, and, thereby, exclude the hemodynamic pressures of blood flow from the diseased segment of the blood vessel.", "In selected patients, a stent graft advantageously eliminates the need to perform open thoracic or abdominal surgical procedures to treat diseases of the aorta and eliminates the need for total aortic reconstruction.", "Thus, the patient has less trauma and experiences a decrease in hospitalization and recovery times.", "The time needed to insert a stent graft is substantially less than the typical anesthesia time required for open aortic bypass surgical repair, for example.", "Use of surgical and/or endovascular grafts have widespread use throughout the world in vascular surgery.", "There are many different kinds of vascular graft configurations.", "Some have supporting framework over their entirety, some have only two stents as a supporting framework, and others simply have the tube-shaped graft material with no additional supporting framework, an example that is not relevant to the present invention.", "One of the most commonly known supporting stent graft frameworks is that disclosed in U.S. Pat.", "Nos.", "5,282,824 and 5,507,771 to Gianturco (hereinafter collectively referred to as “Gianturco”).", "Gianturco describes a zig-zag-shaped, self-expanding stent commonly referred to as a z-stent.", "The stents are, preferably, made of nitinol, but also have been made from stainless steel and other biocompatible materials.", "There are various features characterizing a stent graft.", "The first significant feature is the tube of graft material.", "This tube is commonly referred to as the graft and forms the tubular shape that will, ultimately, take the place of the diseased portion of the blood vessel.", "The graft is, preferably, made of a woven sheet (tube) of polyester or PTFE.", "The circumference of the graft tube is, typically, at least as large as the diameter and/or circumference of the vessel into which the graft will be inserted so that there is no possibility of blood flowing around the graft (also referred to as endoleak) to either displace the graft or to reapply hemodynamic pressure against the diseased portion of the blood vessel.", "Accordingly, to so hold the graft, self-expanding frameworks are attached typically to the graft material, whether on the interior or exterior thereof.", "Because blood flow within the lumen of the graft could be impaired if the framework was disposed on the interior wall of the graft, the framework is connected typically to the exterior wall of the graft.", "The ridges formed by such an exterior framework help to provide a better fit in the vessel by providing a sufficiently uneven outer surface that naturally grips the vessel where it contacts the vessel wall and also provides areas around which the vessel wall can endothelialize to further secure the stent graft in place.", "One of the significant dangers in endovascular graft technology is the possibility of the graft migrating from the desired position in which it is installed.", "Therefore, various devices have been created to assist in anchoring the graft to the vessel wall.", "One type of prior art prosthetic device is a stent graft made of a self-expanding metallic framework.", "For delivery, the stent graft is, first, radially compressed and loaded into an introducer system that will deliver the device to the target area.", "When the introducer system holding the stent graft positioned in an appropriate location in the vessel and allowed to open, the radial force imparted by the self-expanding framework is helpful, but, sometimes, not entirely sufficient, in endoluminally securing the stent graft within the vessel.", "U.S. Pat.", "No.", "5,824,041 to Lenker et al.", "(hereinafter “Lenker”) discloses an example of a stent graft delivery system.", "Lenker discloses various embodiments in which a sheath is retractable proximally over a prosthesis to be released.", "With regard to FIGS.", "7 and 8 of Lenker, Lenker names components 72 and 76, respectively, as “sheath” and “prosthesis-containment sheath.” However, the latter is merely the catheter in which the prosthesis 74 and the sheath 72 are held.", "With regard to FIGS.", "9 and 10, the sheath 82 has inner and outer layers 91, 92 fluid-tightly connected to one another to form a ballooning structure around the prosthesis P. This ballooning structure inflates when liquid is inflated with a non-compressible fluid medium and flares radially outward when inflated.", "With regard to FIGS.", "13 to 15, Lenker discloses the “sheath” 120, which is merely the delivery catheter, and an eversible membrane 126 that “folds back over itself (everts) as the sheath 120 is retracted so that there are always two layers of the membrane between the distal end of the sheath [120] and the prosthesis P.” Lenker at col. 9, lines 63 to 66.The eversion (peeling back) is caused by direct connection of the distal end 130 to the sheath 120.The Lenker delivery system, shown in FIGS.", "19A to 19D of Lenker, holds the prosthesis P at both ends 256, 258 while an outer catheter 254 is retracted over the prosthesis P and the inner sheath 260.The inner sheath 260 remains inside the outer catheter 254 before, during, and after retraction.", "Another structure for holding the prosthesis P at both ends is illustrated in FIGS.", "23A and 23B.", "Therein, the proximal holder having resilient axial members 342 is connected to a proximal ring structure 346.FIGS.", "24A to 24C also show an embodiment for holding the prosthesis at both ends inside thin-walled tube 362.To augment radial forces of stents, some prior art devices have added proximal and/or distal stents that are not entirely covered by the graft material.", "By not covering with graft material a portion of the proximal/distal ends of the stent, these stents have the ability to expand further radially than those stents that are entirely covered by the graft material.", "By expanding further, the proximal/distal stent ends better secure to the interior wall of the vessel and, in doing so, press the extreme cross-sectional surface of the graft ends into the vessel wall to create a fixated blood-tight seal.", "One example of such a prior art exposed stent can be found in United States Patent Publication US 2002/0198587 to Greenberg et al.", "The modular stent graft assembly therein has a three-part stent graft: a two-part graft having an aortic section 12 and an iliac section 14 (with four sizes for each) and a contralateral iliac occluder 80.FIGS.", "1, 2, and 4 to 6 show the attachment stent 32.As illustrated in FIGS.", "1, 2, and 4, the attachment stent 32, while rounded, is relatively sharp and, therefore, increases the probability of puncturing the vessel.", "A second example of a prior art exposed stent can be found in U.S. Patent Publication 2003/0074049 to Hoganson et al.", "(hereinafter “Hoganson”), which discloses a covered stent 10 in which the elongated portions or sections 24 of the ends 20a and 20b extend beyond the marginal edges of the cover 22.See Hoganson at FIGS.", "1, 3, 9, 11a, 11b, 12a, 12b, and 13.However, these extending exposed edges are triangular, with sharp apices pointing both upstream and downstream with regard to a graft placement location.", "Such a configuration of the exposed stent 20a, 20b increases the possibility of puncturing the vessel.", "In various embodiments shown in FIGS.", "6a, 6b, 6c; 10, 14a, Hoganson teaches completely covering the extended stent and, therefore, the absence of a stent extending from the cover 22.It is noted that the Hoganson stent is implanted by inflation of a balloon catheter.", "Another example of a prior art exposed stent can be found in U.S. Pat.", "No.", "6,565,596 to White et al.", "(hereinafter “White I”), which uses a proximally extending stent to prevent twisting or kinking and to maintain graft against longitudinal movement.", "The extending stent is expanded by a balloon and has a sinusoidal amplitude greater than the next adjacent one or two sinusoidal wires.", "White I indicates that it is desirable to space wires adjacent upstream end of graft as close together as is possible.", "The stent wires of White I are actually woven into graft body by piercing the graft body at various locations.", "See White I at FIGS.", "6 and 7.Thus, the rips in the graft body can lead to the possibility of the exposed stent moving with respect to the graft and of the graft body ripping further.", "Between the portions of the extending stent 17, the graft body has apertures.", "The stent configuration of U.S. Pat.", "No.", "5,716,393 to Lindenberg et al.", "is similar to White I in that the outermost portion of the one-piece stent—made from a sheet that is cut/punched and then rolled into cylinder—has a front end with a greater amplitude than the remaining body of the stent A further example of a prior art exposed stent can be found in U.S. Pat.", "No.", "6,524,335 to Hartley et al.", "(hereinafter “Hartley”).", "FIGS.", "1 and 2 of Hartley particularly disclose a proximal first stent 1 extending proximally from graft proximal end 4 with both the proximal and distal apices narrowing to pointed ends.", "Yet another example of a prior art exposed stent can be found in U.S. Pat.", "No.", "6,355,056 to Pinheiro (hereinafter “Pinheiro I”).", "Like the Hartley exposed stent, Pinheiro discloses exposed stents having triangular, sharp proximal apices.", "Still a further example of a prior art exposed stent can be found in U.S. Pat.", "No.", "6,099,558 to White et al.", "(hereinafter “White II”).", "The White II exposed stent is similar to the exposed stent of White I and also uses a balloon to expand the stent.", "An added example of a prior art exposed stent can be found in U.S. Pat.", "No.", "5,871,536 to Lazarus, which discloses two support members 68 longitudinally extending from proximal end to a rounded point.", "Such points, however, create a very significant possibility of piercing the vessel.", "An additional example of a prior art exposed stent can be found in U.S. Pat.", "No.", "5,851,228 to Pinheiro (hereinafter “Pinheiro II”).", "The Pinheiro II exposed stents are similar to the exposed stents of Pinheiro I and, as such, have triangular, sharp, proximal apices.", "Still another example of a prior art exposed stent can be found in Lenker (U.S. Pat.", "No.", "5,824,041), which shows a squared-offend of the proximal and distal exposed band members 14.A portion of the exposed members 14 that is attached to the graft material 18, 20 is longitudinally larger than a portion of the exposed members 14 that is exposed and extends away from the graft material 18, 20.Lenker et al.", "does not describe the members 14 in any detail.", "Yet a further example of a prior art exposed stent can be found in U.S. Pat.", "No.", "5,824,036 to Lauterjung, which, of all of the prior art embodiments described herein, shows the most pointed of exposed stents.", "Specifically, the proximal ends of the exposed stent are apices pointed like a minaret.", "The minaret points are so shaped intentionally to allow forks 300 (see Lauterjung at FIG.", "5) external to the stent 154 to pull the stent 154 from the sheath 302, as opposed to being pushed.", "A final example of a prior art exposed stent can be found in U.S. Pat.", "No.", "5,755,778 to Kleshinski.", "The Kleshinski exposed stents each have two different shaped portions, a triangular base portion and a looped end portion.", "The totality of each exposed cycle resembles a castellation.", "Even though the end-most portion of the stent is curved, because it is relatively narrow, it still creates the possibility of piercing the vessel wall.", "All of these prior art stents suffer from the disadvantageous characteristic that the relatively sharp proximal apices of the exposed stents have a shape that is likely to puncture the vessel wall.", "Devices other than exposed stents have been used to inhibit graft migration.", "A second of such devices is the placement of a relatively stiff longitudinal support member longitudinally extending along the entirety of the graft.", "The typical stent graft has a tubular body and a circumferential framework.", "This framework is not usually continuous.", "Rather, it typically takes the form of a series of rings along the tubular graft.", "Some stent grafts have only one or two of such rings at the proximal and/or distal ends and some have many stents tandemly placed along the entirety of the graft material.", "Thus, the overall stent graft has an “accordion” shape.", "During the systolic phase of each cardiac cycle, the hemodynamic pressure within the vessel is substantially parallel with the longitudinal plane of the stent graft.", "Therefore, a device having unsecured stents, could behave like an accordion or concertina with each systolic pulsation, and may have a tendency to migrate downstream.", "(A downstream migration, to achieve forward motion, has a repetitive longitudinal compression and extension of its cylindrical body.)", "Such movement is entirely undesirable.", "Connecting the stents with support along the longitudinal extent of the device thereof can prevent such movement.", "To provide such support, a second anti-migration device can be embodied as a relatively stiff longitudinal bar connected to the framework.", "A clear example of a longitudinal support bar can be found in Pinheiro I (U.S. Pat.", "No.", "6,355,056) and Pinheiro II (U.S. Pat.", "No.", "5,851,228).", "Each of these references discloses a plurality of longitudinally extending struts 40 extending between and directly interconnecting the proximal and distal exposed stents 20a, 20b.", "These struts 40 are designed to extend generally parallel with the inner lumen 15 of the graft 10, in other words, they are straight.", "Another example of a longitudinal support bar can be found in U.S. Pat.", "No.", "6,464,719 to Jayaraman.", "The Jayaraman stent is formed from a graft tube 21 and a supporting sheet 1 made of nitinol.", "This sheet is best shown in FIG.", "3.The end pieces 11, 13 of the sheet are directly connected to one another by wavy longitudinal connecting pieces 15 formed by cutting the sheet 1.To form the stent graft, the sheet 1 is coiled with or around the cylindrical tube 21.See FIGS.", "1 and 4.Alternatively, a plurality of connecting pieces 53 with holes at each end thereof can be attached to a cylindrical fabric tube 51 by stitching or sutures 57, as shown in FIG.", "8.Jayaraman requires more than one of these serpentine shaped connecting pieces 53 to provide longitudinal support.", "United States Patent Publication 2002/0016627 and U.S. Pat.", "No.", "6,312,458 to Golds each disclose a variation of a coiled securing member 20.A different kind of supporting member is disclosed in FIG.", "8 of U.S. Pat.", "No.", "6,053,943 to Edwin et al.", "Like Jayaraman, U.S. Pat.", "No.", "5,871,536 to Lazarus discloses a plurality of straight, longitudinal support structures 38 attached to the circumferential support structures 36, see FIGS.", "1, 6, 7, 8, 10, 11, 12, 14.FIG.", "8 of Lazarus illustrates the longitudinal support structures 38 attached to a distal structure 36 and extending almost all of the way to the proximal structure 36.The longitudinal structures 38, 84, 94 can be directly connected to the body 22, 80 and can be telescopic 38, 64.United States Patent Publication 2003/0088305 to Van Schie et al.", "(hereinafter “Van Schie”) does not disclose a support bar.", "Rather, it discloses a curved stent graft using an elastic material 8 connected to stents at a proximal end 2 and at a distal end 3 (see FIGS.", "1, 2) thereof to create a curved stent graft.", "Because Van Schie needs to create a flexible curved graft, the elastic material 8 is made of silicone rubber or another similar material.", "Thus, the material 8 cannot provide support in the longitudinal extent of the stent graft.", "Accordingly, an alternative to the elastic support material 8 is a suture material 25 shown in FIGS.", "3 to 6.SUMMARY OF THE INVENTION The invention provides a sheath capture device for an endovascular stent graft delivery system and a method for operating the device that overcome the hereinafore-mentioned disadvantages of the heretofore-known devices and methods of this general type and that provides a vessel repair device that implants/conforms more efficiently within the natural or diseased course of the aorta by aligning with the natural curve of the aorta, decreases the likelihood of vessel puncture, increases the blood-tight vascular connection, retains the intraluminal wall of the vessel position, is more resistant to migration, and delivers the stent graft into a curved vessel while minimizing intraluminal forces imparted during delivery and while minimizing the forces needed for a user to deliver the stent graft into a curved vessel.", "With the foregoing and other objects in view, there is provided, in accordance with the invention, a delivery system for delivering and deploying stent grafts having a proximal bare stent, the delivery system includes a hollow first lumen having a first distal end, a stent capture device including a capture portion fixedly connected adjacent said first distal end, a relatively rigid, hollow outer catheter having a catheter distal end and a catheter inner diameter, a hollow second lumen having a second distal end and being slidably disposed about said first lumen and slidably disposed in said outer catheter, a relatively flexible, hollow stent graft sheath having a sheath proximal end connected to said second distal end and disposed about said first lumen, said sheath having a sheath distal end and a sheath inner diameter greater than said catheter inner diameter to fit therein an at least partially compressed stent graft, and a distal nose cone having a cone proximal end connected to at least one of said capture portion and said first distal end, said nose cone and said capture portion being movably adjustable to selectively capture said sheath distal end therebetween.", "In accordance with another feature of the invention, the nose cone and the capture portion define a cavity in which the sheath distal end is held releasably.", "In accordance with a further feature of the invention, there is provided a handle, the outer catheter defining a longitudinal axis and being longitudinally fixedly connected to the handle about the second lumen, the first and second lumens being longitudinally movably connected to the handle.", "In accordance with an added feature of the invention, the outer catheter has a length only long enough to be inserted in a patient's aorta to approximately the patient's celiac axis.", "In accordance with an additional feature of the invention, there is provided a hollow third lumen disposed about the first lumen and within the second lumen, the third lumen having a third distal end, the capture portion being a first capture portion, the stent capture device including a second capture portion fixedly connected adjacent the third distal end and selectively movable with respect to the first capture portion to capture a stent therebetween.", "With the objects of the invention in view, there is also provided a method of loading a stent graft in a prosthesis delivery system for implanting a stent graft in a patient at a treatment site, includes the steps of providing a prosthesis delivery system including a hollow first lumen having a first distal end, a stent capture device including a capture portion fixedly connected adjacent the first distal end, a relatively rigid, hollow outer catheter having a catheter distal end and a catheter inner diameter, and, a hollow second lumen having a second distal end and being slidably disposed about the first lumen and slidably disposed in the outer catheter, loading a stent graft inside a relatively flexible, hollow stent graft sheath having a sheath inner diameter greater than the catheter inner diameter to temporarily dispose the stent graft therein in an at least partially compressed state, the stent graft sheath being disposed about the first lumen and having a sheath distal end and a sheath proximal end connected to the second distal end, temporarily capturing a stent of the stent graft with the stent capture device, removably capturing the sheath distal end between the capture portion and a distal nose cone having a proximal end connected to at least one of the capture portion and the first distal end, and loading the sheath with the partially compressed stent graft into the outer catheter to compress the stent graft even further for subsequent implantation at the treatment site.", "In accordance with yet another mode of the invention, the sheath capturing step is carried out by movably adjusting the nose cone and the capture portion to selectively secure the sheath distal end therebetween.", "In accordance with yet a further mode of the invention, the outer catheter is extended with the loaded sheath up to a given position at a distance from the treatment site and the stent graft is implanted at the treatment site.", "In accordance with yet an added mode of the invention, the implantation step is carried out by extending the first and second lumen in a patient towards the treatment site to move the sheath out from the outer catheter and return the stent graft to the partially compressed state in the sheath, extending the first and second lumen further towards the treatment site to place the loaded sheath at the treatment site, and releasing the sheath distal end from between the capture portion and the distal nose cone and unsheathing the stent graft from the sheath by retracting the second lumen away from the treatment site, and releasing the stent from the capture device to implant the stent graft at the treatment site.", "In accordance with yet an additional mode of the invention, the outer catheter is advanced in a patient towards a treatment site up to a given position at a distance from the treatment site, and, while retaining the outer catheter in the given position, the stent graft sheath is advanced out from the outer catheter to the treatment site to align the stent graft with the treatment site, the sheath distal end of the sheath is released from between the capture portion and the distal nose cone and unsheathing the stent graft from the sheath by retracting the second lumen away from the treatment site to at least partially implant the stent graft at the treatment site, the stent is released from the capture device to implant the stent graft at the treatment site, and, upon completion of the stent graft implantation, both of the stent graft sheath and the outer catheter are retracted from the patient.", "In accordance with again another mode of the invention, the advancing step is carried out by advancing the sheath out from the outer catheter to the treatment site to axially align the stent graft with the treatment site and rotating the stent graft sheath to circumferentially align the stent graft with the treatment site.", "In accordance with again a further mode of the invention, the advancing step is carried out by advancing the outer catheter towards the treatment site only up to a point at a distance from the treatment site greater than a length of the stent graft.", "In accordance with again an added mode of the invention, the advancing step is carried out by advancing the outer catheter in vasculature of a patient towards a vascular treatment site.", "In accordance with again an additional mode of the invention, the advancing step is carried out by advancing the outer catheter in aortic vasculature of the patient to approximately a celiac axis of the patient.", "In accordance with still another mode of the invention, the advancing step is carried out by advancing the outer catheter in aortic vasculature of the patient to approximately a celiac axis of the patient.", "In accordance with yet another mode of the invention, the outer catheter is provided with a length sufficient to reach to approximately a celiac axis of a patient.", "In accordance with yet a further mode of the invention, the outer catheter is provided with a length equal to approximately a distance from the patient's femoral arterial access site to the patient's celiac axis.", "In accordance with yet an added mode of the invention, the retracting step is carried out upon completion of the stent graft implantation by retracting the stent graft sheath into the outer catheter and subsequently retracting the outer catheter containing the stent graft sheath from the patient.", "In accordance with yet an additional mode of the invention, the stent graft sheath is of a material relatively more flexible than a material of the outer catheter.", "With the objects of the invention in view, there is also provided a method of implanting a stent graft in a patient at a treatment site, including the steps of longitudinally locking a set of inner lumens and an inner sheath with respect to an outer lumen, at least a portion of the inner sheath constraining a stent graft, capturing a distal end of the inner sheath between a stent capture device and a distal nose cone, threading the lumens and the inner sheath onto a guidewire and into a patient to place the outer lumen into an aorta of the patient only up to a point at a distance from the treatment site greater than a length of the prosthesis, longitudinally unlocking the inner lumens with respect to the outer lumen, advancing the inner lumens and inner sheath distally out of the outer lumen in the aorta towards the patient's heart to transport the stent graft further upstream into the aorta, unlocking the inner sheath constraining the stent graft from at least one interior lumen of the inner lumens, and releasing the distal end of the inner sheath from between the capture portion and the distal nose cone by retracting the inner sheath in a proximal direction to at least partially implant the stent graft in a vessel.", "In accordance with a concomitant mode of the invention, the stent graft is implanted in the vessel at the treatment site by retracting the second lumen away from the treatment site to unsheath the stent graft from the sheath and releasing the stent from the capture device.", "Other features that are considered as characteristic for the invention are set forth in the appended claims.", "Although the invention is illustrated and described herein as embodied in a sheath capture device for an endovascular stent graft delivery system and a method for operating the device, it is, nevertheless, not intended to be limited to the details shown because various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.", "The construction and method of operation of the invention, however, together with additional objects and advantages thereof, will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings.", "BRIEF DESCRIPTION OF THE DRAWINGS The features of the present invention, which are believed to be novel, are set forth with particularity in the appended claims.", "The invention, together with further objects and advantages thereof, may best be understood by reference to the following description, taken in conjunction with the accompanying drawings, in the several figures of which like reference numerals identify like elements, and in which: FIG.", "1 is a side elevational view of a stent graft according to the invention; FIG.", "2 is a side elevational view of a stent of the stent graft of FIG.", "1; FIG.", "3 is a cross-sectional view of the stent of FIG.", "2 with different embodiments of protrusions; FIG.", "4 is a perspective view of a prior art round mandrel for forming prior art stents; FIG.", "5 is a fragmentary, side elevational view of a prior art stent in a portion of a vessel; FIG.", "6 is a perspective view of a dodecahedral-shaped mandrel for forming stents in FIGS.", "1 to 3; FIG.", "7 is a fragmentary, side elevational view of the stent of FIGS.", "1 to 3 in a portion of a vessel; FIG.", "8 is a fragmentary, enlarged side elevational view of the proximal end of the stent graft of FIG.", "1 illustrating movement of a gimbaled end; FIG.", "9 is a side elevational view of a two-part stent graft according to the invention; FIG.", "10 is a fragmentary, side elevational view of a delivery system according to the invention with a locking ring in a neutral position; FIG.", "11 is a fragmentary, side elevational view of the delivery system of FIG.", "10 with the locking ring in an advancement position and, as indicated by dashed lines, a distal handle and sheath assembly in an advanced position; FIG.", "12 is a fragmentary, enlarged view of a sheath assembly of the delivery system of FIG.", "10; FIG.", "13 is a fragmentary, enlarged view of an apex capture device of the delivery system of FIG.", "10 in a captured position; FIG.", "14 is a fragmentary, enlarged view of the apex capture device of FIG.", "13 in a released position; FIG.", "15 is a fragmentary, enlarged view of an apex release assembly of the delivery system of FIG.", "10 in the captured position; FIG.", "16 is a fragmentary, enlarged view of the apex release assembly of FIG.", "15 in the captured position with an intermediate part removed; FIG.", "17 is a fragmentary, enlarged view of the apex release assembly of FIG.", "16 in the released position; FIG.", "18 is a fragmentary, side elevational view of the delivery system of FIG.", "11 showing how a user deploys the prosthesis; FIG.", "19 is a fragmentary cross-sectional view of human arteries including the aorta with the assembly of the present invention in a first step of a method for inserting the prosthesis according to the invention; FIG.", "20 is a fragmentary cross-sectional view of the arteries of FIG.", "19 with the assembly in a subsequent step of the method for inserting the prosthesis; FIG.", "21 is a fragmentary cross-sectional view of the arteries of FIG.", "20 with the assembly in a subsequent step of the method for inserting the prosthesis; FIG.", "22 is a fragmentary cross-sectional view of the arteries of FIG.", "21 with the assembly in a subsequent step of the method for inserting the prosthesis; FIG.", "23 is a fragmentary cross-sectional view of the arteries of FIG.", "22 with the assembly in a subsequent step of the method for inserting the prosthesis; FIG.", "24 is a fragmentary cross-sectional view of the arteries of FIG.", "23 with the assembly in a subsequent step of the method for inserting the prosthesis; FIG.", "25 is a fragmentary, diagrammatic, perspective view of the coaxial relationship of delivery system lumen according to the invention; FIG.", "26 is a fragmentary, cross-sectional view of the apex release assembly according to the invention; FIG.", "27 is a fragmentary, side elevational view of the stent graft of FIG.", "1 with various orientations of radiopaque markers according to the invention; FIG.", "28 is a fragmentary perspective view of the stent graft of FIG.", "1 with various orientations of radiopaque markers according to the invention; FIG.", "29 is a perspective view of a distal apex head of the apex capture device of FIG.", "13; FIG.", "30 is a fragmentary side elevational view of the distal apex head of FIG.", "29 and a proximal apex body of the apex capture device of FIG.", "13 with portions of a bare stent in the captured position; FIG.", "31 is a fragmentary, side elevational view of the distal apex head and proximal apex body of FIG.", "30 with a portion of the proximal apex body cut away to illustrate the bare stent in the captured position; FIG.", "32 is a fragmentary side elevational view of the distal apex head and proximal apex body of FIG.", "30 in the released position; FIG.", "33 is a fragmentary, cross-sectional view of an embodiment of handle assemblies according to the invention; FIG.", "34 is a cross-sectional view of a pusher clasp rotator of the handle assembly of FIG.", "33; FIG.", "35 is a plan view of the pusher clasp rotator of FIG.", "34 viewed along line C-C; FIG.", "36 is a plan and partially hidden view of the pusher clasp rotator of FIG.", "34 with a helix groove for a first embodiment of the handle assembly of FIGS.", "10, 11, and 18; FIG.", "37 is a cross-sectional view of the pusher clasp rotator of FIG.", "36 along section line A-A; FIG.", "38 is a plan and partially hidden view of the pusher clasp rotator of FIG.", "36; FIG.", "39 is a cross-sectional view of the pusher clasp rotator of FIG.", "38 along section line B-B; FIG.", "40 is a perspective view of a rotator body of the handle assembly of FIG.", "33; FIG.", "41 is an elevational and partially hidden side view of the rotator body of FIG.", "40; FIG.", "42 is a cross-sectional view of the rotator body of FIG.", "41 along section line A-A; FIG.", "43 is an elevational and partially hidden side view of the rotator body of FIG.", "40; FIG.", "44 is an elevational and partially hidden side view of a pusher clasp body of the handle assembly of FIG.", "33; FIG.", "45 is a cross-sectional view of the pusher clasp body of FIG.", "44 along section line A-A; FIG.", "46 is a cross-sectional view of the pusher clasp body of FIG.", "44 along section line B-B; FIG.", "47 is a fragmentary, side elevational view of a portion of the handle assembly of FIG.", "33 with a sheath assembly according to the invention; FIG.", "48 is an exploded side elevational view of a portion of the handle assembly of FIG.", "47; FIG.", "49 is a fragmentary elevational and partially hidden side view of a handle body of the handle assembly of FIG.", "33; FIG.", "50 is a fragmentary, exploded side elevational view of a portion of a second embodiment of the handle assembly according to the invention; FIG.", "51 is a fragmentary, side elevational view of the portion of FIG.", "50 in a neutral position; FIG.", "52 is an exploded view of a first portion of the second embodiment of the handle assembly; FIG.", "53 is a fragmentary, exploded view of a larger portion of the second embodiment of the handle assembly as compared to FIG.", "52 with the first portion and the sheath assembly; FIG.", "54 is perspective view of a clasp body of the second embodiment of the handle assembly; FIG.", "55 is an elevational side view of the clasp body of FIG.", "54; FIG.", "56 is a cross-sectional view of the clasp body of FIG.", "55 along section line A-A; FIG.", "57 is a plan view of the clasp body of FIG.", "54; FIG.", "58 is a plan view of the clasp body of FIG.", "57 viewed from section line B-B; FIG.", "59 is a fragmentary and partially hidden side elevational view of a clasp sleeve of the second embodiment of the handle assembly; FIG.", "60 is a fragmentary, cross-sectional view of a portion the clasp sleeve of FIG.", "59 along section line A; FIG.", "61 is a fragmentary, cross-sectional view of the clasp sleeve of FIG.", "59 along section line C-C; FIG.", "62 is a fragmentary and partially hidden side elevational view of the clasp sleeve of FIG.", "59 rotated with respect to FIG.", "59; FIG.", "63 is a fragmentary, cross-sectional view of the nose cone and sheath assemblies of FIG.", "10; FIG.", "64 is a fragmentary, perspective view of a portion of self-alignment configuration according to the invention; FIG.", "65 is a diagrammatic, fragmentary, cross-sectional view of a distal portion of the delivery system with the self-alignment configuration according to the invention inside the descending thoracic aorta and with the self-alignment configuration in an orientation opposite a desired orientation; FIG.", "66 is a diagrammatic, fragmentary, cross-sectional view of the distal portion of the delivery system of FIG.", "65 with the self-alignment configuration partially inside the descending thoracic aorta and partially inside the aortic arch and with the self-alignment configuration in an orientation closer to the desired orientation; FIG.", "67 is a diagrammatic, fragmentary, cross-sectional view of the distal portion of the delivery system of FIG.", "65 with the self-alignment configuration primarily inside the aortic arch and with the self-alignment configuration substantially in the desired orientation; FIG.", "68 is a fragmentary, enlarged, partially exploded perspective view of an alternative embodiment of a distal end of the graft push lumen of FIG.", "25; FIG.", "69 is a photograph of a user bending a stent graft assembly around a curving device to impart a curve to a guidewire lumen therein; FIG.", "70 is a side elevational view of a stent graft according to the invention; FIG.", "71 is a side elevational view of an alternative embodiment of the stent graft with a clasping stent and a crown stent; FIG.", "72 is a photograph depicting a side view of the stent graft of FIG.", "71; FIG.", "73 is a photograph of a perspective view from a side of a proximal end of the stent graft of FIGS.", "1 and 70 with a bare stent protruding from the proximal end thereof; FIG.", "74 is a photograph of an enlarged, perspective view from the interior of the proximal end of the stent graft of FIG.", "71; FIG.", "75 is a photograph of a perspective view from a distal end of the stent graft of FIG.", "71 with an alternative embodiment of the crown stent where less of the stent is attached to the graft; FIG.", "76 is a photograph of a side view of the stent graft of FIG.", "71 partially withdrawn from a flexible sheath of the delivery system according to the invention with some of the capture stent apices releasably held within the apex capture device of the delivery system; FIG.", "77 is a photograph of a perspective view of the captured stent graft of FIG.", "76 from the proximal end thereof and with some of the capture stent apices releasably held within the apex capture device of the delivery system; FIG.", "78 is a photograph of a perspective view from the proximal end of the stent graft of FIGS.", "1 and 70 deployed in an exemplary vessel; FIG.", "79 is a photograph of a perspective view from the proximal end of the stent graft of FIG.", "71 deployed in an exemplary vessel; FIG.", "80 is a cross-sectional view of the apex capture assembly of FIGS.", "13, 14, 29 to 32, and 63 along a plane orthogonal to the longitudinal axis of the delivery system according to the invention without the inner sheath; FIG.", "81 is a fragmentary, cross-sectional view of the apex capture assembly of FIG.", "80 along a plane orthogonal to the view plane of FIG.", "80 and through the longitudinal axis of the delivery system according to the invention without the inner sheath; FIG.", "82 is a fragmentary, side elevational view of a distal end of the delivery system according to the invention with the inner sheath in a curved orientation and having an alternative embodiment of a D-shaped marker thereon; FIG.", "83 is a fragmentary, plan view of the distal end of FIG.", "82 viewed from above; FIG.", "84 is a fragmentary, plan and partially hidden view of the distal end of FIG.", "82 viewed from below with the D-shaped marker on the opposite top side; FIG.", "85 is a fragmentary, elevational view of the distal end of FIG.", "82 viewed from the top of FIG.", "82 and parallel to the longitudinal axis of the catheter of the delivery system; FIG.", "86 is a side elevational view of the delivery system according to the invention with an alternative embodiment of a rotating distal handle; FIG.", "87 is a fragmentary, cross-sectional view of the rotating distal handle of FIG.", "86; FIG.", "88 is a is a fragmentary, cross-sectional view of an alternative embodiment of the rotating distal handle of FIG.", "86; FIG.", "89 is a fragmentary, perspective view of the distal end of the delivery system of FIG.", "86; FIG.", "90 is a perspective view from the distal side of another embodiment of the delivery system of the invention; FIG.", "91 is a fragmentary, enlarged, exploded, side elevational view of the apex release assembly of the delivery system of FIG.", "90; FIG.", "92 is a fragmentary, enlarged, partially exploded, side elevational view of the locking knob assembly of the delivery system of FIG.", "90; FIG.", "93 is a perspective view of a clasp sleeve of a handle assembly of the delivery system of FIG.", "90; FIG.", "94 is an exploded, perspective view of a clasp body assembly of the handle assembly of FIG.", "90; FIG.", "95 is an exploded, perspective view of a rotator assembly of the handle assembly of FIG.", "90; FIG.", "96 is a perspective view of the rotator assembly of FIG.", "95 in an assembled state; FIG.", "97 is a fragmentary, exploded, side elevational view of a delivery sheath of the delivery system of FIG.", "90; FIG.", "98 is a fragmentary, exploded, side elevational view of the delivery sheath of FIG.", "97 rotated approximately 90 degrees; FIG.", "99 is an enlarged, side elevational view of a portion of the delivery sheath of FIG.", "98; FIG.", "100 is a fragmentary, enlarged, side elevational view of the distal end of the delivery system of FIG.", "90; FIG.", "101 is a fragmentary, partially hidden side elevational view and partially cross-sectional view of the proximal end of the handle assembly of FIG.", "90 with the sheath lumen removed; FIG.", "102 is a fragmentary, cross-sectional view of the proximal end of the handle assembly of FIG.", "101; FIG.", "103 is a fragmentary, enlarged, cross-sectional view of the actuation knob and clasp body assemblies of the handle assembly of FIG.", "102; FIG.", "104 is a fragmentary, enlarged, cross-sectional view of the rotator assembly of the handle assembly of FIG.", "102; FIG.", "105 is a fragmentary, further-enlarged, cross-sectional view of the rotator assembly of the handle assembly of FIG.", "104; FIG.", "106 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "107 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "108 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "109 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "110 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "111 is a fragmentary, enlarged, transverse cross-sectional view of the handle assembly of FIG.", "110; FIG.", "112 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "113 is a fragmentary, enlarged transverse cross-sectional view of the handle assembly of FIG.", "112; FIG.", "114 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "115 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "116 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "117 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "118 is a fragmentary, transverse cross-sectional view of the handle assembly of the delivery system of FIG.", "90; FIG.", "119 is a fragmentary, shaded, cross-sectional view of a distal portion of the handle assembly of FIG.", "90 without the proximal handle.", "DESCRIPTION OF EXEMPLARY EMBODIMENTS While the specification concludes with claims defining the features of the invention that are regarded as novel, it is believed that the invention will be better understood from a consideration of the following description in conjunction with the drawing figures, in which like reference numerals are carried forward.", "The present invention provides a stent graft, delivery system, and method for implanting a prosthesis with a two-part expanding delivery system that treats, in particular, thoracic aortic defects from the brachiocephalic level of the aortic arch distally to a level just superior to the celiac axis and provides an endovascular foundation for an anastomosis with the thoracic aorta, while providing an alternative method for partial/total thoracic aortic repair by excluding the vessel defect and making surgical repair of the aorta unnecessary.", "The stent graft of the present invention, however, is not limited to use in the aorta.", "It can be endoluminally inserted in any accessible artery that could accommodate the stent graft's dimensions.", "Stent Graft The stent graft according to the present invention provides various features that, heretofore, have not been applied in the art and, thereby, provide a vessel repair device that implants/conforms more efficiently within the natural or diseased course of the aorta, decreases the likelihood of vessel puncture, and increases the blood-tight vascular connection, and decreases the probability of graft mobility.", "The stent graft is implanted endovascularly before or during or in place of an open repair of the vessel (i.e., an arch, in particular, the ascending and/or descending portion of the aorta) through a delivery system described in detail below.", "The typical defects treated by the stent graft are aortic aneurysms, aortic dissections, and other diseases such as penetrating aortic ulcer, coarctation, and patent ductus arteriosus, related to the aorta.", "When endovascularly placed in the aorta, the stent graft forms a seal in the vessel and automatically affixes itself to the vessel with resultant effacement of the pathological lesion.", "Referring now to the figures of the drawings in detail and first, particularly to FIG.", "1 thereof, there is shown an improved stent graft 1 having a graft sleeve 10 and a number of stents 20.These stents 20 are, preferably, made of nitinol, an alloy having particularly special properties allowing it to rebound to a set configuration after compression, the rebounding property being based upon the temperature at which the alloy exists.", "For a detailed explanation of nitinol and its application with regard to stents, see, e.g., U.S. Pat.", "Nos.", "4,665,906, 5,067,957, and 5,597,378 to Jervis and to Gianturco.", "The graft sleeve 10 is cylindrical in shape and is made of a woven graft material along its entire length.", "The graft material is, preferably, polyester, in particular, polyester referred to under the name DACRON® or other material types like Expanded Polytetrafluoroethylene (“EPTFE”), or other polymeric based coverings.", "The tubular graft sleeve 10 has a framework of individual lumen-supporting wires each referred to in the art as a stent 20.Connection of each stent 20 is, preferably, performed by sewing a polymeric (nylon, polyester) thread around an entirety of the stent 20 and through the graft sleeve 10.The stitch spacings are sufficiently close to prevent any edge of the stent 20 from extending substantially further from the outer circumference of the graft sleeve 10 than the diameter of the wire itself.", "Preferably, the stitches have a 0.5 mm to 5 mm spacing.", "The stents 20 are sewn either to the exterior or interior surfaces of the graft sleeve 10.FIG.", "1 illustrates all stents 20, 30 on the exterior surface 16 of the graft sleeve 10.In an exemplary non-illustrated embodiment, the most proximal 23 and distal stents and a bare stent 30 are connected to the interior surface of the graft sleeve 10 and the remainder of the stents 20 are connected to the exterior surface 16.Another possible non-illustrated embodiment alternates connection of the stents 20, 30 to the graft sleeve 10 from the graft exterior surface to the graft interior surface, the alternation having any periodic sequence.", "A stent 20, when connected to the graft sleeve 10, radially forces the graft sleeve 10 open to a predetermined diameter D. The released radial force creates a seal with the vessel wall and affixes the graft to the vessel wall when the graft is implanted in the vessel and is allowed to expand.", "Typically, the stents 20 are sized to fully expand to the diameter D of the fully expanded graft sleeve 10.However, a characteristic of the present invention is that each of the stents 20 and 30 has a diameter larger than the diameter D of the fully expanded graft sleeve 10.Thus, when the stent graft 1 is fully expanded and resting on the internal surface of the vessel where it has been placed, each stent 20 is imparting independently a radially directed force to the graft sleeve 10.Such pre-compression, as it is referred to herein, is applied (1) to ensure that the graft covering is fully extended, (2) to ensure sufficient stent radial force to make sure sealing occurs, (3) to affix the stent graft and prevent it from kinking, and (4) to affix the stent graft and prevent migration.", "Preferably, each of the stents 20 is formed with a single nitinol wire.", "Of course other biocompatible materials can be used, for example, stainless steel, biopolymers, cobalt chrome, and titanium alloys.", "An exemplary shape of each stent 20 corresponds to what is referred in the art as a Z-stent, see, e.g., Gianturco (although the shape of the stents 20 can be in any form that satisfies the functions of a self-expanding stent).", "Thus, the wire forming the stent 20 is a ring having a wavy or sinusoidal shape.", "In particular, an elevational view orthogonal to the center axis 21 of the stent 20 reveals a shape somewhere between a triangular wave and a sinusoidal wave as shown in FIG.", "2.In other words, the view of FIG.", "2 shows that the stents 20 each have alternating proximal 22 and distal 24 apices.", "Preferably, the apices have a radius r that does not present too great of a point towards a vessel wall to prevent any possibility of puncturing the vessel, regardless of the complete circumferential connection to the graft sleeve 10.In particular, the radius r of curvature of the proximal 22 and distal 24 apices of the stent 20 are, preferably, equal.", "The radius of curvature r is between approximately 0.1 mm and approximately 3.0 mm, in particular, approximately 0.5 mm.", "Another advantageous feature of a stent lies in extending the longitudinal profile along which the stent contacts the inner wall of a vessel.", "This longitudinal profile can be explained with reference to FIGS.", "3 to 7.Prior art stents and stents according to the present invention are formed on mandrels 29, 29′ by winding the wire around the mandrel 29, 29′ and forming the apexes 22, 24, 32, 34 by wrapping the wire over non-illustrated pins that protrude perpendicular from the axis of the mandrel.", "Such pins, if illustrated, would be located in the holes illustrated in the mandrels 29, 29′ of FIGS.", "4 and 6.Prior art stents are formed on a round mandrel 29 (also referred to as a bar).", "A stent 20′ formed on a round mandrel 29 has a profile that is rounded (see FIG.", "5).", "Because of the rounded profile, the stent 20′ does not conform evenly against the inner wall of the vessel 2 in which it is inserted.", "This disadvantage is critical in the area of stent graft 1 seal zones—areas where the ends of the graft sleeve 10 need to be laid against the inner wall of the vessel 2.Clinical experience reveals that stents 20′ formed with the round mandrel 29 do not lie against the vessel 2; instead, only a mid-section of the stent 20′ rests against the vessel 2, as shown in FIG.", "5.Accordingly, when such a stent 20′ is present at either of the proximal 12 or distal 14 ends of the stent graft 1, the graft material flares away from the wall of the vessel 2 into the lumen—a condition that is to be avoided.", "An example of this flaring can be seen by comparing the upper and lower portions of the curved longitudinal profile of the stent 20′ in FIG.", "5 with the linear longitudinal profile of the vessel 2.To remedy this problem and ensure co-columnar apposition of the stent and vessel, stents 20 of the present invention are formed on a multiple-sided mandrel.", "In particular, the stents 20 are formed on a polygonal-shaped mandrel 29′.", "The mandrel 29′ does not have sharp edges.", "Instead, it has flat sections and rounded edge portions between the respective flat sections.", "Thus, a stent formed on the mandrel 29′ will have a cross-section that is somewhat round but polygonal, as shown in FIG.", "3.The cross-sectional view orthogonal to the center axis 21 of such a stent 20 will have beveled or rounded edges 31 (corresponding to the rounded edge portions of the mandrel 29′) disposed between flat sides or struts 33 (corresponding to the flat sections of the mandrel 29′).", "With stents manufactured in this way, the apices remain on the circumference of the graft and do not bend into the graft interior like prior art stents—an undesirable condition as explained in the preceding paragraph.", "Further, the struts of the stents so manufactured (the substantially linear portions of the stent between the apices) lie in the plane of the graft material when attached thereto as shown in FIG.", "7.In contrast, prior art struts are curved (see FIG.", "5) and, therefore, force the graft material inwards away from the vessel wall.", "As used herein, substantially linear means that the struts are sufficiently straight and level to substantially prevent displacement of an apex (which lies between two adjacent struts) towards the interior of the graft material to which the struts and apices are attached.", "To manufacture the stent 20, apexes of the stents 20 are formed by winding the wire over non-illustrated pins located on the rounded portions of the mandrel 29′.", "Thus, the struts 33 lying between the apexes 22, 24, 32, 34 of the stents 20 lie flat against the flat sides of the mandrel 29′.", "When so formed on the inventive mandrel 29′, the longitudinal profile is substantially less rounded than the profile of stent 20′ and, in practice, is substantially linear.", "For stents 20 having six proximal 22 and six distal 24 apices, the stents 20 are formed on a dodecahedron-shaped mandrel 29′ (a mandrel having twelve sides), which mandrel 29′ is shown in FIG.", "6.A stent 20 formed on such a mandrel 29′ will have the cross-section illustrated in FIG.", "3.The fourteen-apex stent 20 shown in FIG.", "7 illustrates a stent 20 that has been formed on a fourteen-sided mandrel.", "The stent 20 in FIG.", "7 is polygonal in cross-section (having fourteen sides) and, as shown in FIG.", "7, has a substantially linear longitudinal profile.", "Clinically, the linear longitudinal profile improves the stent's 20 ability to conform to the vessel 2 and press the graft sleeve 10 outward in the sealing zones at the extremities of the individual stent 20.Another way to improve the performance of the stent graft 1 is to provide the distal-most stent 25 on the graft sleeve 10 (i.e., downstream) with additional apices and to give it a longer longitudinal length (i.e., greater amplitude) and/or a longer circumferential length.", "When a stent 25 having a longer circumferential length is sewn to a graft, the stent graft 1 will perform better clinically.", "The improvement, in part, is due to a need for the distal portion of the graft material 10 to be pressed firmly against the wall of the vessel.", "The additional apices result in additional points of contact between the stent graft 1 and vessel wall, thus ensuring better apposition to the wall of the vessel and better sealing of the graft material 10 to the vessel.", "The increased apposition and sealing substantially improves the axial alignment of the distal end 14 of the stent graft 1 to the vessel.", "As set forth above, each of the stents 20 and 30 has a diameter larger than the diameter D of the fully expanded graft sleeve 10.Thus, if the distal stent 25 also has a diameter larger than the diameter D, it will impart a greater radial bias on all 360 degrees of the corresponding section of the graft than stents not having such an oversized configuration.", "A typical implanted stent graft 1 typically does not experience a lifting off at straight portions of a vessel because the radial bias of the stents acting upon the graft sleeve give adequate pressure to align the stent and graft sleeve with the vessel wall.", "However, when a typical stent graft is implanted in a curved vessel (such as the aorta), the distal end of the stent graft 1 does experience a lift off from the vessel wall.", "The increased apposition and sealing of the stent graft 1 according to the present invention substantially decreases the probability of lift off because the added height and additional apices enhance the alignment of the stent graft perpendicular to the vessel wall as compared to prior art stent grafts (no lift off occurs).", "The number of total apices of a stent is dependent upon the diameter of the vessel in which the stent graft 1 is to be implanted.", "Vessels having a smaller diameter have a smaller total number of apices than a stent to be implanted in a vessel having a larger diameter.", "Table I below indicates exemplary stent embodiments for vessels having different diameters.", "For example, if a vessel has a 26 or 27 mm diameter, then an exemplary diameter of the graft sleeve 10 is 30 mm.", "For a 30 mm diameter graft sleeve, the intermediate stents 20 will have 5 apices on each side (proximal and distal) for a total of 10 apices.", "In other words, the stent defines 5 periodic “waves.” The distal-most stent 25, in comparison, defines 6 periodic “waves” and, therefore, has 12 total apices.", "It is noted that the distal-most stent 25 in FIG.", "1 does not have the additional apex.", "While Table 1 indicates exemplary embodiments, these configurations can be adjusted or changed as needed.", "TABLE 1 Vessel Diameter Graft Diameter Stent Apices/Side (mm) (mm) (Distal-most Stent #) 19 22 5(5) 20-21 24 5(5) 22-23 26 5(5) 24-25 28 5(6) 26-27 30 5(6) 28-29 32 6(7) 30-31 34 6(7) 32-33 36 6(7) 34 38 6(7) 35-36 40 7(8) 37-38 42 7(8) 39-40 44 7(8) 41-42 46 7(8) To increase the security of the stent graft 1 in a vessel, an exposed or bare stent 30 is provided on the stent graft 1, preferably, only at the proximal end 12 of the graft sleeve 10—proximal meaning that it is attached to the portion of the graft sleeve 10 from which the blood flows into the sleeve, i.e., blood flows from the bare stent 30 and through the graft sleeve 10 to the left of FIG.", "1.The bare stent 30 is not limited to being attached at the proximal end 12.Another non-illustrated bare stent can be attached similarly to the distal end 14 of the graft sleeve 10.Significantly, the bare stent 30 is only partially attached to the graft sleeve 10.Specifically, the bare stent 30 is fixed to the graft sleeve 10 only at the distal apices 34 of the bare stent 30.Thus, the bare stent 30 is partially free to extend the proximal apices 32 away from the proximal end of the graft sleeve 10.The bare stent 30 has various properties, the primary one being to improve the apposition of the graft material to the contour of the vessel wall and to align the proximal portion of the graft covering in the lumen of the arch and provide a blood-tight closure of the proximal end 12 of the graft sleeve 10 so that blood does not pass between the vascular inside wall and outer surface 16 of the graft sleeve 10 (endoleak).", "An exemplary configuration for the radius of curvature a of the distal apices 34 is substantially equal to the radius r of the proximal 22 and distal 24 apices of the stent 20, in particular, it is equal at least to the radius of curvature r of the proximal apices of the stent 20 directly adjacent the bare stent 30.Thus, as shown in FIG.", "8, a distance between the proximal apices 22 of the most proximal stent 23 and crossing points of the exposed portions of the bare stent 30 are substantially at a same distance from one another all the way around the circumference of the proximal end 12 of the graft sleeve 10.Preferably, this distance varies based upon the graft diameter.", "Accordingly, the sinusoidal portion of the distal apices 34 connected to the graft sleeve 10 traverse substantially the same path as that of the stent 23 closest to the bare stent 30.Thus, the distance d between the stent 23 and all portions of the bare stent 30 connected to the graft sleeve 10 remain constant.", "Such a configuration is advantageous because it maintains the symmetry of radial force of the device about the circumference of the vessel and also aids in the synchronous, simultaneous expansion of the device, thus increasing apposition of the graft material to the vessel wall to induce a proximal seal—and substantially improve the proximal seal—due to increasing outward force members in contact with the vessel wall.", "Inter-positioning the stents 23, 30 in phase with one another, creates an overlap, i.e., the apices 34 of the bare stent 30 are positioned within the troughs of the stent 23.A further advantage of such a configuration is that the overlap provides twice as many points of contact between the proximal opening of the graft sleeve 10 and the vessel in which the stent graft 1 is implanted.", "The additional apposition points keep the proximal opening of the graft sleeve 10 open against the vessel wall, which substantially reduces the potential for endoleaks.", "In addition, the overlap of the stents 23, 30 increases the radial load or resistance to compression, which functionally increases fixation and reduces the potential for device migration.", "In contrast to the distal apices 34 of the bare stent 30, the radius of curvature .beta.", "of the proximal apices 32 (those apices that are not sewn into the graft sleeve 10) is significantly larger than the radius of curvature .alpha.", "of the distal apices 34.An exemplary configuration for the bare stent apices has a radius approximately equal to 1.5 mm for the proximal apices 32 and approximately equal to 0.5 mm for the distal apices 34.Such a configuration substantially prevents perforation of the blood vessel by the proximal apices 32, or, at a minimum, makes is much less likely for the bare stent 30 to perforate the vessel because of the less-sharp curvature of the proximal apices 32.The bare stent 30 also has an amplitude greater than the other stents 20.Preferably, the peak-to-peak amplitude of the stents 20 is approximately 1.3 cm to 1.5 cm, whereas the peak-to-peak amplitude of the bare stent 30 is approximately 2.5 cm to 4.0 cm.", "Accordingly, the force exerted by the bare stent 30 on the inner wall of the aorta (due to the bare stent 30 expanding to its native position) is spread over a larger surface area.", "Thus, the bare stent 30 of the present invention presents a less traumatic radial stress to the interior of the vessel wall—a characteristic that, while less per square mm than an individual one of the stents 20 would be, is sufficient, nonetheless, to retain the proximal end 12 in position.", "Simultaneously, the taller configuration of the bare stent 30 guides the proximal opening of the stent graft in a more “squared-off” manner.", "Thus, the proximal opening of the stent graft is more aligned with the natural curvature of the vessel in the area of the proximal opening.", "As set forth above, because the vessel moves constantly, and due to the constantly changing pressure imparted by blood flow, any stent graft placed in the vessel has the natural tendency to migrate downstream.", "This is especially true when the stent graft 1 has graft sleeve segments 18 with lengths defined by the separation of the stents on either end of the segment 18, giving the stent graft 1 an accordion, concertina, or caterpillar-like shape.", "When such a shape is pulsating with the vessel and while hemodynamic pressure is imparted in a pulsating manner along the stent graft from the proximal end 12 to the downstream distal end 14, the stent graft 1 has a tendency to migrate downstream in the vessel.", "It is desired to have such motion be entirely prohibited.", "Support along a longitudinal extent of the graft sleeve 10 assists in preventing such movement.", "Accordingly, as set forth above, prior art stent grafts have provided longitudinal rods extending in a straight line from one stent to another.", "The present invention, however, provides a longitudinal, spiraling/helical support member 40 that, while extending relatively parallel to the longitudinal axis 11 of the graft sleeve 10, is not aligned substantially parallel to a longitudinal extent of the entirety of the stent graft 1 as done in the prior art.", "“Relatively parallel” is referred to herein as an extent that is more along the longitudinal axis 11 of the stent graft 1 than along an axis perpendicular thereto.", "Specifically, the longitudinal support member 40 has a somewhat S-turn shape, in that, a proximal portion 42 is relatively parallel to the longitudinal axis 11 of the graft sleeve 10 at a first degree 41 (being defined as a degree of the 360 degrees of the circumference of the graft sleeve 10), and a distal portion 44 is, also, relatively parallel to the longitudinal axis 11 of the tube graft, but at a different second degree 43 on the circumference of the graft sleeve 10.The difference between the first and second degrees 41, 43 is dependent upon the length L of the graft sleeve 10.For an approximately 20 cm (approx.", "8″) graft sleeve, for example, the second degree 43 is between 80 and 110 degrees away from the first degree 41, in particular, approximately 90 degrees away.", "In comparison, for an approximately 9 cm (approx.", "3.5″) graft sleeve, the second degree 43 is between 30 and 60 degrees away from the first degree 41, in particular, approximately 45 degrees away.", "As set forth below, the distance between the first and second degrees 41, 43 is also dependent upon the curvature and the kind of curvature that the stent graft 1 will be exposed to when in vivo.", "The longitudinal support member 40 has a curved intermediate portion 46 between the proximal and distal portions 42, 44.By using the word “portion” it is not intended to mean that the rod is in three separate parts (of course, in a particular configuration, a multi-part embodiment is possible).", "An exemplary embodiment of the longitudinal support member 40 is a single, one-piece rod made of stainless steel, cobalt chrome, nitinol, or polymeric material that is shaped as a fully curved helix 42, 44, 46 without any straight portion.", "In an alternative stent graft embodiment, the proximal and distal portions 42, 44 can be substantially parallel to the longitudinal axis 11 of the stent graft 1 and the central portion 46 can be helically curved.", "One way to describe an exemplary curvature embodiment of the longitudinal support member 40 can be using an analogy of asymptotes.", "If there are two asymptotes extending parallel to the longitudinal axis 11 of the graft sleeve 10 at the first and second degrees 41, 43 on the graft sleeve 10, then the proximal portion 42 can be on the first degree 41 or extend approximately asymptotically to the first degree 41 and the distal portion 44 can be on the second degree 43 or extend approximately asymptotically to the second degree 43.Because the longitudinal support member 40 is one piece in an exemplary embodiment, the curved portion 46 follows the natural curve formed by placing the proximal and distal portions 42, 44 as set forth herein.", "In such a position, the curved longitudinal support member 40 has a centerline 45 (parallel to the longitudinal axis 11 of the graft sleeve 10 halfway between the first and second degrees 41, 43 on the graft sleeve 10).", "In this embodiment, therefore, the curved portion intersects the centerline 45 at approximately 20 to 40 degrees in magnitude, preferably at approximately 30 to 35 degrees.", "Another way to describe the curvature of the longitudinal support member can be with respect to the centerline 45.The portion of the longitudinal support member 40 between the first degree 41 and the centerline 45 is approximately a mirror image of the portion of the longitudinal support member 40 between the second degree 43 and the centerline 45, but rotated one-hundred eighty degrees (180.degree.)", "around an axis orthogonal to the centerline 45.Such symmetry can be referred to herein as “reverse-mirror symmetrical.” The longitudinal support member 40 is, preferably, sewn to the graft sleeve 10 in the same way as the stents 20.However, the longitudinal support member 40 is not sewn directly to any of the stents 20 in the proximal portions of the graft.", "In other words, the longitudinal support member 40 is independent of the proximal skeleton formed by the stents 20.Such a configuration is advantageous because an independent proximal end creates a gimbal that endows the stent graft with additional flexibility.", "Specifically, the gimbaled proximal end allows the proximal end to align better to the proximal point of apposition, thus reducing the chance for endoleak.", "The additional independence from the longitudinal support member allows the proximal fixation point to be independent from the distal section that is undergoing related motion due to the physiological motion of pulsatile flow of blood.", "Also in an exemplary embodiment, the longitudinal support member 40 is pre-formed in the desired spiral/helical shape (counter-clockwise from proximal to distal), before being attached to the graft sleeve 10.Because vessels receiving the stent graft 1 are not typically straight (especially the aortic arch), the final implanted position of the stent graft 1 will, most likely, be curved in some way.", "In prior art stent grafts (which only provide longitudinally parallel support rods), there exist, inherently, a force that urges the rod, and, thereby, the entire stent graft, to the straightened, natural shape of the rod.", "This force is disadvantageous for stent grafts that are to be installed in an at least partly curved manner.", "The curved shape of the longitudinal support member 40 according to the present invention eliminates at least a majority, or substantially all, of this disadvantage because the longitudinal support member's 40 natural shape is curved.", "Therefore, the support member 40 imparts less of a force, or none at all, to straighten the longitudinal support member 40, and, thereby, move the implanted stent graft in an undesirable way.", "At the same time, the curved longitudinal support member 40 negates the effect of the latent kinetic force residing in the aortic wall that is generated by the propagation of the pulse wave and systolic blood pressure in the cardiac cycle, which is, then, released during diastole.", "As set forth in more detail below, the delivery system of the present invention automatically aligns the stent graft 1 to the most optimal position while traversing the curved vessel in which it is to be implanted, specifically, the longitudinal support member 40 is placed substantially at the superior longitudinal surface line of the curved aorta (with respect to anatomical position).", "In an exemplary embodiment, the longitudinal support member 40 can be curved in a patient-customized way to accommodate the anticipated curve of the actual vessel in which the graft will be implanted.", "Thus, the distance between the first and second degrees 41, 43 will be dependent upon the curvature and the kind of curvature that the stent graft 1 will be exposed to when in vivo.", "As such, when implanted, the curved longitudinal support member 40 will, actually, exhibit an opposite force against any environment that would alter its conformance to the shape of its resident vessel's existing course(es).", "Preferably, the support member 40 is sewn, in a similar manner as the stents 20, on the outside surface 16 of the graft sleeve 10.In prior art support rods, the ends thereof are merely a terminating end of a steel or nitinol rod and are, therefore, sharp.", "Even though these ends are sewn to the tube graft in the prior art, the possibility of tearing the vessel wall still exists.", "It is, therefore, desirable to not provide the support rod with sharp ends that could puncture the vessel in which the stent graft is placed.", "The two ends of the longitudinal support member 40 of the present invention do not end abruptly.", "Instead, each end of the longitudinal support member loops 47 back upon itself such that the end of the longitudinal support member along the axis of the stent graft is not sharp and, instead, presents an exterior of a circular or oval shape when viewed from the ends 12, 14 of the graft sleeve 10.Such a configuration substantially prevents the possibility of tearing the vessel wall and also provides additional longitudinal support at the oval shape by having two longitudinally extending sides of the oval 47.In addition, in another embodiment, the end of the longitudinal support member may be connected to the second proximal stent 28 and to the most distal stent.", "This configuration would allow the longitudinal support member to be affixed to stent 28 (see FIG.", "1) and the most distal stent for support while still allowing for the gimbaled feature of the proximal end of the stent graft to be maintained.", "A significant feature of the longitudinal support member 40 is that the ends of the longitudinal support member 40 may not extend all the way to the two ends 12, 14 of the graft sleeve 10.Instead, the longitudinal support member 40 terminates at or prior to the second-to-last stent 28 at the proximal end 12, and, if desired, prior to the second-to-last stent 28′ at the distal end 14 of the graft sleeve 10.Such an ending configuration (whether proximal only or both proximal and distal) is chosen for a particular reason—when the longitudinal support member 40 ends before either of the planes defined by cross-sectional lines 52, 52′, the graft sleeve 10 and the stents 20 connected thereto respectively form gimbaled portions 50, 50′.", "In other words, when a grasping force acting upon the gimbaled ends 50, 50′ moves or pivots the cross-sectional plane defining each end opening of the graft sleeve 10 about the longitudinal axis 11 starting from the planes defined by the cross-sectional lines 52, 52′, then the moving portions 50, 50′ can be oriented at any angle .gamma.", "about the center of the circular opening in all directions (360 degrees), as shown in FIG.", "8.The natural gimbal, thus, allows the ends 50, 50′ to be inclined in any radial direction away from the longitudinal axis 11.Among other things, the gimbaled ends 50, 50′ allow each end opening to dynamically align naturally to the curve of the vessel in which it is implanted.", "A significant advantage of the gimbaled ends 50, 50′ is that they limit propagation of the forces acting upon the separate parts.", "Specifically, a force that, previously, would act upon the entirety of the stent graft 1, in other words, both the end portions 50, 50′ and the middle portion of the stent graft 1 (i.e., between planes 52, 52′), now principally acts upon the portion in which the force occurs.", "For example, a force that acts only upon one of the end portions 50, 50′ substantially does not propagate into the middle portion of the stent graft 1 (i.e., between planes 52, 52′).", "More significantly, however, when a force acts upon the middle portion of the stent graft 1 (whether moving longitudinally, axially (dilation), or in a torqued manner), the ends 50, 50′, because they are gimbaled, remain relatively completely aligned with the natural contours of the vessel surrounding the respective end 50, 50′ and have virtually none of the force transferred thereto, which force could potentially cause the ends to grate, rub, or shift from their desired fixed position in the vessel.", "Accordingly, the stent graft ends 50, 50′ remain fixed in the implanted position and extend the seating life of the stent graft 1.Another advantage of the longitudinal support member 40 is that it increases the columnar strength of the graft stent 1.Specifically, the material of the graft sleeve can be compressed easily along the longitudinal axis 11, a property that remains true even with the presence of the stents 20 so long as the stents 20 are attached to the graft sleeve 10 with a spacing between the distal apices 24 of one stent 20 and the proximal apices 22 of the next adjacent stent 20.This is especially true for the amount of force imparted by the flow of blood along the extent of the longitudinal axis 11.However, with the longitudinal support member 40 attached according to the present invention, longitudinal strength of the stent graft 1 increases to overcome the longitudinal forces imparted by blood flow.", "Another benefit imparted by having such increased longitudinal strength is that the stent graft 1 is further prevented from migrating in the vessel because the tube graft is not compressing and expanding in an accordion-like manner—movement that would, inherently, cause graft migration.", "A further measure for preventing migration of the stent graft 1 is to equip at least one of any of the individual stents 20, 30 or the longitudinal support member 40 with protuberances 60, such as barbs or hooks (FIG.", "3).", "See, e.g., United States Patent Publication 2002/0052660 to Greenhaigh.", "In an exemplary embodiment of the present invention, the stents 20, 30 are secured to the outer circumferential surface 16 of the graft sleeve 10.Accordingly, if the stents 20 (or connected portions of stent 30) have protuberances 60 protruding outwardly, then such features would catch the interior wall of the vessel and add to the prevention of stent graft 1 migration.", "Such an embodiment can be preferred for aneurysms but is not preferred for the fragile characteristics of dissections because such protuberances 60 can excoriate the inner layer(s) of the vessel and cause leaks between layers, for example.", "As shown in FIG.", "9, the stent graft 1 is not limited to a single graft sleeve 10.Instead, the entire stent graft can be a first stent graft 100 having all of the features of the stent graft 1 described above and a second stent graft 200 that, instead of having a circular extreme proximal end 12, as set forth above, has a proximal end 212 with a shape following the contour of the most proximal stent 220 and is slightly larger in circumference than the distal circumference of the first stent graft 100.Therefore, an insertion of the proximal end 212 of the second stent graft 200 into the distal end 114 of the first stent graft 100 results, in total, in a two-part stent graft.", "Because blood flows from the proximal end 112 of the first stent graft 100 to the distal end 214 of the second stent graft 200, it is preferable to have the first stent graft 100 fit inside the second stent graft 200 to prevent blood from leaking out therebetween.", "This configuration can be achieved by implanting the devices in reverse order (first implant graft 200 and, then, implant graft 100.Each of the stent grafts 100, 200 can have its own longitudinal support member 40 as needed.", "It is not significant if the stent apices of the distal-most stent of the first stent graft 100 are not aligned with the stent apices of the proximal-most stent 220 of the second stent graft 200.What is important is the amount of junctional overlap between the two grafts 100, 200.Delivery System As set forth above, the prior art includes many different systems for endoluminally delivering a prosthesis, in particular, a stent graft, to a vessel.", "Many of the delivery systems have similar parts and most are guided along a guidewire that is inserted, typically, through an insertion into the femoral artery near a patient's groin prior to use of the delivery system.", "To prevent puncture of the arteries leading to and including the aorta, the delivery system is coaxially connected to the guidewire and tracks the course of the guidewire up to the aorta.", "The parts of the delivery system that will track over the wire are, therefore, sized to have an outside diameter smaller than the inside diameter of the femoral artery of the patient.", "The delivery system components that track over the guidewire include the stent graft and are made of a series of coaxial lumens referred to as catheters and sheaths.", "The stent graft is constrained, typically, by an outer catheter, requiring the stent graft to be compressed to fit inside the outer catheter.", "Doing so makes the portion of the delivery system that constrains the stent graft very stiff, which, therefore, reduces that portion's flexibility and makes it difficult for the delivery system to track over the guidewire, especially along curved vessels such as the aortic arch.", "In addition, because the stent graft exerts very high radial forces on the constraining catheter due to the amount that it must be compressed to fit inside the catheter, the process of deploying the stent graft by sliding the constraining catheter off of the stent graft requires a very high amount of force, typically referred to as a deployment force.", "Also, the catheter has to be strong enough to constrain the graft, requiring it to be made of a rigid material.", "If the rigid material is bent, such as when tracking into the aortic arch, the rigid material tends to kink, making it difficult if not impossible to deploy the stent graft.", "Common features of vascular prosthesis delivery systems include a tapered nose cone fixedly connected to a guidewire lumen, which has an inner diameter substantially corresponding to an outer diameter of the guidewire such that the guidewire lumen slides easily over and along the guidewire.", "A removable, hollow catheter covers and holds a compressed prosthesis in its hollow and the catheter is fixedly connected to the guidewire lumen.", "Thus, when the prosthesis is in a correct position for implantation, the physician withdraws the hollow catheter to gradually expose the self-expanding prosthesis from its proximal end towards its distal end.", "When the catheter has withdrawn a sufficient distance from each portion of the expanding framework of the prosthesis, the framework can expand to its native position, preferably, a position that has a diameter at least as great as the inner diameter of the vessel wall to, thereby, tightly affix the prosthesis in the vessel.", "When the catheter is entirely withdrawn from the prosthesis and, thereby, allows the prosthesis to expand to the diameter of the vessel, the prosthesis is fully expanded and connected endoluminally to the vessel along the entire extent of the prosthesis, e.g., to treat a dissection.", "When treating an aneurysm, for example, the prosthesis is in contact with the vessel's proximal and distal landing zones when completely released from the catheter.", "At such a point in the delivery, the delivery system can be withdrawn from the patient.", "The prosthesis, however, cannot be reloaded in the catheter if implantation is not optimal.", "The aorta usually has a relatively straight portion in the abdominal region and in a lower part of the thoracic region.", "However, in the upper part of the thoracic region, the aorta is curved substantially, traversing an upside-down U-shape from the back of the heart over to the front of the heart.", "As explained above, prior art delivery systems are relatively hard and inflexible (the guidewire/catheter portion of the prior art delivery systems).", "Therefore, if the guidewire/catheter must traverse the curved portion of the aorta, it will kink as it is curved or it will press against the top portion of the aortic curve, possibly puncturing the aorta if the diseased portion is located where the guidewire/catheter is exerting its force.", "Such a situation must be avoided at all costs because the likelihood of patient mortality is high.", "The prior art does not provide any way for substantially reducing the stress on the curved portion of the aorta or for making the guidewire/catheter sufficiently flexible to traverse the curved portion without causing damage to the vessel.", "The present invention, however, provides significant features not found in the prior art that assist in placing a stent graft in a curved portion of the aorta in a way that substantially reduces the stress on the curved portion of the aorta and substantially reduces the insertion forces needed to have the compressed graft traverse the curved portion of the aorta.", "As set forth above, the longitudinal support member 40 is pre-formed in a desired spiral/helical shape before being attached to the graft sleeve 10 and, in an exemplary embodiment, is curved in a patient-customized way to accommodate the anticipated curve of the actual vessel in which the graft will be implanted.", "As such, optimal positioning of the stent graft 1 occurs when the longitudinal support member 40 is placed substantially at the superior longitudinal surface line of the curved aorta (with respect to anatomical position).", "Such placement can be effected in two ways.", "First, the stent graft 1, the support member 40, or any portion of the delivery system that is near the target site can be provided with radiopaque markers that are monitored by the physician and used to manually align the support member 40 in what is perceived as an optimal position.", "The success of this alignment technique, however, is dependent upon the skill of the physician.", "Second, the delivery system can be made to automatically align the support member 40 at the optimal position.", "No such system existed in the prior art.", "However, the delivery system of the present invention provides such an alignment device, thereby, eliminating the need for physician guesswork as to the three-dimensional rotational position of the implanted stent graft 1.This alignment device is explained in further detail below with respect to FIGS.", "64 to 67.The delivery system of the present invention also has a very simple to use handle assembly.", "The handle assembly takes advantage of the fact that the inside diameter of the aorta is substantially larger that the inside diameter of the femoral arteries.", "The present invention, accordingly, uses a two-stage approach in which, after the device is inserted in through the femoral artery and tracks up into the abdominal area of the aorta (having a larger diameter (see FIG.", "19) than the femoral artery), a second stage is deployed (see FIG.", "20) allowing a small amount of expansion of the stent graft while still constrained in a sheath; but this sheath, made of fabric/woven polymer or similar flexible material, is very flexible.", "Such a configuration gives the delivery system greater flexibility for tracking, reduces deployment forces because of the larger sheath diameter, and easily overcome kinks because the sheath is made of fabric.", "To describe the delivery system of the present invention, the method for operating the delivery assembly 600 will be described first in association with FIGS.", "10, 11, and 12.Thereafter, the individual components will be described to allow a better understanding of how each step in the process is effected for delivering the stent graft 1 to any portion of the aorta 700 (see FIGS.", "19 to 24), in particular, the curved portion 710 of the aorta.", "Initially, the distal end 14 of the stent graft 1 is compressed and placed into a hollow, cup-shaped, or tubular-shaped graft holding device, in particular, the distal sleeve 644 (see, e.g., FIG.", "25).", "At this point, it is noted that the convention for indicating direction with respect to delivery systems is opposite that of the convention for indicating direction with respect to stent grafts.", "Therefore, the proximal direction of the delivery system is that portion closest to the user/physician employing the system and the distal direction corresponds to the portion farthest away from the user/physician, i.e., towards the distal-most nose cone 632.The distal sleeve 644 is fixedly connected to the distal end of the graft push lumen 642, which lumen 642 provides an end face for the distal end 14 of the stent graft 1.Alternatively, the distal sleeve 644 can be removed entirely.", "In such a configuration, as shown in FIG.", "12, for example, the proximal taper of the inner sheath 652 can provide the measures for longitudinally holding the compressed distal end of the stent graft 1.If the sleeve 644 is removed, it is important to prevent the distal end 14 of the stent graft 1 from entering the space between the interior surface of the hollow sheath lumen 654 and the exterior surface of the graft push lumen 642 slidably disposed in the sheath lumen 654.Selecting a radial thickness of the space to be less than the diameter of the wire making up the stent 20, 30 (in particular, no greater than half a diameter thereof) insures reliable movement of the distal end 14 of the stent graft 1.In another alternative configuration shown in FIG.", "68, the distal sleeve 644 can be a disk-shaped buttress 644 present at the distal end of the graft push lumen 642.An example configuration can provide the buttress 644 with a hollow proximal insertion peg 6442, a hollow distal stiffening tube 6444, and an intermediate buttress wall 6446.The buttress 644 is concentric to the center axis of the delivery system 600 and allows the co-axial guidewire lumen 620 and apex release lumen 640 to pass therethrough.", "The peg 6442 allows for easy connection to the graft push lumen 643.The stiffening tube 64 creates a transition in stiffness from the graft push lumen 642 to the apex release lumen 640 and guidewire lumen 620 and provides support to the lumen 620, 640 located therein.", "Such a transition in stiffness reduces any possibility of kinking at the distal end of the graft push lumen 642 and aids in transferring force from the graft push lumen 642 to the lumen therein 620, 640 when all are in a curved orientation.", "The buttress wall 6446 provides a flat surface that will contact the distal-end-facing side of the stent graft 1 and can be used to push the stent graft distally when the graft push lumen 642 is moved distally.", "The alternative configuration of the buttress 644 insures that the stent graft 1 does not become impinged within the graft push lumen 642 and the lumen therein 620, 640 when these components are moved relative to each other.", "As set forth in more detail below, each apex 32 of the bare stent 30 is, then, loaded into the apex capture device 634 so that the stent graft 1 is held at both its proximal and distal ends.", "The loaded distal end 14, along with the distal sleeve 644 and the graft push lumen 642, are, in turn, loaded into the inner sheath 652, thus, further compressing the entirety of the stent graft 1.The captured bare stent 30, along with the nose cone assembly 630 (including the apex capture device 634), is loaded until the proximal end of the nose cone 632 rests on the distal end of the inner sheath 652.The entire nose cone assembly 630 and sheath assembly 650 is, then, loaded proximally into the rigid outer catheter 660, further compressing the stent graft 1 (resting inside the inner sheath 652) to its fully compressed position for later insertion into a patient.", "See FIG.", "63.The stent graft 1 is, therefore, held both at its proximal and distal ends and, thereby, is both pushed and pulled when moving from a first position (shown in FIG.", "19 and described below) to a second position (shown in FIG.", "21 and described below).", "Specifically, pushing is accomplished by the non-illustrated interior end face of the hollow distal sleeve 644 (or the taper 653 of the inner sheath 652) and pulling is accomplished by the hold that the apex capture device 634 has on the apices 32 of the bare stent 30.The assembly 600 according to the present invention tracks along a guidewire 610 already inserted in the patient and extending through the aorta and up to, but not into, the left ventricle of the heart 720.Therefore, a guidewire 610 is inserted through the guidewire lumen 620 starting from the nose cone assembly 630, through the sheath assembly 650, through the handle assembly 670, and through the apex release assembly 690.The guidewire 610 extends out the proximal-most end of the assembly 600.The guidewire lumen 620 is coaxial with the nose cone assembly 630, the sheath assembly 650, the handle assembly 670, and the apex release assembly 690 and is the innermost lumen of the assembly 600 immediately surrounding the guidewire 610.Before using the delivery system assembly 600, all air must be purged from inside the assembly 600.Therefore, a liquid, such as sterile U.S.P.", "saline, is injected through a non-illustrated tapered luer fitting to flush the guidewire lumen at a non-illustrated purge port located near a proximal end of the guidewire lumen.", "Second, saline is also injected through the luer fitting 612 of the lateral purge-port (see FIG.", "11), which liquid fills the entire internal co-axial space of the delivery system assembly 600.It may be necessary to manipulate the system to facilitate movement of the air to be purged to the highest point of the system.", "After purging all air, the system can be threaded onto the guidewire and inserted into the patient.", "Because the outer catheter 660 has a predetermined length, the fixed front handle 672 can be disposed relatively close to the entry port of the femoral artery.", "It is noted, however, that the length of the outer catheter 660 is sized such that it will not have the fixed proximal handle 672 directly contact the entry port of the femoral artery in a patient who has the longest distance between the entry port and the thoracic/abdominal junction 742, 732 of the aorta expected in a patient (this distance is predetermined).", "Thus, the delivery assembly 600 of the present invention can be used with typical anatomy of the patient.", "Of course, the assembly 600 can be sized to any usable length.", "The nose cone assembly 630 is inserted into a patient's femoral artery and follows the guidewire 610 until the nose cone 632 reaches the first position at least to a level of the celiac axis and possibly further but not into the intended stent graft deployment site, which would prevent deployment of at least the downstream end of the stent graft.", "The first position is shown in FIG.", "19.The nose cone assembly 630 is radiopaque, whether wholly or partially, to enable the physician to determine fluoroscopically, for example, that the nose cone assembly 630 is in the first position.", "For example, the nose cone 632 can have a radiopaque marker 631 anywhere thereon or the nose cone 632 can be entirely radiopaque.", "FIGS.", "19 to 24 illustrate the catheter 660 extending approximately up to the renal arteries.", "However, the catheter 660 of the present invention is configured to travel up to at least the celiac axis (not shown in FIGS.", "19 to 24).", "As used herein, the celiac axis is to be defined according to common medical terms.", "In a simplistic definition, the celiac axis is a plane that intersects and is parallel to a central axis of a patient's celiac at the intersection of the celiac and the aorta and, therefore, this plane is approximately orthogonal to the longitudinal axis of the abdominal/thoracic aorta at the point where the celiac intersects the aorta.", "Therefore, with respect to extension of the catheter 660 into the aorta, it is extended into the aorta up to but not past the intended downstream end of the implant.", "After arriving at this distal-most position, the distal end of the catheter 660 remains substantially steady along the longitudinal axis of the aorta until after the stent graft 1 is implanted (see FIG.", "24) and the entire delivery system is to be removed from the patient.", "While the delivery system of the present invention can be retracted in the orientation shown in FIG.", "24 except for one difference (the bare stent 30 is open and the apex release device 634 is released from compressing the bare stent 30), the preferred embodiment for removal of the catheter 660 from the aorta after implantation of the stent graft 1 occurs with reference to the condition shown in FIG.", "19—where all of the interior lumens 620, 640, 642, 654 are retracted inside the catheter 660 and the nose cone 632 is in contact with the distal end of the catheter 660.After the nose cone assembly 630 is in the first position shown in FIG.", "19, the locking knob or ring 676 is placed from its neutral position into its advancement position.", "As will be described below, placing the locking knob 676 into its advancement position A allows both the nose cone assembly 630 and the internal sheath assembly 650 to move as one when the proximal handle 678 is moved in either the proximal or distal directions because the locking knob 676 radially locks the graft push lumen 642 to the lumens of the apex release assembly 690 (including the guidewire lumen 620 and an apex release lumen 640).", "The locking knob 676 is fixedly connected to a sheath lumen 654.Before describing how various embodiments of the handle assembly 670 function, a summary of the multi-lumen connectivity relationships, throughout the neutral, advancement, and deployment positions, is described.", "When the locking ring is in the neutral position, the pusher clasp spring 298 shown in FIG.", "48 and the distal clasp body spring 606 shown in FIG.", "52 are both disengaged.", "This allows free movement of the graft push lumen 642 with the guidewire lumen 620 and the apex release lumen 640 within the handle body 674.When the locking knob 676 is moved into the advancement position, the pusher clasp spring 298 shown in FIG.", "48 is engaged and the distal clasp body spring 606 shown in FIG.", "52 is disengaged.", "The sheath lumen 654 (fixedly attached to the inner sheath 652) is, thereby, locked to the graft push lumen 642 (fixedly attached to the distal sleeve 644) so that, when the proximal handle 678 is moved toward the distal handle 672, both the sheath lumen 654 and the graft push lumen 642 move as one.", "At this point, the graft push lumen 642 is also locked to both the guidewire lumen 620 and the apex release lumen 640 (which are locked to one another through the apex release assembly 690 as set forth in more detail below).", "Accordingly, as the proximal handle 678 is moved to the second position, shown with dashed lines in FIG.", "11, the sheath assembly 650 and the nose cone assembly 630 progress distally out of the outer catheter 660 as shown in FIGS.", "20 and 21 and with dashed lines in FIG.", "11.At this point, the sheath lumen 654 needs to be withdrawn from the stent graft 1 to, thereby, expose the stent graft 1 from its proximal end 12 to its distal end 14 and, ultimately, entirely off of its distal end 14.Therefore, movement of the locking knob 676 into the deployment position D will engage the distal clasp body spring 606 shown in FIG.", "52 and disengage the pusher clasp spring 298 shown in FIG.", "48.Accordingly, the graft push lumen 642 along with the guidewire lumen 620 and the apex release lumen 640 are locked to the handle body 674 so as not to move with respect to the handle body 674.The sheath lumen 654 is unlocked from the graft push lumen 642.Movement of the distal handle 678 back to the third position (proximally), therefore, pulls the sheath lumen 654 proximally, thus, proximally withdrawing the inner sheath 652 from the stent graft 1.At this point, the delivery assembly 600 only holds the bare stent 30 of the stent graft 1.Therefore, final release of the stent graft 1 occurs by releasing the bare stent 30 from the nose cone assembly 630, which is accomplished using the apex release assembly 690 as set forth below.", "In order to explain how the locking and releasing of the lumen occur as set forth above, reference is made to FIGS.", "33 to 62.FIG.", "33 is a cross-sectional view of the proximal handle 678 and the locking knob 676.A pusher clasp rotator 292 is disposed between a clasp sleeve 614 and the graft push lumen 642.A specific embodiment of the pusher clasp rotator 292 is illustrated in FIGS.", "34 through 39.Also disposed between the clasp rotator 292 and the graft push lumen 642 is a rotator body 294, which is directly adjacent the graft push lumen 642.A specific embodiment of the rotator body 294 is illustrated in FIGS.", "40 through 43.Disposed between the rotator body 294 and the sheath lumen 654 is a pusher clasp body 296, which is fixedly connected to the rotator body 294 and to the locking knob 676.A specific embodiment of the pusher clasp body 296 is illustrated in FIGS.", "44 through 46.A pusher clasp spring 298 operatively connects the pusher clasp rotator 292 to the rotator body 294 (and, thereby, the pusher clasp body 296).", "An exploded view of these components is presented in FIG.", "48, where an O-ring 293 is disposed between the rotator body 294 and the pusher clasp body 296.As shown in the plan view of FIG.", "47, a crimp ring 295 connects the sheath lumen 654 to the distal projection 297 of the pusher clasp body 296.A hollow handle body 674 (see FIGS.", "10, 11, and 33), on which the proximal handle 678 and the locking knob 676 are slidably mounted, holds the pusher clasp rotator 292, the rotator body 294, the pusher clasp body 296, and the pusher clasp spring 298 therein.", "This entire assembly is rotationally mounted to the distal handle 672 for rotating the stent graft 1 into position (see FIGS.", "23 and 24 and the explanations thereof below).", "A specific embodiment of the handle body 674 is illustrated in FIG.", "49.A setscrew 679 extends from the proximal handle 678 to contact a longitudinally helixed groove in the pusher clasp rotator 292 (shown in FIGS.", "36 and 38).", "Thus, when moving the proximal handle 678 proximally or distally, the pusher clasp rotator 292 rotates clockwise or counter-clockwise.", "An alternative embodiment of the locking knob 676 is shown in FIG.", "50 et seq.", "in which, instead of applying a longitudinal movement to rotate the pusher clasp spring 298 through the cam/follower feature of the proximal handle 678 and pusher clasp rotator 292, a rotating locking knob 582 is located at the proximal end of the handle body 674.The knob 582 has three positions that are clearly shown in FIG.", "51: a neutral position N, an advancement position A, and a deployment position D. The functions of these positions N, A, D correspond to the positions N, A, D of the locking knob 676 and the proximal handle 678 as set forth above.", "In the alternative embodiment, a setscrew or pin 584 is threaded into the clasp sleeve 614 through a slot 675 in the handle body 674 and through a slot 583 in the knob 582 to engage the locking knob 582.The depth of the pin 584 in the clasp sleeve 614 is small because of the relatively small thickness of the clasp sleeve 614.To provide additional support to the pin 584 and prevent it from coming out of the clasp sleeve 614, an outer ring 6144 is disposed on the exterior surface of the proximal end of the clasp sleeve 614.Because of the x-axis orientation of the slot 583 in the knob 582 and the y-axis orientation of the slot 675 in the handle body 674, when the knob 582 is slid over the end of the handle body 674 and the setscrew 584 is screwed into the clasp sleeve 614, the knob 582 is connected fixedly to the handle body 674.When the locking knob 582 is, thereafter, rotated between the neutral N, advancement A, and deployment D positions, the clasp sleeve 614 rotates to actuate the spring lock (see FIGS.", "48 and 52).", "A setscrew 586, shown in FIG.", "53, engages a groove 605 in the proximal clasp assembly 604 to connect the proximal clasp assembly 604 to the clasp sleeve 614 but allows the clasp sleeve 614 to rotate around the clasp body 602.The clasp sleeve 614 is shown in FIGS.", "50 and 53 and, in particular, in FIGS.", "59 to 62.The proximal clasp assembly 604 of FIG.", "53 is more clearly shown in the exploded view of FIG.", "52.The proximal clasp assembly 604 is made of the components including a distal clasp body spring 606, a locking washer 608, a fastener 603 (in particular, a screw fitting into internal threads of the proximal clasp body 602), and a proximal clasp body 602.The proximal clasp body 602 is shown, in particular, in FIGS.", "54 through 58.The proximal clasp assembly 604 is connected fixedly to the handle body 674, preferably, with a screw 585 shown in FIG.", "50 and hidden from view in FIG.", "51 under knob 582.The handle body 674 has a position pin 592 for engaging in position openings at the distal end of the locking knob 582.The position pin 592 can be a setscrew that only engages the handle body 674.When the locking knob 582 is pulled slightly proximally, therefore, the knob can be rotated clockwise or counter-clockwise to place the pin 592 into the position openings corresponding to the advancement A, neutral N, and deployment D positions.", "As shown in FIG.", "18, to begin deployment of the stent graft 1, the user/physician grasps both the distal handle 672 and the proximal handle 678 and slides the proximal handle 678 towards the distal handle 672 in the direction indicated by arrow A.", "This movement, as shown in FIGS.", "19 to 21, causes the flexible inner sheath 652, holding the compressed stent graft 1 therein, to emerge progressively from inside the outer catheter 660.Such a process allows the stent graft 1, while constrained by the inner sheath 652, to expand to a larger diameter shown in FIG.", "12, this diameter being substantially larger than the inner diameter of the outer catheter 660 but smaller than the inner diameter of the vessel in which it is to be inserted.", "Preferably, the outer catheter 660 is made of a polymer (co-extrusions or teflons) and the inner sheath 652 is made of a material, such as a fabric/woven polymer or other similar material.", "Therefore, the inner sheath 652 is substantially more flexible than the outer catheter 660.It is noted, at this point that the inner sheath 652 contains a taper 653 at its proximal end, distal to the sheath's 652 connection to the sheath lumen 654 (at which connection the inner sheath 652 has a similar diameter to the distal sleeve 644 and works in conjunction with the distal sleeve 644 to capture the distal end 14 of the stent graft 1.The taper 653 provides a transition that substantially prevents any kinking of the outer catheter 660 when the stent graft 1 is loaded into the delivery assembly 600 (as in the position illustrated in FIGS.", "10 and 11) and, also, when the outer catheter 660 is navigating through the femoral and iliac vessels.", "One specific embodiment of the sheath lumen 654 has a length between approximately 30 and approximately 40 inches, in particular, 36 inches, an outer diameter of between approximately 0.20 and approximately 0.25 inches, in particular 0.238 inches, and an inner diameter between approximately 0.18 and approximately 0.22 inches, in particular, 0.206 inches.", "When the proximal handle 678 is moved towards its distal position, shown by the dashed lines in FIG.", "11, the nose cone assembly 630 and the sheath assembly 650 move towards a second position where the sheath assembly 650 is entirely out of the outer catheter 660 as shown in FIGS.", "20 and 21.As can be seen most particularly in FIGS.", "20 and 21, as the nose cone assembly 630 and the sheath assembly 650 are emerging out of the outer catheter 660, they are traversing the curved portion 710 of the descending aorta.", "The tracking is accomplished visually by viewing radiopaque markers on various portions of the delivery system and/or the stent graft 1 with fluoroscopic measures.", "Such markers will be described in further detail below.", "The delivery system can be made visible, for example, by the nose cone 632 being radiopaque or containing radiopaque materials.", "It is noted that if the harder outer catheter 660 was to have been moved through the curved portion 710 of the aorta 700, there is a great risk of puncturing the aorta 700, and, particularly, a diseased portion 744 of the proximal descending aorta 710 because the outer catheter 660 is not as flexible as the inner sheath 652.But, because the inner sheath 652 is so flexible, the nose cone assembly 630 and the sheath assembly 650 can be extended easily into the curved portion 710 of the aorta 700 with much less force on the handle than previously needed with prior art systems while, at the same time, imparting harmless forces to the intraluminal surface of the curved aorta 710 due to the flexibility of the inner sheath 652.At the second position shown in FIG.", "21, the user/physician, using fluoroscopic tracking of radiopaque markers (e.g., marker 631) on any portion of the nose cone or on the stent graft 1 and/or sheath assemblies 630, 650, for example, makes sure that the proximal end 112 of the stent graft 1 is in the correct longitudinal position proximal to the diseased portion 744 of the aorta 700.Because the entire inserted assembly 630, 650 in the aorta 700 is still rotationally connected to the portion of the handle assembly 670 except for the distal handle 672 (distal handle 672 is connected with the outer sheath 660 and rotates independently of the remainder of the handle assembly 670), the physician can rotate the entire inserted assembly 630, 650 clockwise or counterclockwise (indicated in FIG.", "20 by arrow B) merely by rotating the proximal handle 678 in the desired direction.", "Such a feature is extremely advantageous because the non-rotation of the outer catheter 660 while the inner sheath 652 is rotating eliminates stress on the femoral and iliac arteries when the rotation of the inner sheath 652 is needed and performed.", "Accordingly, the stent graft 1 can be pre-aligned by the physician to place the stent graft 1 in the optimal circumferential position.", "FIG.", "23 illustrates the longitudinal support member 40 not in the correct superior position and FIG.", "24 illustrates the longitudinal support member 40 in the correct superior position.", "The optimal superior surface position is, preferably, near the longest superior longitudinal line along the circumference of the curved portion of the aorta as shown in FIGS.", "23 and 24.As set forth above, when the longitudinal support member 40 extends along the superior longitudinal line of the curved aorta, the longitudinal support member 40 substantially eliminates any possibility of forming a kink in the inferior radial curve of the stent graft 1 during use and also allows transmission of longitudinal forces exerted along the inside lumen of the stent graft 1 to the entire longitudinal extent of the stent graft 1, thereby allowing the entire outer surface of the stent graft 1 to resist longitudinal migration.", "Because of the predefined curvature of the support member 40, the support member 40 cannot align exactly and entirely along the superior longitudinal line of the curved aorta.", "Accordingly, an optimal superior surface position of the support member 40 places as much of the central portion of the support member 40 (between the two ends 47 thereof) as possible close to the superior longitudinal line of the curved aorta.", "A particularly desirable implantation position has the superior longitudinal line of the curved aorta intersecting the proximal half of the support member 40—the proximal half being defined as that portion of the support member 40 located between the centerline 45 and the proximal support member loop 47.However, for adequate implantation purposes, the centerline 45 of the support member 40 can be as much as seventy circumferential degrees away from either side of the superior longitudinal line of the curved aorta.", "Adequate implantation can mean that the stent graft 1 is at least approximately aligned.", "When implantation occurs with the stent graft 1 being less than seventy degrees, for example, less than forty degrees, away from either side of the superior longitudinal line of the curved aorta, then it is substantially aligned.", "In prior art stent grafts and stent graft delivery systems, the stent graft is, typically, provided with symmetrically-shaped radiopaque markers along one longitudinal line and at least one other symmetrically-shaped radiopaque marker disposed along another longitudinal line on the opposite side (one-hundred eighty degrees (180.degree.))", "of the stent graft.", "Thus, using two-dimensional fluoroscopic techniques, the only way to determine if the stent graft is in the correct rotational position is by having the user/physician rotate the stent graft in both directions until it is determined that the first longitudinal line is superior and the other longitudinal line is anterior.", "Such a procedure requires more work by the physician and is, therefore, undesirable.", "According to an exemplary embodiment of the invention illustrated in FIGS.", "27 and 28, unique radiopaque markers 232, 234 are positioned on the stent graft 1 to assist the user/physician in correctly positioning the longitudinal support member 40 in the correct aortic superior surface position with only one directional rotation, which corresponds to the minimal rotation needed to place the stent graft 1 in the rotationally correct position.", "Specifically, the stent graft 1 is provided with a pair of symmetrically shaped but diametrically opposed markers 232, 234 indicating to the user/physician which direction the stent graft 1 needs to be rotated to align the longitudinal support member 40 to the superior longitudinal line of the curved aorta (with respect to anatomical position).", "Preferably, the markers 232, 234 are placed at the proximal end 12 of the graft sleeve 10 on opposite sides (one-hundred eighty degrees (180.degree.))", "of the graft sleeve 10.The angular position of the markers 232, 234 on the graft sleeve 10 is determined by the position of the longitudinal support member 40.In an exemplary embodiment, the support member 40 is between the two markers 232, 234.To explain such a position, if the marker 232 is at a 0 degree position on the graft sleeve 10 and the marker 234 is at a one-hundred eighty degree (180.degree.)", "position, then the centerline 45 of the support member 40 is at a ninety degree position.", "However, an alternative position of the markers can place the marker 234 ninety degrees away from the first degree 41 (see FIG.", "1).", "Such a positioning is dependent somewhat upon the way in which the implantation is to be viewed by the user/physician and can be varied based on other factors.", "Thus, the position can be rotated in any beneficial way.", "Exemplary ancillary equipment in endovascular placement of the stent graft 1 is a fluoroscope with a high-resolution image intensifier mounted on a freely angled C-arm.", "The C-arm can be portable, ceiling, or pedestal mounted.", "It is important that the C-arm have a complete range of motion to achieve AP to lateral projections without moving the patient or contaminating the sterile field.", "Capabilities of the C-arm should include: Digital Subtraction Angiography, High-resolution Angiography, and Roadmapping.", "For introduction of the delivery system into the groin access arteries, the patient is, first, placed in a sterile field in a supine position.", "To determine the exact target area for placement of the stent graft 1, the C-arm is rotated to project the patient image into a left anterior oblique projection, which opens the radial curve of the thoracic aortic arch for optimal visualization without superimposition of structures.", "The degree of patient rotation will vary, but is usually 40 to 50 degrees.", "At this point, the C-arm is placed over the patient with the central ray of the fluoroscopic beam exactly perpendicular to the target area.", "Such placement allows for the markers 232, 234 to be positioned for correct placement of the stent graft 1.Failure to have the central ray of the fluoroscopic beam perpendicular to the target area can result in parallax, leading to visual distortion to the patient anatomy due to the divergence of the fluoroscopic x-ray beam, with a resultant misplacement of the stent graft 1.An angiogram is performed and the proposed stent graft landing zones are marked on the visual monitor.", "Once marked, neither the patient, the patient table, nor the fluoroscopic C-arm can be moved, otherwise, the reference markers become invalid.", "The stent graft 1 is, then, placed at the marked landing zones.", "In an exemplary embodiment, the markers 232, 234 are hemispherical, in other words, they have the approximate shape of a “D”.", "This shape is chosen because it provides special, easy-to-read indicators that instantly direct the user/physician to the correct placement position for the longitudinal support member 40.FIG.", "27, for example, illustrates a plan view of the markers 232, 234 when they are placed in the upper-most superior longitudinal line of the curved aorta.", "The correct position is indicated clearly because the two hemispheres have the flat diameters aligned on top of or immediately adjacent to one another such that a substantially complete circle is formed by the two hemispherically rounded portions of the markers 232, 234.This position is also indicated in the perspective view of FIG.", "28.Each of FIGS.", "27 and 28 have been provided with examples where the markers 232, 234 are not aligned and, therefore, the stent graft 1 is not in the correct insertion position.", "For example, in FIG.", "27, two markers 232′, 234′ indicate a misaligned counter-clockwise-rotated stent graft 1 when viewed from the plane 236 at the right end of the stent graft 1 of FIG.", "23 looking toward the left end thereof and down the longitudinal axis 11.Thus, to align the markers 232′, 234′ in the most efficient way possible (the shortest rotation), the user/physician sees that the distance between the two flat diameters is closer than the distance between the highest points of the hemispherical curves.", "Therefore, it is known that the two flat diameters must be joined together by rotating the stent graft 1 clockwise.", "FIG.", "28 has also been provided with two markers 232″, 234″ indicating a misaligned clockwise-rotated stent graft 1 when viewed from the plane 236 at the right end of the stent graft 1 of FIG.", "27 looking toward the left end thereof and down the longitudinal axis 11.Thus, to align the markers 232″, 234″ in the most efficient way possible (the shortest rotation), the user/physician sees that the distance between the highest points of the hemispherical curves is smaller than the distance between the two flat diameters.", "Therefore, it is known that the two flat diameters must be joined together by rotating the stent graft 1 in the direction that the highest points of the hemispherical curves point; in other words, the stent graft 1 must be rotated counter-clockwise.", "A significant advantage provided by the diametrically opposed symmetric markers 232, 234 is that they can be used for migration diagnosis throughout the remaining life of a patient after the stent graft 1 has been placed inside the patient's body.", "If fluoroscopic or radiographic techniques are used any time after the stent graft 1 is inserted in the patient's body, and if the stent graft 1 is viewed from the same angle as it was viewed when placed therein, then the markers' 232, 234 relative positions observed should give the examining individual a very clear and instantaneous determination as to whether or not the stent graft 1 has migrated in a rotational manner.", "The hemispherical shape of the markers 232, 234 are only provided as an example shape.", "The markers 232, 234 can be any shape that allows a user/physician to distinguish alignment and direction of rotation for alignment.", "For example, the markers 232, 234 can be triangular, in particular, an isosceles triangle having the single side be visibly longer or shorter than the two equal sides.", "As set forth above, alignment to the optimal implantation position is dependent upon the skill of the physician(s) performing the implantation.", "The present invention improves upon the embodiments having longitudinal and rotational radiopaque markers 232, 234 and substantially eliminates the need for rotational markers.", "Specifically, it is noted that the guidewire 610 travels through a curve through the aortic arch towards the heart 720.It is, therefore, desirable to pre-shape the delivery system to match the aorta of the patient.", "The guidewire lumen 620 is formed from a metal, preferably, stainless steel.", "Thus, the guidewire lumen 620 can be deformed plastically into any given shape.", "In contrast, the apex release lumen 640 is formed from a polymer, which tends to retain its original shape and cannot plastically deform without an external force, e.g., the use of heat.", "Therefore, to effect the pre-shaping of the delivery assembly 600, the guidewire lumen 620, as shown in FIG.", "64, is pre-shaped with a curve 622 at a distal-most area of the lumen 620.The pre-shape can be determined, for example, using the fluoroscopic pre-operative techniques described above, in which the guidewire lumen 620 can be customized to the individual patient's aortic shape.", "Alternatively, the guidewire lumen 620 can be pre-shaped in a standard manner that is intended to fit an average patient.", "Another alternative is to provide a kit that can be used to pre-shape the guidewire lumen 620 in a way that is somewhat tailored to the patient, for example, by providing a set of delivery systems 600 or a set of different guidewire lumens 620 that have different radii of curvature.", "With the pre-curved guidewire lumen 620, when the nose cone 632 and inner sheath 652 exit the outer catheter 660 and begin to travel along the curved guidewire 610, the natural tendency of the pre-curved guidewire lumen 620 will be to move in a way that will best align the two curves to one another (see FIGS.", "20 and 21).", "The primary factor preventing the guidewire lumen 620 from rotating itself to cause such an alignment is the torque generated by rotating the guidewire lumen 620 around the guidewire 610.The friction between the aorta and the device also resists rotational motion.", "The delivery system 600, however, is configured naturally to minimize such torque.", "As set forth above with respect to FIGS.", "15 to 17, the guidewire lumen 620 freely rotates within the apex release lumen 640 and is only connected to the apex release lumen 640 at the proximal-most area of both lumen 620, 640.While the inner sheath 652 advances through the aortic arch, the two lumen 620, 640 are rotationally connected only at the apex release assembly 690.This means that rotation of the guidewire lumen 620 about the guidewire 610 and within the apex release lumen 640 occurs along the entire length of the guidewire lumen 620.Because the metallic guidewire lumen 620 is relatively rotationally elastic along its length, rotation of the distal-most portion (near the nose cone assembly 630) with respect to the proximal-most portion (near the apex release assembly 690) requires very little force.", "In other words, the torque resisting rotation of the distal-most portion to conform to the curve of the guidewire 610 is negligible.", "Specifically, the torque is so low that the force resisting the alignment of the guidewire lumen 620 to the guidewire 610 causes little, negligible, or no damage to the inside of the aorta, especially to a dissecting inner wall of a diseased aorta.", "Due to the configuration of the delivery system 600 of the present invention, when the guidewire lumen 620 is extended from the outer catheter 660 (along with the apex release lumen 640, the stent graft 1, the inner sheath 652 as shown in FIGS.", "20 and 21, for example), the pre-shape of the guidewire lumen 620 causes automatic and natural rotation of the entire distal assembly—including the stent graft 1—along its longitudinal axis.", "This means that the length and connectivity of the guidewire lumen 620, and the material from of which the guidewire lumen 620 is made, allow the entire distal assembly (1, 620, 630, 640, 650) to naturally rotate and align the pre-curved guidewire lumen 620 with the curve of the guidewire 610—this is true even if the guidewire lumen 620 is inserted into the aorta entirely opposite the curve of the aorta (one-hundred eighty degrees (180.degree.)).", "In all circumstances, the curved guidewire lumen 620 will cause rotation of the stent graft 1 into an optimal implantation position, that is, aligning the desired portion of the support member 40 within .+−.70 degrees of the superior longitudinal line of the curved aorta.", "Further, the torque forces acting against rotation of the guidewire lumen 620 will not be too high to cause damage to the aorta while carrying out the rotation.", "The self-aligning feature of the invention begins with a strategic loading of the stent graft 1 in the inner sleeve 652.To describe the placement of the supporting member 40 of the stent graft 1 relative to the curve 622 of the guidewire lumen 620, an X-Y coordinate curve plane is defined and shown in FIG.", "64.In particular, the guidewire lumen 620 is curved and that curve 622 defines the curve plane 624.To insure optimal implantation, when loading the stent graft 1 into the inner sheath 652, a desired point on the supporting member 40 between the centerline 45 of the stent graft 1 and the proximal support member loop 47 is aligned to intersect the curve plane 624.An exemplary, but not required, location of the desired point on the supporting member 40 is located forty-five (45) degrees around the circumference of the stent graft 1 shown in FIG.", "1 beginning from the first degree 41 in line with the proximal support member loop 47.When the stent graft 1 is loaded in an exemplary orientation, it is ready for insertion into the inner sleeve 652.During the loading process, the stent graft 1 and the guidewire lumen 620 are held constant rotationally.", "After such loading, the inner sleeve 652 is retracted into the outer catheter 660 and the delivery system 600 is ready for purging with saline and use with a patient.", "FIGS.", "65 to 67 illustrate self-alignment of the distal assembly 620, 630, 640, 650 after it is pushed out from the distal end of the outer catheter 660 (see FIGS.", "20 and 21).", "FIG.", "65 shows an aorta 700 and the distal assembly after it has traversed the iliac arteries 802 and enters the descending thoracic portion 804 of the aorta.", "The nose cone assembly 630 is positioned just before the aortic arch 806 and the stent graft 1 is contained within the inner sheath 652.A reference line 820 is placed on the stent graft 1 at a longitudinal line of the stent graft 1 that is intended to align with the superior longitudinal line 808 (indicated with dashes) of the aortic arch 806.In FIG.", "65, the reference line 820 also lies on the curved plane 624 defined by the pre-curved guidewire lumen 620.As can be clearly seen from FIG.", "65, the reference line 820 is positioned almost on or on the inferior longitudinal line of the curved aorta—thus, the stent graft 1 is one-hundred eighty degrees (180.degree.)", "out of alignment.", "FIG.", "66 shows the nose cone assembly 630 fully in the aortic arch 806 and the inner sleeve 652 at the entrance of the aortic arch 806.With the self-aligning configuration of the pre-curved guidewire lumen 620, movement of the distal assembly from the position shown in FIG.", "65 to the position shown in FIG.", "66 causes a rotation of the reference line 820 almost ninety degrees (90.degree.)", "clockwise (with respect to a view looking upward within the descending aorta) towards the superior longitudinal line 808.In FIG.", "67, the nose cone assembly 630 has reached, approximately, the left subclavian artery 810.Rotational movement of the distal assembly is, now, complete, with the reference line 820 almost aligned with the superior longitudinal line 808 of the aortic arch 806.From the views of FIGS.", "65 to 67, also shown is the fact that the pre-curved guidewire lumen 620 has not caused any portion of the inner sleeve 652 to push against the inner surface of the aortic arch 806 with force—force that might exacerbate an aortic dissection.", "It is noted that the guidewire lumen 620 need not be rotationally fixedly connected to the apex release lumen 640 when the apex release assembly 690 is in the locked position shown in FIGS.", "15 and 16.Instead, a non-illustrated, freely rotatable coupling can be interposed anywhere along the guidewire lumen 620 (but, preferably, closer to the apex release assembly 690).", "This coupling would have a proximal portion rotationally fixedly connected to the to the apex release lumen 640 when the apex release assembly 690 is in the locked position shown in FIGS.", "15 and 16 and a freely-rotatable distal portion that is fixedly connected to all of the guidewire lumen 620 disposed distal thereto.", "Thus, the guidewire lumen 620 near the sheath assembly 650 will always be freely rotatable and, thereby, allow easy and torque-free rotation of the guidewire lumen 620 about the guidewire 610.It is also noted that the curved section 622 of the guidewire lumen need not be made at the manufacturer.", "As shown in FIG.", "69, a curving device can be provided with the delivery system 600 to allow the physician performing the implantation procedure to tailor-fit the curve 622 to the actual curve of the vessel in which the stent graft 1 is to be implanted.", "Because different patients can have different aortic arch curves, a plurality of these curving devices can be provided with the delivery system 600, each of the curving devices having a different curved shape.", "Each device can also have two sides with each side having a different curved shape, thus, reducing the number of devices if a large number of curves are required.", "Further, the curving devices can all be rotationally connected on a common axle or spindle for each of transport, storage, and use.", "For tailoring the curve to the patient's curved vessel, the physician can, for example, fluoroscopically view the vessel (e.g., aortic arch) and determine therefrom the needed curve by, for example, holding up the curving device to the display.", "Any kind of curving device can be used to impart a bend to the guidewire lumen 620 when the guidewire lumen 620 is bent around the circumference.", "Because of the predefined curvature of the support member 40, the support member 40 cannot align exactly and entirely along the superior longitudinal line of the curved aorta.", "Accordingly, an optimal superior surface position of the support member 40 places as much of the central portion of the support member 40 (between the two ends 47 thereof) as possible close to the superior longitudinal line 808 of the curved aorta.", "A particularly desirable implantation position has the superior longitudinal line 808 of the curved aorta intersecting the proximal half of the support member 40—the proximal half being defined as that portion of the support member 40 located between the centerline 45 and the proximal support member loop 47.However, for adequate implantation purposes, the centerline 45 of the support member 40 can be as much as seventy circumferential degrees away from either side of the superior longitudinal line of the curved aorta.", "When the stent graft 1 is in place both longitudinally and circumferentially (FIG.", "21), the stent graft 1 is ready to be removed from the inner sheath 652 and implanted in the vessel 700.Because relative movement of the stent graft 1 with respect to the vessel is no longer desired, the inner sheath 652 needs to be retracted while the stent graft 1 remains in place, i.e., no longitudinal or circumferential movement.", "Such immovability of the stent graft 1 is insured by, first, the apex capture device 634 of the nose cone assembly 630 holding the front of the stent graft 1 by its bare stent 30 (see FIGS.", "13, 22, and 23) and, second, by unlocking the locking knob 676/placing the locking ring/knob in the D position—which allows the sheath lumen 654 to move independently from the guidewire lumen 620, apex release lumen 640, and graft push lumen 642.The apex capture device 634, as shown in FIGS.", "13, 14, 30 and 311 (and as will be described in more detail below), is holding each individual distal apex 32 of the bare stent 30 in a secure manner—both rotationally and longitudinally.", "The nose cone assembly 630, along with the apex capture device 634, is securely attached to the guidewire lumen 620 (and the apex release lumen 640 at least until apex release occurs).", "The inner sheath 652 is securely attached to a sheath lumen 654, which is coaxially disposed around the guidewire lumen 620 and fixedly attached to the proximal handle 678.The stent graft 1 is also supported at its distal end by the graft push lumen 642 and the distal sleeve 644 or the taper 653 of the inner sheath 652.", "(The entire coaxial relationship of the various lumens 620, 640, 642, 654, and 660 is illustrated for exemplary purposes only in FIG.", "25, and a portion of which can also be seen in the exploded view of the handle assembly in FIG.", "50) Therefore, when the proximal handle 678 is moved proximally with the locking knob 676 in the deployment position D, the sheath lumen 654 moves proximally as shown in FIGS.", "13, 22, and 23, taking the sheath 652 proximally along with it while the guidewire lumen 620, the apex release lumen 640, the graft push lumen 642, and the distal sleeve 644 remain substantially motionless and, therefore, the stent graft 1 remains both rotationally and longitudinally steady.", "The stent graft 1 is, now, ready to be finally affixed to the aorta 700.To perform the implantation, the bare stent 30 must be released from the apex capture device 634.As will be described in more detail below, the apex capture device 634 shown in FIGS.", "13, 14, and 29 to 32, holds the proximal apices 32 of the bare stent 30 between the distal apex head 636 and the proximal apex body 638.The distal apex head 636 is fixedly connected to the guidewire lumen 620.The proximal apex body 638, however, is fixedly connected to the apex release lumen 640, which is coaxial with both the guidewire lumen 620 and the sheath lumen 654 and disposed therebetween, as illustrated diagrammatically in FIG.", "25.", "(As will be described in more detail below, the graft push lumen 642 is also fixedly connected to the apex release lumen 640.)", "Therefore, relative movement of the apex release lumen 640 and the guidewire lumen 620 separates the distal apex head 636 and a proximal apex body 638 from one another.", "To cause such relative movement, the apex release assembly 690 has, in an exemplary embodiment, three parts, a distal release part 692, a proximal release part 694, and an intermediate part 696 (which is shown in the form of a clip in FIGS.", "16 and 26).", "To insure that the distal apex head 636 and the proximal apex body 638 always remain fixed with respect to one another until the bare stent 30 is ready to be released, the proximal release part 694 is formed with a distal surface 695, the distal release part 692 is formed with a proximal surface 693, and the intermediate part 696 has proximal and distal surfaces corresponding to the surfaces 695, 693 such that, when the intermediate part 696 is inserted removably between the distal surface 695 and the proximal surface 693, the intermediate part 696 fastens the distal release part 692 and the proximal release part 694 with respect to one another in a form-locking connection.", "A form-locking connection is one that connects two elements together due to the shape of the elements themselves, as opposed to a force-locking connection, which locks the elements together by force external to the elements.", "Specifically, as shown in FIG.", "26, the clip 696 surrounds a distal plunger 699 of the proximal release part 694 that is inserted slidably within a hollow 698 of the distal release part 692.The plunger 699 of the proximal release part 694 can slide within the hollow 698, but a stop 697 inside the hollow 698 prevents the distal plunger 699 from withdrawing from the hollow 698 more than the longitudinal span of the clip 696.To allow relative movement between the distal apex head 636 and the proximal apex body 638, the intermediate part 696 is removed easily with one hand and, as shown from the position in FIG.", "16 to the position in FIG.", "17, the distal release part 692 and the proximal release part 694 are moved axially towards one another (preferably, the former is moved towards the latter).", "Such movement separates the distal apex head 636 and the proximal apex body 638 as shown in FIG.", "14.Accordingly, the distal apices 32 of the bare stent 30 are free to expand to their natural position in which the bare stent 30 is released against the vessel 700.Of course, the apex release assembly 690 can be formed with any kind of connector that moves the apex release lumen 640 and the guidewire lumen 620 relative to one another.", "In an exemplary alternative embodiment, for example, the intermediate part 696 can be a selectable lever that is fixedly connected to either one of the distal release part 692 or the proximal release part 694 and has a length equal to the width of the clip 696 shown in FIG.", "26.Thus, when engaged by pivoting the lever between the distal release part 692 and the proximal release part 694, for example, the parts 692, 694 cannot move with respect to one another and, when disengaged by pivoting the lever out from between the parts 692, 694, the distal release part 692 and the proximal release part 694 are free to move towards one another.", "The apex capture device 634 is unique to the present invention in that it incorporates features that allow the longitudinal forces subjected on the stent graft 1 to be fully supported, through the bare stent 30, by both the guidewire lumen 620 and apex release lumen 640.Support occurs by providing the distal apex head 636 with a distal surface 639—which surface 639 supports the proximal apices 32 of the bare stent 30 (shown in the enlarged perspective view of the distal apex head 636 in FIG.", "29).", "When captured, each proximal apex 32 of the bare stent 30 separately rests on a distal surface 639, as more clearly shown in FIGS.", "30 and 31.The proximal spokes of the distal apex head 636 slide within the fingers of the proximal apex body 638 as these parts moves towards one another.", "A slight space, therefore, exists between the fingers and the outer circumferential surfaces of the spokes.", "To insure that the bare stent 30 does not enter this space (which would prevent a proper release of the bare stent 30 from the apex capture device 634, a radial thickness of the space must be less than the diameter of the wire making up the bare stent 30.Preferably, the space is no greater than half a diameter of the wire.", "Having the distal surface 639 be the load-bearing surface of the proximal apices 32 ensures expansion of each and every one of the distal apices 32 from the apex release assembly 690.The proximal surface 641 of the distal apex head 636 (see FIG.", "30) meets with the interior surfaces of the proximal apex body 638 to help carry the apex load because the apices of the bare stent 30 are captured therebetween when the apex capture device 634 is closed.", "Complete capture of the bare stent 30, therefore, fully transmits any longitudinal forces acting on the bare stent 30 to both the guidewire lumen 620 and apex release lumen 640, making the assembly much stronger.", "Such capture can be clearly seen in the cut-away view of the proximal apex body 638 in FIG.", "31.For release of the apices 32 of the bare stent 30, the proximal apex body 638 moves leftward with respect to FIGS.", "30 to 33 (compare FIGS.", "30 and 31 with FIG.", "32).", "Because friction exists between the apices 32 and the “teeth” of the proximal apex body 638 when the apices 32 are captured, the apices 32 will also try to move to the left along with the proximal apex body 638 and, if allowed to do so, possibly would never clear the “teeth” to allow each apex 32 to expand.", "However, as the proximal apex body 638 disengages (moves in the direction of arrow C in FIG.", "31), direct contact with the distal surface 639 entirely prevents the apices 32 from sliding in the direction of arrow C along with the proximal apex body 638 to ensure automatic release of every captured apex 32 of the bare stent 30.Because the proximal apex body 638 continues to move in the direction of arrow C, eventually the “teeth” will clear their respective capture of the apices 32 and the bare stent 30 will expand entirely.", "The release position of the distal apex head 636 and the proximal apex body 638 is shown in FIGS.", "14 and 32, and corresponds to the position of the apex release assembly 690 in FIG.", "17.As can be seen, tapers on the distal outer surfaces of the proximal apex body 638 further assist in the prevention of catching the proximal apices 32 of the bare stent 30 on any part of the apex capture device 634.In this configuration, the distal surfaces 639 bear all the load upon the bare stent 30 and the fingers of the proximal apex body 638.Simply put, the apex capture device 634 provides support for load placed on the stent graft 1 during advancement A of the inner sheath 652 and during withdrawal of the inner sheath 652 (i.e., during deployment D).", "Such a configuration benefits the apposition of the bare stent 30 by releasing the bare stent 30 after the entire graft sleeve 10 has been deployed, thus reducing the potential for vessel perforation at the point of initial deployment.", "When the stent graft 1 is entirely free from the inner sheath 652 as shown in FIG.", "24, the proximal handle 678 is, then, substantially at or near the third position (deployment position) shown in FIG.", "10.The stent graft 1 is, now, securely placed within the vessel 700 and the entire portion 630, 650, 660 of the assembly 600 may be removed from the patient.", "FIGS.", "70 and 71 illustrate alternative configurations of the stent graft 1 of FIG.", "1.The stent graft 1000 of FIG.", "70 is similar to the stent graft 1 of FIG.", "1.The stent graft 1000 has a graft 1010 and a number of stents 1020.The stents 1020 are attached either to the exterior or interior surfaces of the graft sleeve 1010.Preferably, the stents 1020 are sewn to the graft 1010.The stent graft 1000 shown in FIG.", "70 has been discussed above with respect to FIG.", "1, for example, and, therefore, the discussion relevant to features already discussed will not be repeated for the sake of brevity.", "FIG.", "70 shows an exemplary embodiment of the curved ends 1047 of the connecting rod 1040.In particular, the rod 1040 forms a loop (whether, polygonal, ovular, or circular) and has an end portion 1048 that continues back parallel and next to the rod 1040 for a short distance.", "This end portion 1048, along with the adjacent portion of the rod 1040 allows, for example, connective stitching to cover two lengths of the rod 1040 and better secures the end portion 1048 to the graft sleeve 1010.In such configuration, there is limited or even no chance of a sharp end of the rod 1040 to be exposed to harm the graft sleeve 1010 or the vessel wall in which the stent graft 1000 is placed.", "An alternative embodiment of the stent graft 1000 is shown as stent graft 1100 in FIG.", "71.This stent graft 1100 contains a graft sleeve 1110 that completely covers the bare stent 30 shown in FIGS.", "1 and 70 and is hereinafter referred to with respect to FIGS.", "71 to 78 as a clasping stent 1130.As shown particularly well in FIGS.", "72 and 74, the clasping stent 1130 is entirely covered by the graft 1110 but is not attached to the material of the graft 1110 along its entirety.", "At least some of the proximal apices 1132, preferably, at least three or four, are left unconnected to permit a releasable connection with the fingers of the proximal apex body 638 when the fingers are extended through the apex openings 1134.Of course, in certain applications, it may be beneficial to only leave one apex 1132 unconnected.", "The unconnected portion of each the apices 1132 has a minimal longitudinal length of about 10 percent of the longitudinal length of the stent and a maximum longitudinal length of up to approximately 90 percent of the length of the stent.", "Preferably, the longitudinal length of the unconnected portion is between approximately 30 to 40 percent as shown in FIGS.", "72 and 74, which show the clasping stent 1130 sewn to the interior of the graft 1110.For ease of comparison, FIG.", "73 illustrating the proximal end of the stent graft of FIGS.", "1 and 70 is included next to FIG.", "74.The unconnected portions of apices 1132 need not have the same longitudinal lengths.", "Depending on the application, one or some of the unconnected portions of apices 1132 can have a longitudinal length different from other ones of the unconnected portions of apices 1132.FIG.", "75, for example, illustrates an embodiment near the maximum longitudinal length of the unconnected portion of the clasping stent 1130.FIGS.", "76 and 77 illustrate a proximal end of the stent graft 1100 of FIG.", "71 partially deployed from the flexible inner sheath 652.As can be seen in FIG.", "76, the entire capturing assemblies of the apex capture device 634 reside inside the stent graft 1100 when the apices are captured.", "Only the distal-most portion of the distal apex head 636 extends out from the interior of the stent graft 1100.With regard to the view of FIG.", "77, it can be seen that only a few of the apices 1132 of the clasping stent 1130 are actually held by the apex capture device 634.It is noted at this point that implantation of the stent graft 1, 1000, 1100 of the present invention occurs while blood is flowing from the heart of the patient.", "Accordingly, the stent graft 1100 cannot occlude the vessel in which it is to be implanted and, in order to do so, there must exist a lumen for passing blood throughout the time after the stent graft 1100 has partially or fully expanded within the vessel.", "If all of the apices 1132 of the clasping stent 1130 were held within the apex capture device 634, then there is a possibility of occluding the vessel if the unattached portion of the apices 1132 are too short to provide such a lumen.", "To avoid this condition, if only some apices 1132 of the clasping stent 1130 are captured, as illustrated in FIG.", "77, then a sufficiently large lumen exists to allow blood flow through the vessel in which the stent graft is to be implanted.", "Alternatively, if a large percentage of the apices 1132 are left unconnected, as shown, for example, in FIG.", "75, then all of the apices 1132 can be releasably held by the apex capture device 634 while the graft sleeve 1110 remains entirely open to allow blood flow through the stent graft 1100 during the stent graft 1100 implantation process.", "There exists a drawback to placing the clasping stent 1130 as the proximal stent of the stent graft 1100 because material of the graft 1110 is proximal of the clasping stent 1130.If unsupported, this material could move disadvantageously toward the interior of the stent graft 1100 after implantation and decrease or occlude blood flow.", "To prevent such movement, the stent graft 1100 also includes a crown stent 1140.Like the clasping stent 1130, the crown stent 1140 is shown in FIGS.", "71, 72, 74 to 76, and 78 as being attached to the inside of the graft 1120 and, in this exemplary embodiment, is sewn to the material of the graft using the same polyester suture as the other stents.", "Of course, the crown stent 1140 can be attached to the exterior of the graft 1010.In such a configuration, the crown stent 1140 augments the rigidity of the material of the graft 1120 to reduce enfolding thereof at the proximal end of the stent graft 1100.Alternatively and/or additionally, a non-illustrated distal crown stent can be attached to the inside or outside of the graft 1120 at the opposite distal end of the stent graft 1100.In such a configuration, this distal crown stent 1140 augments the rigidity of the material at the distal end of the graft 1120 to reduce enfolding thereof.", "The material of the graft 1120 can extend and bridge the entire distance between two proximal crown apices 1122.It is noted, however, that, alternatively or additionally, the material of the graft 1120 may be partially cut out between crown apices 1122 of the crown stent 1140 to define a plurality of a radially distensible flange portions 1124 at the proximal end of the stent graft 100, as shown in FIG.", "74.There are various advantages provided by the stent graft 100 over the prior art.", "First, the clasping and crown stents 1130, 1140 improve the apposition of the material of the graft to the intima of the vessel in which the stent graft 100 is placed, in particular, in the aorta.", "Second, by better aligning the proximal portion of the stent graft 1110 in the lumen of the arch, the clasping and crown stents 1130, 1140 provide an improved blood-tight closure of the proximal end of the stent graft 1110 so that blood does not pass between the intima of the vasculature and the outer surface of the stent graft 1110.As set forth above, if the apex capture device 634 captures less than all of the apices of the clasping stent 1130.The resulting openings allow blood flow during implantation.", "It is illustrated particularly well in FIGS.", "1, 13, 14, and 70 that the material of the graft sleeve 10 of stent graft 1, 1000 begins only distal of the center of the bare stent 30.In comparison, as shown in FIGS.", "71 and 73, the material of the graft 1120 begins well proximal of the proximal-most apices of the clasping stent 1130.Thus, this embodiment allows the material of the graft 1120 to extend much further into a vessel (i.e., further into the curved arch of the aorta).", "Therefore, a physician can repair a vessel further upstream in the aorta than the embodiment of the stent graft 1, 1000 of FIGS.", "1 and 70.In the prosthesis embodiment of FIGS.", "1 and 70, there is direct contact between the metal of the bare stent 30 and the intima of the blood vessel.", "In contrast thereto, the configuration of the stent graft 1100 with the clasping stent 1130 places material of the graft 1120 between the metal of the clasping stent 1130 and the intima.", "Such a configuration provides a more atraumatic connection between the vessel and the proximal end of the stent graft 1100 than the configuration of FIGS.", "1 and 70.This advantage is especially important for treating dissections—where the intima is in a weakened condition.", "FIG.", "63 illustrates interaction between the catheter 660, the inner sheath 652, and the nose cone assembly 630 (including the nose cone 632, the distal apex head 636, and the proximal apex body 638).", "In this illustration, first, the catheter 660 is in a proximal position that does not cover the inner sheath 652 in any way.", "For example, this position of the catheter 660 occurs when the inner sheath 652 has extended out of the catheter 660 as shown in FIGS.", "20 and 21.Next, the inner sheath 652 is clearly shown in its expanded state (caused by the non-illustrated prosthesis disposed therein and expanding outward).", "The distal-most end of the inner sheath 652 is disposed between the distal apex head 636 and the nose cone 632.In such an orientation, the inner sheath 652 is in the position that occurs during extension out of the catheter 660 as shown for example, in FIGS.", "20 and 21.Because the nose cone 632 screws onto the distal end of the distal apex head 636, the distal-most end of the inner sheath 652 is releasably captured between the two parts 632, 636 until it is removed.", "Retraction of the sheath lumen 654 proximally pulls the distal-most captured end of the inner sheath 652 out from the capturing interface.", "Finally, the proximal apex body 638 is in a retracted position proximal of the distal apex head 636.This orientation is for illustrative purposes only to show the interaction of the distal apex head 636 and the proximal apex body 638 because the separation would not occur in use until, as set forth above, the inner sheath 652 is fully retracted from over the stent graft 1 and the proximal apices 32 of the stent 30 have been released as shown in FIG.", "14.FIG.", "80 is a cross-section through the catheter 660, the fingers of the proximal apex body 638, the distal apex body 636, the apex release lumen 640, and the guidewire lumen 620.FIG.", "81 is a cross-section of the distal end of the delivery system along the longitudinal axis of the delivery system.", "These two figures illustrate the space 662 that exists between the catheter 660 and both of the proximal apex body 638 and the distal apex body 636 to make room for the inner sheath 652 to surround the parts 636, 638 and pass between the nose cone 632 and the distal apex head 636 and enter the pass 664 that allows the inner sheath 652 to be releasably held there as shown in FIG.", "63 until it is desired to remove the inner sheath 652 therefrom.", "FIG.", "82 shows a distal end of the delivery system according to the invention in the orientation of FIGS.", "20 and 21, for example.", "The inner sheath 652 is curved and has an alternative embodiment of a D-shaped marker 234 thereon.", "In contrast to the configuration of two markers 234 on the stent graft 1 as shown in FIGS.", "27 and 28, there is only one marker 234 on the inner sheath 652.As illustrated in the orientations of FIGS.", "83, 84, and 85, the marker 234 allows the user to see how the inner sheath 652 should be oriented prior to implantation.", "FIGS.", "86, 87, 88, and 89 illustrate an alternative embodiment of the front handle 672 that is rotatably attached to the handle 674 and rotatably fixed to the catheter 660.FIGS.", "90 to 119 depict another exemplary embodiment of various features of the delivery assembly 600.FIG.", "90 shows the entire delivery assembly 600 with a portion of nose cone assembly 630 removed to reveal the distal apex head 636.On the proximal end of the delivery assembly 600, the enlarged view of FIG.", "91 depicts an alternative embodiment of the apex release assembly 690.In FIG.", "91, a proximal pusher support tube 645 surrounds two coaxial lumens, the guidewire lumen 620 and the apex release lumen 640.The proximal pusher support tube 645 is longitudinally fixed to the proximal end of the graft push lumen 642 and has substantially the same diameter as the graft push lumen 642.Because the proximal pusher support tube 645 is used for pushing/pulling the combination lumen 642, 645, and due to the fact that the proximal pusher support tube 645 only resides within the handle body or proximal thereof, the proximal pusher support tube 645 can be made of a relatively stiff material, such as stainless steel, for example.", "In contrast, the graft push lumen 642 needs to flex and bend when extending out of the outer catheter 660 and into vasculature.", "Thus, the graft push lumen 642 is made from a relatively flexible material, such as a plastic.", "In FIG.", "91, the proximal portion of the proximal pusher support tube 645 is cut away to reveal the features therein, including the guidewire lumen 620 and the apex release lumen 640.The apex release lumen 640 is axially fixed to the proximal apex body 638.The guidewire lumen 620, on the other hand, is axially fixed to the distal apex head 636.Thus, distal movement of the apex release lumen 640 with respect to the guidewire lumen 620 separates the tines of the proximal apex body 638 extending over the spokes of the distal apex head 636.To effect this relative movement, proximal and distal crimping devices 621 and 641 are respectively attached to the guidewire 620 and the apex release lumen 640.The distal release part 692 is connected, through a non-illustrated set screw, to the distal crimping device 641.The proximal release part 694 is connected, also through a non-illustrated set screw, to the proximal crimping device 621.Finally, a proximal luer connector 800 is connected to the proximal-most end of the proximal pusher support tube 645 so that all of the lumen 620, 640, 645 can be filled and/or drained with a liquid, such as saline.", "FIG.", "92 is an enlarged view of the alternative embodiment of the locking knob 582 first shown in FIGS.", "50 and 51.To better explain the features of FIG.", "92, reference is made to the separated clasp sleeve 614 of FIG.", "93, which was first depicted in FIGS.", "50, 53, and 59 to 62.This clasp sleeve 614 is longitudinally fixedly and rotationally freely connected to the handle body 674 through the setscrew 584 that protrudes into the slot 675 of the handle body 674.The setscrew 584 is screwed into but not through the proximal end of the clasp sleeve as shown in FIG.", "93, for example.", "This setscrew 584 protrudes into the slot 675 in the handle body 674.When so connected, the clasp sleeve 614 cannot move longitudinally with respect to the handle body 674 but can rotationally move along the arc defined by the length of the slot 675.The setscrew 584 protrudes from the outer circumference of the handle body 674 because it enters into the longitudinal slot 583 in the locking knob 582.Thus, the setscrew 584 also controls the longitudinal movement distance of the locking knob 582.When the knob 582 is at rest, the setscrew 584 resides in the distal end of the slot 583 because of the bias caused by spring 607 (see FIG.", "94).", "The second setscrew 592 (also referred to as a position pin) starts from the handle body 674 but does not extend inside the handle body 674.The setscrew 592 does, however, protrude out from the handle body 674 and into the three-position slot 587 of the locking knob 582.Thus, the setscrew 592 controls the rotation of the knob 582 within the three positions.", "The third setscrew 585 is screwed through a threaded hole in the handle body 674 and into a co-axial threaded hole 6021 of the clasp body 602 until the setscrew 585 is even with the exterior surface of the handle body 674.Thus, the setscrew 585 does not protrude from the outer circumference of the handle body 674.The proximal clasp assembly 604 was first illustrated in FIG.", "52.In FIG.", "94, the proximal clasp assembly 604 is illustrated with different detail.", "The clasp body 602 has a distal interior cavity 6023 shaped to receive therein the distal clasp body spring 606, which is a torsion spring in this exemplary embodiment.", "The locking washer 608 is connected to the distal end of the clasp body 602 by a non-illustrated setscrew that, for example, runs through the bore illustrated at the 12 o'clock position on the locking washer 608 in FIG.", "94.To keep the clasp body assembly pressed into the clasp sleeve 614, as shown in FIGS.", "101 and 102 for example, a distal spring washer 611 and a proximal compression spring 607 are inserted into a proximal interior cavity 6024.Placement of the locking knob 676 onto the handle body 674, as shown in FIG.", "92 for example, compresses the compression spring 607 between the locking knob 676 and the proximal surface of the spring washer 611 residing inside the proximal interior cavity 6023 of the clasp body 602.This compression forces the knob 676 proximally to keep the spring 592 inside the three-position slot 675.The spring washer 611 is present to prevent the spring 607 from binding when the locking knob 676 is rotated between the three rotational positions.", "The smooth surface of the washer 611 does not catch the distal end of the compression spring 607 when the spring 607 rotates.", "The rotator assembly includes the pusher clasp rotator 292, the pusher clasp spring 298, and the rotator body 294.These parts are first depicted in FIGS.", "34 to 43 and 47 to 48 and are next depicted in FIGS.", "95 and 96.In FIG.", "95, the rotator assembly is illustrated in an exploded, unassembled state and FIG.", "96 shows the assembly in an assembled state.", "When assembled, the two protruding ends of the pusher clasp spring 298 are respectively inserted into the longitudinal slots 2942 and 2922 of each of the rotator body 294 and the pusher clasp rotator 292.Because the distal end of the rotator body 294 is smaller in diameter than the cavity of the pusher clasp rotator 292, the end of the spring that fits inside the slot 2922 must be longer than the end of the spring 298 that fits inside the slot 2942 of the rotator body 294.The rotator body 294 is secured inside the pusher clasp rotator 292 by two dowels 2926 that are press fit through a first orifice in the clasp rotator 292 after the rotator body 294 is inside the clasp rotator 292.These dowels 2926, then, pass through a circumferential groove 2944 substantially without touching the walls of the groove 2944 and, then, through a second orifice in the clasp rotator 292 directly opposite the first orifice.", "In such a configuration, the rotator body 294 is longitudinally fixed but rotationally free inside the clasp rotator 292.The first and second orifices and the groove 2944 are clearly shown in FIG.", "13 (with the dowels 2926 removed for clarity).", "FIGS.", "44 to 48 illustrated the pusher clasp body 296 and its relationship with the sheath lumen 654.FIGS.", "97 and 98 further illustrate two views of the pusher clasp body 296 and its distal projection 297.The proximal end of the sheath lumen 654 passes through the crimp ring 295 and over the distal projection 297.Then, to secure the sheath lumen 654 to the pusher clasp body 296, the crimp ring 295 is compressed/crimped.", "Such a connection both longitudinally and rotationally stabilizes the sheath lumen 654 with respect to the pusher clasp body 296.Two pins 2962 hold the pusher clasp body 296 to the proximal handle 678 so that longitudinal movement of the proximal handle 678 translates into a corresponding longitudinal movement of the pusher clasp body 296 within the handle body 674.These pins 2962 pass through a plug 2964, shown in FIG.", "114, and then into the pusher clasp body 296.The length of the pins that exist through the plug 2964 and also through the pusher clasp body 296 gives enough support to prevent movement of the handle 678 from breaking the pins 2962, which might occur if the plug 2964 were not present.", "It is noted that the conical expansion of the proximal end of the inner sheath 652 is different in FIGS.", "97 and 98.This is because the embodiment shown in FIGS.", "97 and 98 illustrates an expansion portion of the inner sheath 652 that is sutured on only one side thereof.", "Accordingly, when viewed along the suture line (as in FIG.", "98), the cone has one flat side.", "In contrast, when viewed in an elevation 90 degrees turned from that suture line (as in FIG.", "97), the expansion portion has a conical elevational view.", "Also shown in FIG.", "98 on the inner sheath 652 is a D-shaped radiopaque marker 232.This marker 232 is enlarged in FIG.", "99 and can be, for example, secured to the inner sheath 652 by three sutures, diagrammatically indicated with an “X.” FIG.", "100 is an enlarged view of the distal end of the handle assembly 670 shown in FIG.", "90.This embodiment of the distal apex head 636 shows an alternative embodiment of the proximal portion that was first shown in FIG.", "29.As can be seen in the drawing, the proximal side of the distal apex head 636 is tapered.", "This tapered shape allows the distal apex head 636 to enter further into the interior cavity between the prongs of the proximal apex body 638 than the distal apex head 636 shown in FIG.", "29.It is noted that the portion of the delivery system at the distal end is to be flexible so that this portion can traverse curved vessels.", "Thus, it is desirable for the length of the distal apex head 636 and the proximal apex body 638 (semi-rigid parts) to be as short as possible.", "By allowing the distal apex head 636 to travel further into the proximal apex body 638, the longitudinal length of the two parts 636 can be shorter.", "Now that the various parts of the handle assembly 670 have been shown and described separately, the interactions and orientations when assembled can now be further understood with reference to the following description and to FIGS.", "101 to 105.FIGS.", "101 to 102 show the proximal half of the handle assembly 670 from just proximal of the locking knob 676 to just distal of the distal end of the proximal handle 678 (when the handle 678 is in a proximal position).", "The hidden lines shown in FIG.", "101 aid in the understanding of this portion.", "It is noted that the sheath lumen 654 is not illustrated in FIG.", "101 for clarity.", "FIG.", "102 clearly shows the components that are involved in the proximal half of the handle assembly 670.The handle body 674 is surrounded by the distal handle 678 and a portion of the locking knob 676.Inside the proximal end of the handle body 674 is the clasp body 602, which is surrounded by the proximal end of the clasp sleeve 614.The locking washer 608 is positioned inside the clasp sleeve 614 at the distal end of the clasp body 602.Separated at a distance from the distal end of the locking washer 608 is the rotator assembly, which, as set forth above, is longitudinally fixed to the proximal handle 678.The rotator assembly includes the pusher clasp rotator 292 surrounding the pusher clasp spring 298 and the rotator body 294.The pusher clasp body 296 is disposed on the distal end of the rotator body 294 and the crimp ring 295 secures the sheath lumen 654 on the distal projection 297 of the pusher clasp body 296.FIG.", "103 is an enlarged view of the proximal portion of FIG.", "102 by the locking knob 676.These figures show the alignment of the bores in the clasp body 602 and the locking washer 608 so that the non-illustrated setscrew can fasten the two parts to one another.", "Also shown in FIG.", "103 is the alignment between the groove 605 for receiving therein the setscrew 586 (see FIGS.", "53 and 93) and connecting the proximal clasp assembly 604 to the clasp sleeve 614 so that the clasp sleeve 614 can still rotate around the clasp body 602.Also visible in the enlarged view of FIG.", "103 are the three coaxial lumen 620, 640, 645 that pass through the clasp body 602.Like FIG.", "103, FIG.", "104 is an enlarged view of the distal portion of the handle assembly 670 around the pusher clasp rotator 292.This view not only shows the orientations of the rotator body 294 and the pusher clasp body 296 with respect to the pusher clasp rotator 292, but the three coaxial lumen passing therethrough are also evident.", "The groove 2944 for receiving the non-illustrated dowels 2926 therein is also visible in this view.", "As can be seen, the guidewire lumen 620 and the apex release lumen 640 each pass entirely through the pusher clasp body 296 but the proximal pusher support tube 645 ends just after the distal end of the rotator body 294 for hemostasis purposes.", "It is at this end point that the proximal pusher support tube 645 is connected to the graft push lumen 642.This two-part structure of the proximal pusher support tube 645 and the graft push lumen 642 is, in an exemplary embodiment, a bonding of a proximal stainless steel lumen 645 and a plastic lumen 642, for example, a polyurethane-based extrusion.", "As set forth above, a rigid lumen 645 in the handle portion keeps rigidity there and a flexible lumen 642 distal of the distal handle 672 allows the lumen to flex as needed.", "The distal end of the rotator body 294 is also fluidically sealed off from the interior of the distal interior of the delivery system with a hemostasis o-ring 293.FIG.", "105 is still a further enlarged view around the pusher clasp spring 298.A transverse cross-sectional view through the handle assembly 670 is illustrative of the interaction between and relationship of various components of this assembly 670.The cross-sections shown in FIGS.", "106 to 118 progress from proximal to distal.", "A first transverse cross-section through the longitudinal slot 583 of the locking knob 676 is illustrated in FIG.", "106.In this cross-sectional plane, the clasp body 602 is shown as filling up most of the interior of the clasp sleeve 614.The anchoring bore in the clasp sleeve 614 for the setscrew 585 is shown aligned with the slot 583.A second transverse cross-section through the three-position slot 587 of the locking knob 676 is illustrated in FIG.", "107.In this cross-sectional plane, the clasp body 602 still is shown as filling up most of the interior of the clasp sleeve 614.The slot 6022 of the clasp body 602 for receiving one end of the torsion spring 606 is also depicted in FIG.", "107.A third transverse cross-section through the clasp body 602 before the locking washer 608 is illustrated in FIG.", "108.In this cross-sectional plane, the slot 6022 of the clasp body 602 is aligned with a slot 6143 inside the proximal end of the clasp sleeve 614 that is not visible in FIG.", "93 but is visible through the cutout in FIGS.", "59 and 60.This alignment is merely shown in FIG.", "108 for understanding the different depths of these slots 6022, 6143.Like the pusher clasp spring 298, the distal clasp body spring 606 has ends with different lengths.", "The first, shorter, end is inserted into the inner slot 6022 of the clasp body 602 and the second, longer, end is inserted into the slot 6143 of the clasp sleeve 614.The fourth transverse cross-section between the proximal clasp assembly 604 and the rotator assembly shows, in FIG.", "109, the spatial separation of these two assemblies that is depicted, for example, in FIGS.", "101 to 102.Visible in these figures is the longitudinal slot 6141 that, as shown in the cross-sections of FIGS.", "110 to 111, guides the movement of the pusher clasp rotator 292 by delimiting a space that corresponds to the width of the boss 2924 that extends out from the outer circumferences of the pusher clasp rotator 292.This slot 6141 allows the pusher clasp rotator 292 to move longitudinally freely with respect to the clasp sleeve 614; simultaneously, this connection prevents any rotation of the pusher clasp rotator 292 that is independent from rotation of the clasp sleeve 614.Accordingly, as the clasp sleeve 614 rotates about its longitudinal axis, the pusher clasp rotator 292 will rotate as well.", "The further enlarged view of the center of the configuration illustrated in FIG.", "110 is depicted in FIG.", "111.Here, the rotator assembly portions are clearly shown with the pusher clasp spring 298 therebetween.", "The sixth cross-section of FIG.", "112, and the enlarged view of the sixth cross-section in FIG.", "113, illustrate the longitudinally fixed but rotationally free connection between the pusher clasp rotator 292 and the rotator body 294.The two bores in the pusher clasp rotator 292 for receiving the dowels 2926 (not illustrated here) are clearly shown to intersect the open space in the groove 2944 of the rotator body 294.A seventh cross-section in FIG.", "114 shows the connection of the pusher clasp body 296 and the proximal handle 678 through the plug 2964.This view also depicts the fluid communication between the interior of the handle assembly 670 and the luer fitting 612.When the luer 612 is connected to a fluid supply, the flushing liquid enters the interior cavity distal of the rotator body 294 and sealed off by the o-ring 293 and purges all air therein at the distal end of the delivery system.", "FIG.", "114 also shows the graft push lumen 642 extending through the handle body 674 beginning after the distal side of the o-ring 293.The eighth cross-section of FIG.", "115 illustrates the distal projection 297 at which the crimp ring 295 holds the sheath lumen 654 onto the pusher clasp body 296.This figure also illustrates the open radial space between the clasp sleeve 614 and the graft push lumen 642.To keep the relatively long extent of the flexible inner lumen 620, 640, 642 passing through the open interior of the handle body 674 from moving out of a centered orientation (i.e., from bending out from the longitudinal axis of the handle body 674, sliding spacers 6142 are periodically provided along the clasp sleeve 614 as shown in FIGS.", "93 and 116 to 118.These spacers 6142 are only needed while the proximal handle 678 is moving the rotator assembly and the pusher clasp body 296 in a distal direction to prevent bending of the interior flexible lumen 620, 640, 642.Accordingly, the spacers 6142 can slide within the groove 6141 of the clasp sleeve 614 up to and over the distal end of the clasp sleeve 614 (the right side of the clasp sleeve 614 as viewed in FIG.", "93; see also FIG.", "117).", "Each of these spacers 6142 is self secured in a slidable manner to the clasp sleeve 614.FIG.", "117 depicts a ninth cross-section through a distal end of the clasp sleeve 614 within the distal handle 672.The distal handle 672 freely rotates about the handle body 674 in an exemplary embodiment.", "In such an embodiment, the outer catheter 660 will also freely rotate about all of the lumen 620, 640, 642 therein because of the fixation between the outer catheter 660 and the distal handle 672.See FIG.", "118.The shaded parts in FIG.", "119 are provided to show portions of the features around the clasp body 602.In this view, the rotator assembly is removed.", "The following text describes the four movements for implanting a prosthesis with the delivery system and the relative connections between relevant lumens when in the three different settings of the locking knob 676.The first movement will be referred to as the advancement stage and utilizes position 1 of the locking knob 676.When in position 1, the distal spring 298 is engaged around and holds the pusher support tube 645 (and, therefore, graft push lumen 642) to the rotator assembly 292, 294.This assembly 292, 294 is fixed at the distal end of the rotator body 294 inside the pusher clasp body 296 (through a non-illustrated setscrew passing through the threaded bore 2966 shown in FIG.", "98).", "As set forth above, the pusher clasp body 296 is fixed to the proximal handle 678 and, therefore, the pusher support tube 245 moves with the proximal handle 678 in position 1.In this first movement, the entire distal assembly is advanced up to the implantation site using the proximal handle 678.Thus, when the handle 678 moves distally, all of the lumen, including the guidewire lumen 620, the apex release lumen 640, the graft push lumen 642/proximal pusher support tube 645, and the sheath lumen 654, are locked together and move distally with a corresponding movement of the proximal handle 678.As the outer catheter 660 is longitudinally fixed to the distal handle 672, it remains longitudinally fixed during the first movement.", "The lumen displacement in the advancement stage is depicted in FIGS.", "19 to 21.The second movement will be referred to as the primary deployment stage and utilizes position 2 of the locking knob 676.When in position 2, the distal spring 298 is disengaged from the pusher support tube 645 and the proximal spring 606 becomes engaged around the pusher support tube 645 to anchor only the push rod 642 (without lumen 620, 640) to the proximal handle 678 and allow retraction of sheath lumen 654 (and, thereby, the inner sheath 652) while all other lumens are disengaged and remain stationary.", "In this second movement, the inner sheath 654 needs to be moved in the proximal direction, as shown in FIGS.", "22 to 24.Accordingly, when the handle 678 moves distally, only the sheath lumen 654 moves with the handle 678.Thus, in position 2 of the locking knob 676, the sheath lumen 654 is locked to the proximal handle 678 and moves proximally with a corresponding movement of the proximal handle 678; all of the other lumen, including the guidewire lumen 620, the apex release lumen 640, and the graft push lumen 642/proximal pusher support tube 645, are unlocked and remain in the distally deployed position.", "See FIGS.", "22 to 24.The third movement will be referred to as the final deployment stage because, in this movement, the apex capture device 634 completely releases the distal end of the prosthesis as shown in FIG.", "14.Here, the apex release lumen 640 is unlocked (using the release mechanism of FIG.", "91) with respect to the guidewire lumen 620 and the graft push lumen 642/645.The fourth movement will be referred to as the extraction stage and utilizes position 4 of the locking knob (the third of the three positions in the slot 587 of the locking knob 676).", "When in position 4, both the distal spring 298 and the proximal spring 606 are disengaged from the pusher support tube 645 to allow the user to pull the proximal end of the pusher support tube 645 and withdraw it from the implantation site.", "The entire inner lumen assembly 620 and 640 travels with the proximal movement of the pusher support tube 645 because the release mechanism (see FIG.", "91) is pulled with the support tube 645 as it moves proximally.", "While exemplary embodiments of the invention have been illustrated and described, it will be clear that the invention is not so limited.", "Numerous modifications, changes, variations, substitutions, and equivalents will occur to those skilled in the art without departing from the spirit and scope of the present invention as defined by the appended claims." ] ]
Patent_15875835
[ [ "Pressing of Wire Bond Wire Tips to Provide Bent-Over Tips", "In a method for forming a microelectronic device, a substrate is loaded into a mold press.", "The substrate has a first surface and a second surface.", "The second surface is placed on an interior lower surface of the mold press.", "The substrate has a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press.", "An upper surface of a mold film is indexed to the interior upper surface of the mold press.", "A lower surface of the mold film is punctured with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires extending above the lower surface of the mold film into the mold film.", "The tips of the plurality of wire bond wires are pressed down toward the lower surface of the mold film to bend the tips over." ], [ "1.A method for forming a microelectronic device, comprising: loading a substrate for the microelectronic device into a mold press; the substrate having a first surface and a second surface; the second surface being placed on an interior lower surface of the mold press; the substrate having a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press; indexing an upper surface of a mold film to the interior upper surface of the mold press; puncturing a lower surface of the mold film with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires located in the mold film between the upper surface and the lower surface; injecting a molding material between the first surface of the substrate and the lower surface of the mold film; curing the molding material to provide a molding layer; removing the mold film from the substrate; and pressing the tips of the plurality of wire bond wires down toward an upper surface of the molding layer to bend the tips over.", "2.The method according to claim 1, wherein the puncturing further comprises embedding portions of arms of the wire bond wires adjacent to the tips in the mold film.", "3.The method according to claim 1, wherein the pressing on the tips includes bending the tips over to provide bent over tips.", "4.The method according to claim 3, wherein the bent over tips have trapezoidal front facing outlines prior to the pressing.", "5.The method according to claim 3, wherein the bent over tips provide solder anchors after the pressing.", "6.An apparatus for a microelectronic device, comprising: a substrate having a first surface and a second surface opposite the first surface; the substrate having a plurality of wire bond wires extending from the first surface; the substrate having a mold material layer on the first surface; wherein tips of the plurality of wire bond wires extend beyond an upper surface of the mold material layer; and wherein the tips are bent over.", "7.The apparatus according to claim 6, wherein the tips are flattened.", "8.The apparatus according to claim 6, wherein the tips have corresponding bends to provide bent over tips for solder anchors.", "9.The apparatus according to claim 8, wherein the tips are bent over against the upper surface of the mold material layer.", "10.The apparatus according to claim 8, wherein the tips are bent over toward and spaced-away from the upper surface of the mold material layer." ], [ "<SOH> BACKGROUND <EOH>Microelectronic assemblies generally include one or more integrated circuit dies (“ICs”), such as for example one or more packaged ICs (“chips”).", "One or more of such chips may be mounted on a circuit platform, such as on a wafer for wafer-level-packaging (“WLP”), a package substrate, an interposer, or a carrier.", "Additionally, one chip may be mounted on another chip for a package-on-package (“PoP”) part.", "A chip may include conductive elements, such as pathways, traces, tracks, vias, contacts, pads such as contact pads and bond pads, plugs, nodes, or terminals for example, that may be used for making electrical interconnections with another circuit platform.", "These arrangements may facilitate electrical connections used to provide functionality of ICs.", "A chip may be coupled to a circuit platform by bonding, such as bonding traces or terminals, for example, of such circuit platform to bond pads or exposed ends of pins or posts or the like of a chip.", "Interconnecting of one chip to another chip or to a circuit platform is relevant to reliability.", "Accordingly, it would be desirable and useful to provide interconnects that enhance reliability." ], [ "<SOH> BRIEF SUMMARY <EOH>A method relates generally to forming a microelectronic device.", "In such a method, a substrate for the microelectronic device is loaded into a mold press.", "The substrate has a first surface and a second surface.", "The second surface is placed on an interior lower surface of the mold press.", "The substrate has a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press.", "An upper surface of a mold film is indexed to the interior upper surface of the mold press.", "A lower surface of the mold film is punctured with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires extending above the lower surface of the mold film into the mold film.", "The tips of the plurality of wire bond wires are pressed down toward the lower surface of the mold film to bend the tips over.", "Another method relates generally to forming a microelectronic device.", "In such other method, a substrate for the microelectronic device is loaded into a mold press.", "The substrate has a first surface and a second surface.", "The second surface is placed on an interior lower surface of the mold press.", "The substrate has a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press.", "An upper surface of a mold film is indexed to the interior upper surface of the mold press.", "A lower surface of the mold film is punctured with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires located in the mold film between the upper surface and the lower surface.", "A molding material is injected between the first surface of the substrate and the lower surface of the mold film.", "The molding material is cured to provide a molding layer.", "The mold film is removed from the substrate.", "The tips of the plurality of wire bond wires are pressed down toward an upper surface of the molding layer to bend the tips over.", "An apparatus relates generally to a microelectronic device.", "In such an apparatus, a substrate has a first surface and a second surface opposite the first surface.", "The substrate has a plurality of wire bond wires extending from the first surface.", "The substrate has a mold material layer on the first surface.", "Tips of the plurality of wire bond wires extend beyond an upper surface of the mold material layer, and the tips are bent over.", "Other features will be recognized from consideration of the Detailed Description and Claims, which follow." ], [ "CROSS-REFERENCE TO RELATED APPLICATION(S) This application is a divisional of and hereby claims priority to U.S. patent application Ser.", "No.", "14/639,789, filed Mar.", "5, 2015, the entirety of which is hereby incorporated by reference herein for all purposes.", "FIELD The following description relates to microelectronic devices.", "More particularly, the following description relates to pressing of wire bond wire tips to provide bent over tips for a microelectronic device.", "BACKGROUND Microelectronic assemblies generally include one or more integrated circuit dies (“ICs”), such as for example one or more packaged ICs (“chips”).", "One or more of such chips may be mounted on a circuit platform, such as on a wafer for wafer-level-packaging (“WLP”), a package substrate, an interposer, or a carrier.", "Additionally, one chip may be mounted on another chip for a package-on-package (“PoP”) part.", "A chip may include conductive elements, such as pathways, traces, tracks, vias, contacts, pads such as contact pads and bond pads, plugs, nodes, or terminals for example, that may be used for making electrical interconnections with another circuit platform.", "These arrangements may facilitate electrical connections used to provide functionality of ICs.", "A chip may be coupled to a circuit platform by bonding, such as bonding traces or terminals, for example, of such circuit platform to bond pads or exposed ends of pins or posts or the like of a chip.", "Interconnecting of one chip to another chip or to a circuit platform is relevant to reliability.", "Accordingly, it would be desirable and useful to provide interconnects that enhance reliability.", "BRIEF SUMMARY A method relates generally to forming a microelectronic device.", "In such a method, a substrate for the microelectronic device is loaded into a mold press.", "The substrate has a first surface and a second surface.", "The second surface is placed on an interior lower surface of the mold press.", "The substrate has a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press.", "An upper surface of a mold film is indexed to the interior upper surface of the mold press.", "A lower surface of the mold film is punctured with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires extending above the lower surface of the mold film into the mold film.", "The tips of the plurality of wire bond wires are pressed down toward the lower surface of the mold film to bend the tips over.", "Another method relates generally to forming a microelectronic device.", "In such other method, a substrate for the microelectronic device is loaded into a mold press.", "The substrate has a first surface and a second surface.", "The second surface is placed on an interior lower surface of the mold press.", "The substrate has a plurality of wire bond wires extending from the first surface toward an interior upper surface of the mold press.", "An upper surface of a mold film is indexed to the interior upper surface of the mold press.", "A lower surface of the mold film is punctured with tips of the plurality of wire bond wires for having the tips of the plurality of wire bond wires located in the mold film between the upper surface and the lower surface.", "A molding material is injected between the first surface of the substrate and the lower surface of the mold film.", "The molding material is cured to provide a molding layer.", "The mold film is removed from the substrate.", "The tips of the plurality of wire bond wires are pressed down toward an upper surface of the molding layer to bend the tips over.", "An apparatus relates generally to a microelectronic device.", "In such an apparatus, a substrate has a first surface and a second surface opposite the first surface.", "The substrate has a plurality of wire bond wires extending from the first surface.", "The substrate has a mold material layer on the first surface.", "Tips of the plurality of wire bond wires extend beyond an upper surface of the mold material layer, and the tips are bent over.", "Other features will be recognized from consideration of the Detailed Description and Claims, which follow.", "BRIEF DESCRIPTION OF THE DRAWING(S) Accompanying drawing(s) show exemplary embodiment(s) in accordance with one or more aspects of exemplary apparatus(es) or method(s).", "However, the accompanying drawings should not be taken to limit the scope of the claims, but are for explanation and understanding only.", "FIG.", "1 is a respective block diagram of a cross-sectional side view depicting an implementation of a microelectronic device.", "FIG.", "2 is a respective block diagram of a cross-sectional side view depicting an implementation of a microelectronic device.", "FIG.", "3 is a respective block diagram of a cross-sectional side view depicting an implementation of a microelectronic device.", "FIG.", "4 is a block diagram of a top-down view of an exemplary microelectronic device.", "FIG.", "5 is a block diagram of a top-down view of an exemplary microelectronic device after tips have been bent over.", "FIG.", "6 is an enlarged side view depicting a pair of wire bond wires attached to an upper surface of carrier prior to formation of a molding layer.", "FIGS.", "7-1 through 7-6 are a progression of block diagrams of a side cutaway view depicting an exemplary manufacturing process having operations for forming a microelectronic device.", "FIGS.", "8-1 through 8-4 are a progression of block diagrams of a side cutaway view depicting another exemplary manufacturing process having operations for forming a microelectronic device.", "FIG.", "9 is a block diagram of a side cutaway view depicting another exemplary substrate after a manufacturing process having operations for forming a microelectronic device but with an uppermost portion of an arm of wire bond wires embedded in a mold film.", "FIGS.", "10-1 and 10-2 are block diagrams of a side cutaway view depicting yet another exemplary substrate after another manufacturing process having operations for forming a microelectronic device but with an upper portion of an arm of wire bond wires, longer than an uppermost portion thereof, embedded in mold film.", "DETAILED DESCRIPTION In the following description, numerous specific details are set forth to provide a more thorough description of the specific examples described herein.", "It should be apparent, however, to one skilled in the art, that one or more other examples or variations of these examples may be practiced without all the specific details given below.", "In other instances, well known features have not been described in detail so as not to obscure the description of the examples herein.", "For ease of illustration, the same number labels are used in different diagrams to refer to the same items; however, in alternative examples the items may be different.", "As previously described, chip to chip or wiring platform interconnects are relevant to reliability.", "As described below, wire bond wire tips may be pressed to provide bent over shaped tips for interconnects for a microelectronic device.", "These bent over shaped tips may provide anchors for interconnecting a microelectronic device to an IC, a chip, and/or a wiring platform.", "These anchors may be used to enhance reliability of such interconnects.", "FIGS.", "1 through 3 are respective block diagrams of cross-sectional side views depicting several implementations of a microelectronic device 100.In each implementation, a substrate 150 for a microelectronic device 100 may include an interposer or carrier 102 and a plurality of wire bond wires 110 generally extending from an upper surface 112 of such carrier 102.Wire bond wires 110 may be BVA™ wires.", "Such a substrate 150 for a microelectronic device 100 may further include one or more integrated circuit dies 101.After processing, such substrate 150 may yet further include a molding material layer (“molding layer”) 103.After molding, a substrate 150 may have a lower surface 111 and a generally opposite or opposing upper surface 132 of a molding layer 103, and uppermost portions of wire bond wires 110 of such substrate 150 may extend above upper surface 132.Wire bond wires 110 may be attached to upper conductive pads 105.Upper conductive pads 105 may be in contact with upper surface 112 of carrier 102, in recesses of carrier 102 associated with upper surface 112, and/or in such recesses and extending above upper surface 112.Conductive interconnects 104, such as solder balls for example, may be attached to lower conductive pads 107.Lower conductive pads 107 may be in contact with lower surface 111 of carrier 102, in recesses of carrier 102 associated with lower surface 111, and/or in such recesses and extending below lower surface 111.Upper and lower conductive pads 105 and 107 may be coupled to one another through conductive vias 106.Wire bond wires 110 may have a lower portion (“base”) 125, a middle portion (“arm”) 127, and an upper portion (“tip”) 126.Bases 125 may be attached to conductive pads 105, including without limitation traces or other conductive structures associated with carrier 102.Arms 127 may extend from bases 125 to beyond an upper surface 122 of integrated circuit die 101 in molding layer 103.Tips 126 may extend from arms 127 to locations above upper surface 132 of molding layer 103.Molding layer 103 may be injected or otherwise deposited on upper surface 112 of carrier 102.Molding layer 103 may further be injected or otherwise deposited on upper surface 122 of integrated circuit die 101 for encapsulation of integrated circuit die 101.Bond pads 108 of carrier 102 may be used for wire bonds 109 of lower ends of arched lead-over chip wires 117 to wire bonds 119 of upper ends of wires 117 to bond pads 118 of integrated circuit die 101.In this configuration, a lower surface 121 of integrated circuit die 101 may rest on an upper surface 112 of carrier 102, and bond pads 108 and 118 may respectively be located on upper surfaces 112 and 122.In the implementation of FIG.", "1, arms 127 are generally at a 90 degree angle 123 with respect to upper surface 112.However, in other implementations, such as generally indicated in FIG.", "2, angle 123 may be less than or greater than 90 degrees.", "Furthermore, in FIG.", "2, integrated circuit die 101 is illustratively depicted as being coupled to carrier 102 with microbumps 133 and associated pads 134.Optionally, molding layer 103 may not completely encapsulate integrated circuit die 101, as an upper surface 122 may be exposed.", "Optionally, as illustratively depicted in FIG.", "3, attachment of wire bond wires 110 to carrier 102 may be reinforced with intermetallic compound (“IMC”) structures, such as soldered bases 135.For purposes of clarity and not limitation, many known details, as well as alternative and/or optional configurations, for microelectronic devices 100 have been omitted.", "Along those lines, various configurations, other than those specifically described, may be used in accordance with the following description.", "With reference to FIG.", "4, a block diagram of a top-down view of an exemplary microelectronic device 100 is illustratively depicted.", "In the example, there are two concentric rectangular rings of wire bond wires 110 forming an array 400.However, in other implementations, other numbers of wire bond wires 110, array shapes, array dimensions, and/or other patterns of wire bond wires 110 may be used to provide an array 400.Tips 126 extend above upper surface 132 of molding layer 103.At an interface between tips 126 and arms 127, there may be ledge 401.Ledges 401 may, though need not be, horizontal surfaces projecting from a wall of tips 126.In FIG.", "4, tips 126 have any of four orientations.", "However, these or other orientations of tips 126 may be used.", "With reference to FIG.", "5, a block diagram of a top-down view of an exemplary microelectronic device 100 is illustratively depicted, after tips 126 have been bent over.", "Tips 126 may be bent over to have wall surfaces respectively thereof in contact with or at least proximal to corresponding ledges 401.This bending of tips 126 may be by placing substrate 150 into a molding press, as described below in additional detail.", "Accordingly, during pressing, tips 126 may be bent over in any of a variety of orientations to provide bent over shapes for wire bond wires 110.Even though four orientations 501 through 504 are illustratively depicted by corresponding arrows for directions tips 126 point after bending, these and/or other orientations may be used.", "Furthermore, even though orientations 501 through 504 point inwardly with respect to array 400, namely an open space in the center of array 400, in another implementation outwardly pointing tips 126, or a combination of inwardly and outwardly pointing tips 126, may be used.", "FIG.", "6 is an enlarged side view depicting a pair of wire bond wires 110-1 and 110-2 attached to an upper surface 112 of carrier 102 prior to formation of molding layer 103.Prior to formation of molding layer 103, wire bond wires 110-1 and 110-2 may be attached to pads (not shown in this FIG.)", "along upper surface 112 via corresponding solder bases 135.Bases 125 of wire bond wires 110 may have j-like shapes, with bottoms thereof attached to upper surface 112 for forming wire bond wires 110.However, addition of solder bases 135 may provide additional support for enhanced reliability.", "Wire bond wire 110-2 may have approximately a 90 rotation with respect to orientation of wire bond wire 110-1.Tips 126 may have a generally horizontal surface, namely ledge 401, extending away from a facing wall surface 602 extending above a corresponding ledge 401.Again, ledges 401 may, though need not be, parallel with upper surface 112.Moreover, wall surfaces 602 may, though need not be, orthogonal to corresponding ledges 401.Furthermore, a wall surface 602 may, though need not be, orthogonal to a plane of upper surface 112.In this example, front facing wall surface 602, as well as back facing wall surface 605, of a tip 126 is slightly tapered to narrow tip 126 toward a top surface 601 thereof.", "Right and left side surfaces 604 of a tip 126 may both be tapered to widen tip 126 toward a top surface 601 thereof.", "Along those lines, tip 126 may have a “shovelhead-like” or “fishtail-like” shape with a trapezoidal front facing outline being wider at a distal end than a proximal end to provide such a widened tip 126.This “fishtail-like” shape may be formed when pinching and pulling off a feed wire used to for forming wire bond wires 110.Wire bond wires 110 may be shaped in the formation thereof to provide such a “shovelhead-like” shape with a trapezoidal front facing outline.", "While a shovelhead-like shape may be used to provide a greater surface area for attachment to an IMC structure, other shapes may likewise be used.", "A bending locus may generally be at or slightly above the intersection of ledge 401 and wall surface 602 for a tip 126, namely where a bending radius or bending moment may likely occur when tips 126 are pressed, as described below in additional detail.", "After pressing or bending of tips 126, such tips may have a bent over shape.", "Such bent over shapes provided by bent tips 126 may be used as anchors for solder or other IMC structures.", "FIGS.", "7-1 through 7-6 are a progression of block diagrams of a side cutaway view depicting an exemplary manufacturing process having operations 801 through 806 for forming a microelectronic device 100.FIGS.", "7-1 through 7-6 are further described with simultaneous reference to FIGS.", "1 through 6, where the example of FIG.", "6 is used in FIGS.", "7-1 through 7-6 for purposes of clarity by way of example and not limitation.", "At operation 801, a substrate 150 for microelectronic device 100 may be loaded or placed into a mold press 700.In this example, mold press 700 has a bottom platen 701 and top platen 702.In this example, bottom platen 701 is movable and top platen 702 is stationary.", "Optionally, top platen 702 may optionally include a fixed plate 703 slightly raised or offset from lower surface 706 to define an upper interior region 705 within a cavity 710 between platens 701 and 702.Substrate 150 may be loaded into such cavity 710.However, in other implementations, no upper interior region 705 may be present, as bent over tips may be pressed into an upper surface of a deformable mold assist film, as described below in additional detail.", "For purposes of clarity, known details of a mold press 700 are not described herein.", "After loading at 801, wire bond wires 110 of substrate 150 may extend from upper surface 112 toward an interior upper surface of mold press 700, namely a lower surface 704 of plate 703.A lower surface 111 of substrate 150 may be placed on an interior lower surface defining cavity 710, namely an interior upper surface 709 of lower platen 701 of mold press 700.At operation 802, a mold assist film (“mold film”) 711 may be indexed to a lower surface 706 of top platen 702.Along those lines, an upper surface 712 of a mold film 711 may be brought into contact or conformed to a portion of an interior upper surface, such as lower surface 706 for example, of mold press 700 without leaving a gap between another portion of such interior upper surface, such as interior upper surface 706 for example, of mold press 700 and upper surface 712 of mold film 711.Again, there is no optional gap for upper interior region 705.At operation 803, mold film 711 may be punctured with tips 126 by moving bottom platen 701 in an upward direction 719.Along those lines, a lower surface 721 and an upper surface 712 of mold film 711 may be punctured with tips 126 of wire bond wires 110 for having tips 126 to have tips 126 bent over into upper surface 712 of mold film 711 deforming such upper surface 712 of mold film 711 into an upper interior region thereof, as generally indicated by arrow 723.In this position, substrate 150 is moved by moving lower platen 701 in an upward direction to cause tips 126 to bend toward and onto upper surface 712, as generally indicated by arrow 723.Optionally, upper platen 702 may be moved in a downward direction as generally indicated by arrow 725.Moreover, optionally both upper platen 702 and lower platen 701 may be moved in directions 719 and 725, respectively.", "Furthermore, optionally a lateral movement 729 of upper platen 702 may be used to assist bending of tips 126 to reduce likelihood of cracking of wire bond wires 110 due to application of a compressive force.", "For uses of a lateral movement 729, tips 126 may all have a same orientation.", "At operation 804, tips 126 may continue to be pressed in a generally downward direction to provide shaped bent over tips 126 for wire bond wires 110.In this example, bent over tips 126 may be flattened between lower surface 706 of platen 702 and into a deformable upper surface 712 of mold film 711.This pressing of tips 126 may optionally include flattening or coining of tips 126.Furthermore, in this example, upper surface 712 of mold film 711 may be coplanar with ledges 401.However, in other examples, ledges 401 may extend to or just above upper surface 712, and thus tips 126 may be bent down for stopping on ledges 401 instead of being bent into upper surface 712.At operation 805, a molding material may be injected between upper surface 112 of substrate 150 and lower surface 721 of mold film 711 for molding layer 103.At operation 806, such molding material may be cured to form molding layer 103, and mold film 711 may be used to assist release of substrate 150 with such molding layer 103 from mold press 700.After formation and release of substrate 150 from mold press 700, including removal of mold film 711 from substrate 150, there may be a gap 730 between upper surface 132 of molding layer 103 and ledges 401, and this gap 730 may be associated with a partial thickness of mold film 711, namely a lower portion of a distance between surfaces 712 and 721 of mold film 711.Moreover, bent over tips 126 and uppermost portions of arms 127 corresponding to gap 730 may extend above upper surface 132.Thus, lower surfaces of shaped bent over tips 126 may be spaced-away from upper surface 132 of molding layer 103.In the above example, tips 126 were bent prior to forming a molding layer 103.However, in another implementation, tips 126 may be bent after forming a molding layer 103.In either order, tips 126 may be pressed down against an upper surface 712 of mold film 711, and such tips 126 may thus be bent over leaving a space between bottom surfaces of such tips 126 and upper surface 132 of molding layer 103 after removal of mold film 711.Again, tips 126 may be shaped prior to loading into mold press 700, and such shaped tips 126 may be to provide bending locations for bending.", "The above process may generally be thought of as a pressing of tips prior to molding, namely a pressing-before-molding process.", "The following description may generally be thought of as a pressing-after-molding process.", "FIGS.", "8-1 through 8-4 are a progression of block diagrams of a side cutaway view depicting an exemplary manufacturing process having operations 903 through 906 for forming a microelectronic device 100.FIGS.", "8-1 through 8-4 are further described with simultaneous reference to FIGS.", "1 through 7-6, where the example of FIG.", "6 is used in FIGS.", "8-1 through 8-4 for purposes of clarity by way of example and not limitation.", "Prior to operation 903, operations 801 and 802 may be performed as previously described.", "However, in operation 802 a thicker mold film 711 is indexed to a lower surface of upper platen 702.In the above example of a thinner mold film 711, such mold film thickness may be in a range of approximately 10 to 50 microns, and in the example of a thicker mold film 711, such mold film thickness may be in a range of approximately 50 to 150 microns.", "In other implementations, these or other ranges may be used.", "For example, for anchors using bent over tips 126, longer tips may be used and correspondingly thicker molding film to provide more surface area for anchoring at the expense of a larger pitch.", "Bent over tips 126 may be used as anchors for soldering for example.", "At operation 903, this thicker mold film 711 may be punctured with tips 126 by moving either or both lower platen 701 and upper platen 702, as previously described.", "In this example, lower platen 701 is moved in an upward direction 719.Along those lines, a lower surface 721 and an upper surface 712 of mold film 711 may be punctured with tips 126 of wire bond wires 110 for having at least a substantial portion, if not all, of tips 126 extend above lower surface 721 of mold film 711 into an interior region of mold film 711, namely having such substantial portion of such tips 126 located in mold film 711 between upper surface 712 and lower surface 721 of such mold film 711 prior to molding.", "Optionally, uppermost portions of arms 127 may likewise extend into mold film 711 prior to molding.", "In this example, lower surface 721 is generally co-planar with ledges 401; however, ledges 401 may be above, equal with, and/or below lower surface 721 in this or other implementations.", "At operation 904, a molding material may be injected between upper surface 112 of substrate 150 and lower surface 721 of mold film 711 for molding layer 103.At operation 905, such molding material may be cured to form molding layer 103, and mold film 711 may be used to assist release of substrate 150 from such molding layer 103 and then such mold film 711 may be removed from mold press 700.After formation of molding layer 103 and removal of mold film 711 from substrate 150 at operation 905, upper surface 132 of molding layer 103 and ledges 401 may optionally be co-planar, as in this example.", "However, as previously indicated, such ledges 401 may be above or below upper surface 132.At 906, substrate 150 may be moved by having lower platen 701 moved in an upward direction 719 to cause tips 126 to be pressed into lower surface 706 of upper platen 702 to bend such tips 126 toward upper surface 132, as generally indicated by arrow 723 in FIG.", "8-3.At operation 906, tips 126 may be pressed down against an upper surface 132 of molding layer 103, and such tips 126 may thus be bent over.", "After bending, tips 126 may optionally be against upper surface 132 of molding layer 103, which optionally may leave no readily perceivable gap between bottom surfaces of such tips 126 and upper surface 132 of molding layer 103.Again, tips 126 may be shaped prior to loading into mold press 700, and such shaped tips 126 may be to provide bending locations.", "Pressing of such tips 126 may optionally flatten such tips between upper surface 132 of molding layer 103 and lower surface 706 of upper platen 702.Again, though lower platen 701 may be raised for this pressing, either or both platens may be moved for pressing, as described elsewhere herein, to provide bent over tips 126.Such pressing may further flatten tips, which may spread out such tips 126.After such pressing operation at 906, substrate 150 may be removed from mold press 700.By pressing after molding, arms 127 may have some protection from compressive stresses by molding layer 103.As previously described an uppermost portion of an arm 127 may be embedded in mold film 711.Along those lines, FIG.", "9 is a block diagram of a side cutaway view depicting another exemplary substrate 150 after a manufacturing process having operations for forming a microelectronic device as described above but with an uppermost portion 911 of an arm 127 of wire bond wires 110 embedded in mold film 711.Such uppermost portions 911 of arms 127 of wire bond wires 110 may be adjacent and integral with lowermost portions of such tips 126.Along those lines, a pressing after molding may be used to bend tips 126 over to have an uppermost portion of such tips bent down to be at least proximate to or touching upper surface 132.Such bent over tips 126 may define an underside through region or air gap 913, such as for a hook-like structure or shape for example.", "Optionally, a solder ball 914 may be placed over tips 126, and such bent over tips 126 may be used to anchor such solder balls 914, namely solder anchors which may include completely or partially filing such air gaps 913 with solder to assist in anchoring.", "As previously described an uppermost portion of an arm 127 may be embedded in mold film 711.Along those lines, FIGS.", "10-1 and 10-2 are block diagrams of a side cutaway view depicting yet another exemplary substrate 150 after another manufacturing process having operations for forming a microelectronic device as described above but with an upper portion 912, longer than an uppermost portion 911, of an arm 127 of wire bond wires 110 embedded in mold film 711.Such upper portions 912 of arms 127 of wire bond wires 110 may include such uppermost portions 911 and thus may be adjacent and integral with lowermost portions of such tips 126.Along those lines, a pressing after molding may be used to bend tips 126 over to have an uppermost portion of such tips bent down to be at least proximate to or touching upper surface 132.Such bent over tips 126 may define an underside through region 913, such as for a hook-like structure or shape for example.", "Optionally, a solder ball 914 may be placed over tips 126, and such bent over tips 126 may be used to anchor such solder balls 914, including completely or partially filing such air gaps 913 to assist in anchoring.", "While the foregoing describes exemplary embodiment(s) in accordance with one or more aspects of the invention, other and further embodiment(s) in accordance with the one or more aspects of the invention may be devised without departing from the scope thereof, which is determined by the claim(s) that follow and equivalents thereof.", "Claim(s) listing steps do not imply any order of the steps.", "Trademarks are the property of their respective owners." ] ]
Patent_15875842
[ [ "AUTOMATED UN-MANNED AIR TRAFFIC CONTROL SYSTEM", "A low flying unmanned vehicle is disclosed that may be able to determine whether a collision is possible and may take evasive action in response to the possible collision.", "The vehicle may wirelessly communicate and may use a standard protocol such that a variety of additional objects may be taken into account when determining the possible collision risk." ], [ "1-43.", "(canceled) 44.An apparatus for in-flight collision avoidance in independently-operating unmanned aerial vehicles, the apparatus comprising: a processor and a memory, wherein the memory stores a set of machine-operable instructions operable, when executed by the processor, to: determine first flight operational data at a first computing system, wherein the first flight operational data corresponds to a first planned operation of a first unmanned aerial vehicle over a first area; receive second flight operational data at the first computing system from a second computing system, wherein the second computing system is remote from a second aerial vehicle, the second flight operational data corresponds to the second aerial vehicle, the second flight operational data for controlling the second aerial vehicle over a second area adjacent to the first area; and send a notification to the first computing system upon determining the second flight operational data crosses a threshold with respect to the first flight operational data.", "45.The apparatus of claim 44, wherein the memory stores further machine-operable instructions that are operable, when executed by the processor, to allow modification of the first flight operational data at the first computing system based on the notification.", "46.The apparatus of claim 44, wherein the memory stores further machine-operable instructions that are operable, when executed by the processor, to display the notification within a user interface of the first computing system.", "47.The apparatus of claim 45, wherein the memory stores further machine-operable instructions that are operable, when executed by the processor, to wirelessly communicate the first flight operational data, a modified first flight operational data, and the second flight operational data to a remote collection site.", "48.The apparatus of claim 47, wherein the memory stores further machine-operable instructions that are operable to wirelessly communicate the first flight operational data, the modified first flight operational data, and the second flight operational data in an ADS-b communication format when an altitude of the first unmanned aerial vehicle is equal to or greater than 1500 feet and in a cellular communication format when the altitude of the first unmanned aerial vehicle is less than 1500 feet.", "49.The apparatus of claim 47, wherein the memory stores further machine-operable instructions that are operable, when executed by the processor, to determine relevant object data at the second computing device, wherein the relevant object data is within the second area and over the threshold.", "50.The apparatus of claim 49, wherein the second flight operational data wirelessly communicated to the remote collection site includes the relevant object data.", "51.The apparatus of claim 44, wherein the second flight operational data further corresponds to a manned aerial vehicle.", "52.A system for in-flight collision avoidance in independently-operating unmanned aerial vehicles, the system comprising: a first computing system for operation of a first unmanned aerial vehicle over a first area, wherein the first computing system includes a first processor, a first memory, and a first communication device for sending and receiving wireless communication, the first memory includes, for the first unmanned aerial vehicle, first flight operational data corresponding to the first unmanned aerial vehicle within the first area; and a second computing system for operation of a second aerial vehicle over a second area, wherein the second area is adjacent to the first area, the second computing system is remote from the second aerial vehicle and includes a second processor, a second memory, and a second communication device for sending and receiving wireless communication, the second memory includes, for the second unmanned aerial vehicle, second flight operational data corresponding to the second unmanned aerial vehicle and for controlling the second aerial vehicle within the second area; wherein the first memory further stores a set of machine-operable instructions operable, when executed by the first processor, to: receive the second flight operational data at the first computing system; and send a notification to the first computing system upon determining the second flight operational data crosses a threshold with respect to the first flight operational data.", "53.The system of claim 52, wherein the first memory stores further machine-operable instructions that are operable, when executed by the first processor, to allow modification of the first flight operational data at the first computing system based on the notification.", "54.The system of claim 52, wherein the first memory stores further machine-operable instructions that are operable, when executed by the processor, to display the notification within a user interface of the first computing system.", "55.The system of claim 53, wherein the second memory stores further machine-operable instructions that are operable, when executed by the second processor, to wirelessly communicate the first flight operational data, a modified first flight operational data, and the second flight operational data to a remote collection site.", "56.The system of claim 55, wherein the second memory stores further machine-operable instructions that are operable, when executed by the second processor, to wirelessly communicate the first flight operational data, the modified first flight operational data, and the second flight operational data in an ADS-b communication format when an altitude of the first unmanned aerial vehicle is equal to or greater than 1500 feet and in a cellular communication format when the altitude of the first unmanned aerial vehicle is less than 1500 feet.", "57.The system of claim 55, wherein the second memory stores further machine-operable instructions that are operable, when executed by the second processor, to determine relevant object data at the second computing device, wherein the relevant object data is within the second area and over the threshold.", "58.The system of claim 57, wherein the second flight operational data wirelessly communicated to the remote collection site includes the relevant object data.", "59.The system of claim 52, wherein the second flight operational data further corresponds to a manned aerial vehicle.", "60.A computer-implemented method for in-flight collision avoidance in independently-operating aerial vehicles, the method comprising: determining first flight operational data at a first computing system, wherein the first flight operational data corresponds to a first planned operation of a first unmanned aerial vehicle over a first area; receiving second flight operational data at the first computing system from a second computing system, wherein the second computing system is remote from a second aerial vehicle, the second flight operational data corresponds to the second aerial vehicle, the second flight operational data for controlling the second aerial vehicle over a second area adjacent to the first area; and sending a notification to the first computing system upon determining the second flight operational data crosses a threshold with respect to the first flight operational data.", "61.The computer-implemented method of claim 58, further comprising allowing modification of the first flight operational data at the first computing system based on the notification.", "62.The computer-implemented method of claim 60, further comprising displaying the notification within a user interface of the first computing system.", "63.The computer-implemented method of claim 61, further comprising wirelessly communicating the first flight operational data, a modified first flight operational data, and the second flight operational data to a remote collection site.", "64.The computer-implemented method of claim 63, further comprising wirelessly communicating the first flight operational data, the modified first flight operational data, and the second flight operational data in an ADS-b communication format when an altitude of the first unmanned aerial vehicle is equal to or greater than 1500 feet and in a cellular communication format when the altitude of the first unmanned aerial vehicle is less than 1500 feet.", "65.The computer-implemented method of claim 63, further comprising determining relevant object data at the second computing device, wherein the relevant object data is within the second area and over the threshold.", "66.The computer-implemented method of claim 65, wherein the second flight operational data wirelessly communicated to the remote collection site includes the relevant object data.", "67.The computer-implemented method of claim 60, wherein the second flight operational data further corresponds to a manned aerial vehicle." ], [ "<SOH> BACKGROUND <EOH>Low flying unmanned vehicles have become more common and have more and more uses.", "As low flying vehicles become more common, the likelihood of collisions with trees, commercial airliners, buildings, and other unmanned vehicles becomes more likely.", "Trying to avoid collisions becomes more difficult as vehicles do not communicate with each other or FAA air traffic controllers and may take evasive action which made make collisions more probable rather than less likely." ], [ "<SOH> SUMMARY <EOH>A low flying unmanned vehicle is disclosed that may be able to determine whether a collision is possible and may take evasive action in response to the possible collision.", "The vehicle may wirelessly communicate (e.g., using cellular, satellite, WiFi, WiMax, etc.", "communication) and may use a standard protocol such that a variety of additional objects may be taken into account when determining the possible collision risk.", "In operation, the vehicle may receive flight data from one or more objects, may determine the location of the vehicles at a plurality of points in time in the future and may determine if a collision is probable.", "If a collision is probable, evasive data may be communicated to the vehicle.", "The flight data may be from a variety of vehicles, including commercial aircraft and other unmanned vehicles." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application claims the benefit of, and priority to, U.S. Non-Provisional application Ser.", "No.", "14/847,910, filed Sep. 8, 2015, titled “Automated Un-Manned Air Traffic Control System,” which claims benefit of, and priority to, U.S. Prov.", "App.", "Ser.", "No.", "62/046,619, filed Sep. 5, 2014, titled “Low Flying Collision Detection and Avoidance,” and U.S. Prov.", "App.", "Ser.", "No.", "62/139,272, filed Mar.", "27, 2015, titled “Low Flying Collision Detection, Avoidance and Return System”, the content of each of which is incorporated herein by reference in its entirety.", "BACKGROUND Low flying unmanned vehicles have become more common and have more and more uses.", "As low flying vehicles become more common, the likelihood of collisions with trees, commercial airliners, buildings, and other unmanned vehicles becomes more likely.", "Trying to avoid collisions becomes more difficult as vehicles do not communicate with each other or FAA air traffic controllers and may take evasive action which made make collisions more probable rather than less likely.", "SUMMARY A low flying unmanned vehicle is disclosed that may be able to determine whether a collision is possible and may take evasive action in response to the possible collision.", "The vehicle may wirelessly communicate (e.g., using cellular, satellite, WiFi, WiMax, etc.", "communication) and may use a standard protocol such that a variety of additional objects may be taken into account when determining the possible collision risk.", "In operation, the vehicle may receive flight data from one or more objects, may determine the location of the vehicles at a plurality of points in time in the future and may determine if a collision is probable.", "If a collision is probable, evasive data may be communicated to the vehicle.", "The flight data may be from a variety of vehicles, including commercial aircraft and other unmanned vehicles.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 may illustrate a method of information reporting and avoidance for a low flying unmanned vehicle; FIG.", "2a may illustrate that a vehicle may have a processor and related computing equipment to control the path and course of the vehicle; FIG.", "2b may illustrate that the vehicle may have a processor and related computing equipment to control the path and course of the vehicle; FIG.", "3 may illustrate that the processor and memory may be mounted on a circuit board and the circuit board may be a load bearing member of the vehicle; FIG.", "4a may illustrate a sample outbound packet; FIG.", "4b may illustrate a sample inbound packet; FIG.", "5a may illustrate a method of collecting and communicating additional data; FIG.", "5b may illustrate equipment that may be used to collect the additional data; FIG.", "6 may illustrate one way to determine whether an object is a relevant object worthy of reporting to a central collection point; FIG.", "7 may illustrate a method of adjusting operational data of the vehicle; FIG.", "8 may illustrate flight paths of vehicles; FIG.", "9a may illustrate several aspects of a system; FIG.", "9b may illustrate a backend of the system; FIG.", "9c may illustrate elements of a user interface to the system; FIG.", "10 may illustrate a device with a processor; and FIG.", "11 may illustrate a user interface.", "DETAILED DESCRIPTION At a high level, a low flying unmanned vehicle 100 is disclosed that may be able to determine whether a collision is possible and may take evasive action in response to the possible collision.", "The vehicle 100 may wirelessly communicate (e.g., using cellular, satellite, WiFi, WiMax, etc.", "communication) and may use a standard protocol such that a variety of additional objects 111 may be taken into account when determining the possible collision risk.", "FIG.", "1 may illustrate a method of information reporting and avoidance for a low flying unmanned vehicle 100.The vehicle 100 may be an airplane or flying vehicle 100 which is too small to contain an adult human but may be small and light enough to be carried by an adult human.", "Further, the vehicle 100 may be of a size that it might fit into a standard van or the truck of a standard car.", "In addition, the device may be modular such that parts of the device may be easily removed and reattached.", "For example, the wings may be connected and disconnected in a manner that the wings are securely attached during flight but may be disconnected during travel to and from a location to make transporting the device easier.", "Similarly, the tail or computing system may be removed to allow easier transportation.", "Referring briefly to FIG.", "3, the vehicle 100 may have a propulsion device such as a gas motor or an electric motor 305 which may be connected to a propeller 315.The vehicle 100 also may have height adjustments through adjustable wings 325 and directional adjustments through a rudder 335 on a rear stabilizer 345.It may have a payload bay 355 that may be able to accept a variety of different sensors such as cameras and video devices.", "It may be able to land and, in some embodiments, the propellers may rotate to allow for vertical take-off and landing.", "In other embodiments, the vehicle 100 may have landing gear which may be retractable or permanent.", "A sample vehicle 100 may be described in U.S. patent application Ser.", "No.", "13/892,358 filed May 13, 2013, which is incorporated by reference in all aspects.", "By low flying, the vehicle 100 may be designed to stay below 1,000 feet for example and not limitation.", "The vehicle 100 may be designed to stay below the flight path of traditional aircraft in most situations.", "In some embodiments, the vehicle 100 may fly higher depending on the purpose of the flight, the surrounding conditions and other environmental factors.", "The vehicle 100 may be unmanned.", "It may be flown be remote control or it may have intelligence and may fly according to a programmed flight path.", "In addition, it may execute a combination of a preprogrammed flight path and remote control.", "Further, in the described embodiment, the vehicle 100 may have intelligence to receive data and adjust its flight path in response to the received data.", "As illustrated in FIG.", "2, the vehicle 100 may have a processor 202 and related computing equipment to control the path and course of the vehicle.", "The processor 202 may be physically configured to execute instructions that may be stored in a non-transitory memory.", "As illustrated in FIG.", "3, the processor 202 and memory may be mounted on a circuit board 365 and the circuit board 365 may be a load bearing member of the vehicle.", "Referring again to FIG.", "1, at block 110, flight operational data for the vehicle 100 may be determined.", "Logically, a flying vehicle 100 may collect a significant amount of flight operational data.", "In some embodiments, the flight operation data 250 may include a vehicle identifier, a present vehicle position, a present vehicle airspeed and a present vehicle heading.", "Some sample operational data may be illustrated in FIG.", "2b.", "The operational data 250 described is merely exemplary and is not meant to be limiting.", "The vehicle identifier may be a unique code used to identify the vehicle 100.Traditional aviation vehicle 100 may have unique identifiers such as serial numbers and serial numbers may also be used as part of the vehicle identifier.", "In addition, vehicles often have a code name applied to them such as TWA 1456 and a similar naming function may be used to name the vehicles discussed herein.", "Further, other combinations and naming conventions may be possible and are contemplated.", "A present vehicle 100 position may be a location in space and may be reported using GPS coordinates.", "In other embodiments, an aerospace coordinate system may be used such as the Aerospace Blockset coordinate system, other x, y, z coordinate systems such as north east down coordinates, Earth-centered inertial systems, systems used by AC3D systems, etc.", "In yet another embodiment, the vehicle 100 position may be reported in relation to a set point such as a radio tower, a building, etc.", "The present airspeed of vehicle 100 may simply be an indication of velocity such as in miles per hour.", "In another embodiment, the airspeed may also include other measures such as kilometers per hour or meters per minute.", "The present heading of vehicle 100 may indicate a heading or direction of the vehicle.", "The heading may be in terms of degree off north or degrees from a fixed location.", "In some embodiments, the heading may be user defined.", "In some embodiments, there may be additional flight operational data 505 (FIG.", "5b).", "The additional data 505 may be communicated more infrequently, such as at the start of a flight or once a time period, such as once every ten minutes.", "In other embodiments, the additional data 505 may be communicated just as frequently as the operational flight 250 data already described.", "Some of the additional data 505 may be communicated more frequently than other additional data 505.The additional flight data 505 may include planned operation data, where the planned operation data may include a planned vehicle 100 position, a planned vehicle 100 airspeed and a planned heading.", "Logically, the planned additional operational data may be for a limited time horizon as trying to communicate all future planned data may be difficult.", "In addition, the additional data 505 may include an item containing planned waypoints.", "Further, the planned route as it is stored onboard the aircraft may be viewed by an operator or remotely on a computer in communication with the vehicle 100 or the central storage device.", "The additional operational data 505 may also include a pilot identifier.", "The pilot may be the person that is monitoring the flight, may be the person that programmed the flight or may be the owner of the vehicle.", "The pilot identifier may be a name, a number, an alpha-numeric combination or other code that may be used to identify a person responsible for the flight.", "The additional operational data 505 may also include a flight plan identifier.", "The flight plan identifier may be a code that is used to identify projected path of a flight.", "The code may be unique for a time period and the other intended operational details of the flight may be accessed by accessing a database using the flight plan.", "The additional operational data 505 may also include a current latitude and longitude of the device.", "The latitude and longitude may be used to quickly identify the location of the device.", "Further, the additional operational data 505 may include the present altitude of the device, a rate of climb or decent of the device, a roll, pitch and yaw of the device.", "Such additional operational data 505 may be used to more finely track the location of the device and where the device will be in a point in time in the future.", "Again, not all the additional operational data 505 may be communicated at all times and some of the additional operational data 505 may be communicated more often than other additional operational data 505.Finally, the additional operational data 505 may include any emergency status, an emergency type, an emergency description, whether an evasive maneuver has been performed and a packet checksum which may be used to ensure that a communication has been accurately communicated and accurately received.", "Referring again to FIG.", "1, at block 120 an outbound data packet may be created that may include the desired operational data.", "The format of the outbound packet may follow a common pattern and the pattern may be predetermined.", "In some embodiments, the outbound packet may be in the form of an application programming interface (API) that may be expected by a central collection service.", "A sample outbound packet may be illustrated in FIG.", "4a and may be described below.", "Sample Packet (OutBound) 1.Randomized Packet ID (410) 2.Date/Time (420) 3.Flight ID (per flight identifier) (430) 4.Pilot Information (440) a.", "Name (441) b.", "Contact info (442) c. Credentials (443) 5.Aircraft Information (450) a.", "Make (451) b.", "Model (452) c. Weight (453) d. Category (454) e. Tail Number (455) 6.Flight Plan information (460) a.", "Flight plan ID (461) b.", "Planned altitudes (462) c. Planed flight path (463) 7.Live Telemetry Information (470) a.", "Location (471) b.", "Speed (472) c. Heading (473) d. Altitude (474) 8.Additional Information (480) a.", "May contain a number of pre-defined optional parameters (Emergency information, etc.", ".", ".", ". )", "9.Checksum (490) A sample inbound packet may be illustrated in FIG.", "4b and is described below.", "1.Randomized Packet ID (4010) 2.Date/Time (4020) 3.Targeted flight number (4030) 4.Targeted tail number (4040) 5.Potential air vehicle obstacles (sent in array for scalability) (4050) a.", "Location (4051) b.", "Speed (4052) c. Altitude (4053) d. Heading (4054) e. Climb/decent rate (4055) f. Aircraft classification (4056) 6.Potential ground obstacle (sent in array for scalability) (4060) a.", "Location (4061) b. Altitude (4062) 7.ATC instruction Information (4070) a.", "Land (4071) b. Terminate Flight (4072) c. Adjust altitude (4073) 8.Additional Information (4080) a.", "May contain a number of pre-defined optional parameters (Emergency information, etc.", ".", ".", ". )", "9.Checksum (4090) The length and format of the various elements of the inbound and outbound packets may be many and varied.", "For example, element 440 may be an array of data or may be a given set of elements.", "In addition, the order of the data elements may vary.", "Further, not every packet may be the same format as an emergency packet may be short while a change of course packet may be large.", "Of course, the outbound data packets may be encrypted.", "The encryption may take on a variety of forms and may include key exchange encryption.", "In some embodiments, the outbound packet may be formatted such that traditional aviation services may be able to receive and parse the packets if desired.", "The outbound packet may contain additional information to indicate that the vehicle 100 is a low flying unmanned vehicle 100.In some embodiments, the vehicle 100 may have one or more sensors 375 that may collect sensor data.", "FIG.", "5a may illustrate a method of collecting and communicating additional data and FIG.", "5b may illustrate the equipment that may be used to collect the sensor data 385.Similar to the operational data, the sensor data 385 may be collected at block 500, stored in a memory at block 510, packaged in a way to be easily communicated at block 520 and communicated to a center collection point at block 530.Sensor data 385 may be a variety of data.", "As explained in U.S. patent application Ser.", "No.", "13/892,358, which is incorporated by reference, the sensors 375 may be plugged and exchanged into the circuit board 375 and a plurality of sensors 375 may be plugged into the same circuit board 365 at the same time.", "The sensor 375 may be placed in the payload bay 355 or in other locations as needed.", "Some sample sensors 375 (and not limitations) include traditional image sensors, sensors of ranges outside human vision such as infrared, sound sensors, light sensors, LIDAR sensors, ultrasound sensors, etc.", "In some embodiments, the sensor data 385 may be communicated in the same format as the operational data 250.In other embodiments, the sensor data 385 may be communicated in a separate format than the operational data.", "Logically, traditional air traffic networks may have little or no use for sensor data 385 meaning such data may be communicated in a different frequency in a different manner than operational data 250 to make it easier for the sensor data 385 to be ignored.", "The sensor data 385 may be analyzed for relevant objects and the relevant objects may be communicated to a central collection point.", "The relevant objects may be determined in a variety of ways.", "In one embodiment, relevant objects may be determined to be over a threshold of relevance.", "FIG.", "6 may illustrate one way to determine whether an object is relevant object worthy of reporting to the central collection point and FIG.", "8 may illustrate a plurality of objects.", "At block 600, a location for the low flying unmanned vehicle 100 may be determined in a first time period.", "At block 610, a radius 705 around the location of the low flying unmanned vehicle 100 in the first time period may be determined.", "The radius 705 may vary depending on a variety of factors.", "In some embodiments, the radius 705 may be greater if the vehicle 100 is traveling at a high rate of speed such that the vehicle 100 may encounter other vehicles in a short period of time.", "Similarly, the radius 705 may be greater if other nearby objects 110 are moving at high rates of speed such that the vehicle 100 may encounter other vehicles 110 in a short period of time.", "At block 620, it may be determined whether any additional vehicles 110 will be within the radius 705 around the location of the flying unmanned vehicle 100 in the first time period based on an intended operational data of the additional vehicles 110.For example, the additional time period may be 60 seconds.", "Below is a pseudo code example of the vehicle 100 determining whether any additional vehicles will be within the radius 705.In an example, the vehicle 100 may search for nearby objects, referred to as “aggressors”, and list the aggressors in a table as set forth in the below pseudo code.", "Receive Possible Aggressor Table getNearObjects( ) The vehicle 100 may use radar, GPS, or any other technology to locate vehicles that are within a predetermined distance (e.g., within 10 miles).", "The vehicle 100 may perform a process similar to the below pseudo code to determine whether any of the identified nearby objects may be within the radius 705 in a particular time period.", "For example, the vehicle 100 may determine the current location of itself relative to each object, determine a radial distance in latitude and longitude between itself and each object, and use the radial distance to determine a current distance between itself and each object considering the curvature of the earth.", "Receive Possible Aggressor Table getNearObjects( ) For each Object in getNearObjects Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # Identifier assigned to Vehicle 100 AggressorObject.Latitude=35.866864 AggressorObject.Longitude=−78.799637 AggressorObject.Altitude=50 # meters AggressorObject.Speed=18 # meters/sec AggressorObject.Track=103.59 AggressorObject.ID=13830 # coded UAV ID uavFenceRadius.Critical=1437.38 # meters uavFenceRadius.Warning=2046.72 # meters Calculate_Distance (currentObject, AggressorObject) constR=6371000.0 # radius of earth in meters phi_1=radians(currentObject.Latitude) phi_2=radians(AggressorObject.Latitude) delta_phi=radians(AggressorObject.Latitude−currentObject.Latitude) delta_lambda=radians(AggressorObject.Longitude−currentObject.Longitude) The vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and each vehicle based on the curvature of the earth.", "This distance is referenced as “ResultRange” in the below pseudo code.", "At block 630, in response to the determination that the additional vehicles 110 will be within the radius around the location of the low flying unmanned vehicle 100 in the first time period based on the intended path of the additional vehicles 110, the relevant object may be determined as being over the threshold.", "Below is a pseudo code example for determining whether an object is determined to be over the threshold.", "For example, different thresholds may be set up based on how near an additional vehicle 110 is to vehicle 100.The different thresholds may be used by vehicle 100 to determine whether to issue a warning or whether to take evasive maneuvers.", "Evasive maneuvers may include the vehicle 100 determining a safe location and causing the vehicle to move toward the safe location.", "Check_Aggressor (ResultRange, uavFenceRadius) If ResultRange<uavFenceRadius.Critical safeLocation.Latitude=getSafeLocation.Latitude safeLocation.Longitude=getSafeLocation.Longtitude logOverrideEnabled( ) notifyOverrideEnabled( ) overrideToSafeLocation( ) If ResultRange<uavFenceRadius.Warning logOverrideWarning( ) notifyOverrideEnabled( ) At block 640, flight operational data 250 may be adjusted on the vehicle 100 based on the relevant objects 110.FIG.", "7 may illustrate a method of adjusting the operational data of the vehicle.", "At block 700, the flight path 805 of the additional vehicles 110 may be determined.", "The flight path may be determined in a variety of ways.", "In one embodiment, the operational data 250 may be analyzed to determine the location of a first additional vehicle 110 at a plurality of times in the future.", "Similarly, the location of all the additional vehicles 110 at a plurality of times in the future may be determined.", "The locations may be analyzed to determine if the additional vehicles 110 may be within the radius 705 of the unmanned vehicle 100 at a point in the future.", "In some embodiments, other vehicles 110 may not be broadcasting their operational data 250.The sensors 375 on the vehicle 100 may observe the other vehicle 110.The locations and flight operation data 250 may be determined for the other vehicles 110.For example, the distance travel over a period of time may be used to determine a speed of the additional vehicle 110.Similarly, the height of the additional vehicle 110 and the changes in direction may be observed and changes may be determined to create a flight path 805 for the observed additional vehicle 110 at points in time in the future assuming the flight path stays the same.", "Below is a pseudo code example for using sensors 375 to observe the other vehicle 110.The vehicle 100 may perform an algorithm similar to the pseudo code provided above, but instead may use sensor data to determine a current latitude, longitude, speed, and track for one or more additional vehicles 110.CheckUAVSensorArray getUAVSensorArray( ) For each Object in getUAVSensorArray SendUAVSensorArrayo Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # Identifier assigned to vehicle 100 AggressorObject.Latitude=35.866864 AggressorObject.Longitude=−78.799637 AggressorObject.Altitude=50 # meters AggressorObject.Speed=18 # meters/sec AggressorObject.Track=103.59 AgressorObject.ID=13830 # coded UAV ID uavFenceRadius.Critical=1437.38 # meters uavFenceRadius.Warning=2046.72 # meters Calculate_Distance (currentObject, uavFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(AggressorObject.Latitude) delta_phi=radians(AggressorObject.Latitude−currentObject.Latitude) delta_lambda=radians(AggressorObject.Longitude−currentObject.Longitude) Similar to the example provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and each additional vehicle 110 based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or to determine that a distance is critical potentially requiring vehicle 100 to initiate evasive maneuvers.", "Check_Aggressor (ResultRange, uavFenceRadius) If ResultRange<uavFenceRadius.Critical safeLocation.Latitude=getSafeLocation.Latitude safeLocation.Longitude=getSafeLocation.Longtitude logOverrideEnabled( ) notifyOverrideEnabled( ) overrideToSafeLocation( ) If ResultRange<uavFenceRadius.Warning logOverrideWarning( ) notifyOverrideEnabled( ) In some embodiments, operational data 250 may include fixed object data on fixed objects like radio antennas, large buildings, tall buildings, trees, power lines, mountains, cliffs, waypoints, etc.", "The fixed object data may be known and may be communicated to the vehicle 100 from the central collection point 545.For example, small aircraft often use maps of known fixed objects to aid in navigation and these maps may be converted into digital data which may be useable by the vehicle 100.The communication may occur during set up for a flight based on an intended flight plan or may be communicated to the vehicle 100 in real time during the flight or traveling activity.", "In any of the embodiments, the fixed object data may be used to assist in not interfering with any fixed objects.", "Below is a pseudo code example of loading data on a geofence, which may correspond to a particular geographic location of a particular fixed object, for creating an intended flight plan to avoid fixed objects.", "Receive GeoFence Table LoadGeoFence( ) For each Object in LoadGeoFence Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # Identifier assigned to vehicle 100 GeoFenceObject.Latitude=35.866864 GeoFenceObject.Longitude=−78.799637 GeoFenceObject.Height=20 # meters GeoFenceObject.ID=000483 # coded Airport code or Other Object geoFenceRadius.Critical=4437.38 # meters geoFenceRadius.Warning=5046.72 # meters Calculate_Distance (currentObject, GeoFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(GeoFenceObject.Latitude) delta_phi=radians(GeoFenceObject.Latitude−currentObject.Latitude) delta_lambda=radians(GeoFenceObject.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and each fixed object based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or to determine that a distance is critical potentially requiring vehicle 100 to initiate evasive maneuvers to avoid one or more objects.", "Check_GeoFence (ResultRange, geoFenceRadius) If ResultRange<geoFenceRadius.Critical safeLocation.Latitude=getSafeLocation.Latitude safeLocation.Longitude=getSafeLocation.Longtitude logOverrideEnabled( ) notifyOverrideEnabled( ) overrideToSafeLocation( ) If ResultRange<geoFenceRadius.Warning logOverrideWarning( ) notifyOverrideEnabled( ) In addition, the vehicle 100 may use sensors 375 to sense fixed objects, including in areas where fixed objects have not been determined previously.", "The data on the location, height and width of the fixed objects may be determined and may be communicated to the central collection point 545 where it may be added to the fixed object data.", "In addition, the collected fixed object data may be used to verify the fixed object data store at the central server 545.Below is a pseudo code example where sensors 375 sense fixed objects for collision avoidance.", "CheckGeoSensorArray getGeoSensorArray( ) For each Object in getGeoSensorArray SendGeoSensorArray( ) Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # Identifier assigned to vehicle 100 GeoFenceObject.Latitude=35.866864 GeoFenceObject.Longitude=−78.799637 GeoFenceObject.Height=22 # meters GeoFenceObject.ID=000483 # coded Airport code or Other Object geoFenceRadius.Critical=1437.38 # meters geoFenceRadius.Warning=2046.72 # meters Calculate_Distance (currentObject, GeoFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(GeoFenceObject.Latitude) delta_phi=radians(GeoFenceObject.Latitude−currentObject.Latitude) delta_lambda=radians(GeoFenceObject.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and each sensed fixed object based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or to determine that a distance is critical potentially requiring vehicle 100 to initiate evasive maneuvers.", "Check_Aggressor (ResultRange, geoFenceRadius) If ResultRange<geoFenceRadius.Critical safeLocation.Latitude=getSafeLocation.Latitude safeLocation.Longitude=getSafeLocation.Longtitude logOverrideEnabled( ) notifyOverrideEnabled( ) overrideToSafeLocation( ) If ResultRange<geoFenceRadius.Warning logOverrideWarning( ) notifyOverrideEnabled( ) In yet some additional embodiments, weather conditions may also be communicated to the vehicle.", "In many operating environments, the weather may not be a significant factor but in other embodiments, the weather may affect the flight or travel of the vehicle 100.For example, a steady crosswind may affect a proposed course and the trim of a flying vehicle 100 may be adjusted in advance to account for the cross wind.", "Logically, the vehicle 100 may be able to adjust while in route but the adjustment may be less drastic if the weather is factored into operational data 250 in advance.", "At block 710, it may be determined if the flight path of the additional vehicles 805 would interfere with the flight path 810 of the low flying unmanned vehicle 100.Logically, the location of the vehicles 110 at points in the future may be determined using the operation data 250 and it may be determined if the locations may be with a given radius 705.At block 720, in response to determining that the flight path of the additional vehicles 805 would interfere with the flight path 810 of the low flying unmanned vehicle 100, the flight path 810 of the low flying unmanned vehicle 100 may be adjusted to avoid the additional vehicle 110.At block 730, the flight path 810 of the low flying unmanned vehicle 100 may be adjusted to avoid the additional vehicle 100 by analyzing at least one of the low flying unmanned vehicle's performance ratings, roll, pitch yaw.", "In addition, the determining may include analyzing at least one of the following of the additional vehicle's 110 classification, speed, altitude and climb/decent rate.", "Below is a pseudo code example for determining that a flight path may interfere and adjusting the flight path.", "Initially, the vehicle 100 may identify and calculate the distance to each nearby vehicle 110.Receive Possible Aggressor Table getNearObjects( ) For each Object in getNearObjects SendNearObjectCalculated Path( ) Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # Identifier assigned to vehicle 100 AggressorObject.Latitude=35.866864 AggressorObject.Longitude=−78.799637 AggressorObject.Altitude=50 # meters AggressorObject.Speed=18 # meters/sec AggressorObject.Track=103.59 AgressorObject.ID=13830 # coded UAV ID localUAVPerformance=getUAVCaps(currentObject.ID) AggressorCapabilities=getUAVCaps(AgressorObject.ID) After determining the location of each additional vehicle 110, vehicle 100 may determine if its flight path may overlap at some future point in time with a flight path for any additional vehicle 110.Calculate_Overlap (currentObject, AggressorObject) localUAVPerformace=getUAVPerformance( ) localX=cos(currentObject.Latitude)*cos(currentObject.Longitude) localY=sin(currentObject.Longitude)*cos(currentObject.Latitude) localZ=sin(currentObject.Latitude) AggressorX=cos(AggressorObject.Latitude)*cos(currentObject.Longitude) AggressorY=sin(AggressorObject.Longitude)*cos(currentObject.Latitude) AggressorZ=sin(AggressorObject.Latitude) solveProjection: intersectionObject=find Intersection Point(localX, localY, localZ, AggressorX, AggressorY, AggressorZ) centerObject=projectazimuth(intersectionObject) intersectionSolution=solveIntersection(centerObject, localXYZ, AggressorXYZ) if (intersectionSolution>intersectionSolution+Threshold) GoTo solveProjection: Else criticalPoint.Latitude=getLatitude(intersectionSolution.XYZ) critical Point.Longitude=getLongitude(intersectionSolution.XYZ) To determine whether at some time the flight path of an additional vehicle 110 potentially would intersect with the vehicle's flight path—referred to as the “critical point”—the vehicle 100 may periodically (or continuously) calculate the distance between itself and the critical point.", "Calculate_Distance (currentObject, criticalPoint) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(criticalPoint.Latitude) delta_phi=radians(criticalPoint.Latitude−currentObject.Latitude) delta_lambda=radians(critical Point.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and the critical point based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or to determine that a distance is critical potentially requiring vehicle 100 to initiate evasive maneuvers.", "Below is example pseudo code for taking evasive maneuvers.", "update_track (criticalPoint, localUAVPerformace, currentObject.Altitude, aggressorObject.Altitude, ResultRange) activateAvoidance(localUAVPerformance, ResultRange, currentObject.Altitude) escapeVector=optimizeFlightPath(criticalPoint) activateUpdatedTrack(escapeVector) In some examples, the additional vehicle 110 may be a commercial airliner and the flight path 805 of the additional vehicle 110 may be received from a traditional airline flight path data tracking system.", "As is known, commercial aircraft broadcast their location to airline control systems.", "This data may be used to determine operational data 250 about the commercial flights.", "In yet another embodiment, the vehicle 100 may use sensors 375 that sense for commercial aircraft using known flight data, and determine operational data 250 about the sensed aircraft.", "For example, apps exist for a portable computing device which may recognize commercial aircraft.", "The data may then be matched with known flight plans to determine the likely path of the commercial airliner.", "As an obvious example, the vehicle 100 may recognize a flight and determine if the flight is taking off (where it will likely rise) and if a flights is landing (where the flight will likely descend).", "Referring again to FIG.", "1, at block 130, the outbound data packet may be formatted to be wirelessly communicated, for example, over a mobile communication network 515 (FIG.", "5).", "The formatting may take on a variety of forms and other communication networks may also be used (e.g., a satellite, WiFi, WiMax, etc.", "communication network).", "In one embodiment, the formatting 515 may include creating at least one selected from the group of a SMS message, a cellular transmission 525, a satellite data transfer or an ADS-b communication 535.The choice of communication form may relate to the height of the vehicle 100.For example, if the vehicle 100 is above 1500 feet (532), ADS-b may be used and if the vehicle is below 1500 feet, cellular technology may be used.", "In addition, the strength of the various communication forms may be analyzed and the strongest signal may be selected for communication.", "At block 140, the outbound data packet may be wirelessly communicated (e.g., over the mobile communication network) to a collection site 545.The collection site 545 may include a communication device for receiving mobile communication.", "The central collection point 545 may have a variety of forms.", "In one embodiment, the central collection point 545 may be a plurality of computing systems which communicate with each other.", "The central collection point 545 may accept communications that represent traditional airline flight paths and communicates unmanned flight data to the traditional airline flight path data tracking system.", "At block 150, an inbound data packet may be received from the collection site.", "The inbound data packet may include data regarding relevant objects 110 determined to be over a threshold as explained previously.", "In some embodiments, receiving an inbound data packet from the collection site 545 may also require that the inbound data packet include data regarding relevant objects 110 where relevant objects 110 have been determined to be over a relevance threshold.", "As mentioned previously, the relevance threshold may include determining if the object 110 will be in range of the unmanned flying object 100 within a time threshold or a distance threshold.", "The collection site 545 may include a plurality of communication formats and the object 110 may be an immovable object or a moveable object.", "At block 160, flight operational data 250 may be adjusted on the vehicle 100 based on the relevant objects 110.The method may take a variety of actions from changing direction, to changing height and to adjusting speed or a combination of any of the various adjustments.", "For example, the vehicle 100 may speed up and turn right to avoid an incoming additional object 110.The device 100 may have a variety of hardware to implement the vehicle 100.Referring to FIG.", "2, the vehicle 100 may have a GPS chip 215 which may collect location information which may be parsed by the CPU 160 for necessary information.", "As mentioned previously, the GPS data may be communicated in its raw format at times received from various satellites, may be converted into latitude and longitude or may be converted into yet another form that may be helpful in determining locations and flight paths.", "The vehicle 100 may also have a cellular network processing chip 525 which may accept commands from the CPU 160 to send encrypted data over a cellular network to a ground based server 545 and may receive and read information sent to the cellular chip 525 from the central server 545 and send to the CPU 160 for parsing.", "The cellular network processing chip 525 may take the data to be communicated to and from the central point 545 and use an algorithm to allow the data to be communicated back and forth over the cellular network 525.A central processing unit (CPU) 160 may perform a variety of computing functions.", "In one aspect, the CPU 160 may parse GPS information, may send encrypted messages to the cellular chip 525, may accept and parse incoming information from the cellular chip 525, and may communicate commands to any integrated autopilot system 225 or flight control servos.", "The CPU 160 may be purpose built to meet the challenged created by the vehicle 100.For example, the CPU 160 may need to be efficient as the vehicle 100 may be powered by a battery 235 which may have a limited amount of power.", "Similarly, the CPU 160 may be an off the shelf CPU 160 that is physically configured to computer executable instructions and is sufficiently efficient.", "The vehicle 100 may also have connectivity ports (not shown) which may allow for a connection to other systems such as ADS-b Transmitter/Receiver Module 535 which may operate in the 1033 MHz band.", "It should be noted that ADS-b coverage may only exist at 1500+ feet above ground level.", "The modular additions may provide a means or manner to connect to different autopilot systems to send maneuver commands for detect and avoid needs in the case of possible collision.", "For example, the device may operate using GPS coordinates and a second device may operate using height and direction vectors and an additional modular addition may be used to translate flight operations of the first device into direction vectors which may be used by the second device.", "The ports may be standard ports such as USB ports or may be purpose built ports.", "In addition, the CPU 160 may have instructions on what to do if an additional computing device is inserted into the ports such as how to communicate with the device, how to determine if the device is safe, which device has precedence, etc.", "The vehicle 100 may also have a battery 235 and/or external power connections.", "The battery may allow the system to be powered by a UAV independent battery or allow for connection directly into the UAV flight power system.", "In some embodiments, the battery 235 may be used to provide additional power to the UAV if the UAV loses or runs low on power.", "In some embodiments, the battery 235 supplies 5-24 volts but the vehicle 100 may operate with a variety of voltages and current ratings.", "The vehicle 100 may also have roll, pitch, yaw, and accelerometer sensors 375.The sensors 375 may provide IMU data to assist in evasive maneuver planning calculations.", "The current location of the device is only part of the data needed to determine if a flight collision may occur as the vehicle 100 may change course.", "The roll, pitch, yaw and accelerometers sensors 375 may assist in determining a future course for the vehicle 100 and whether a collision is likely.", "Below is a pseudo code example for determining evasive maneuver planning calculations.", "Initially, the vehicle 100 may use sensors to identify each nearby vehicle 110 and then calculate the distance to each sensed nearby vehicle 110.CheckUAVSensorArray getNearObjects ( ) localUAVSensor=getUAVSensorArray( ) For each Object in getUAVSensorArray SendUAVSensorArrayo SendNearObjectCalculated Path( ) Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # identifier of vehicle 100 AggressorObject.Latitude=35.866864 AggressorObject.Longitude=−78.799637 AggressorObject.Altitude=50 # meters AggressorObject.Speed=18 # meters/sec AggressorObject.Track=103.59 AgressorObject.ID=13830 # coded UAV ID uavFenceRadius.Critical=1437.38 # meters uavFenceRadius.Warning=2046.72 # meters After determining the location of each additional vehicle 110, vehicle 100 may determine if its flight path may overlap at some future point in time with that of any additional vehicle 110.Calculate_Overlap (currentObject, AggressorObject) localUAVPerformace=getUAVPerformance( ) localX=cos(currentObject.Latitude)*cos(currentObject.Longitude) localY=sin(currentObject.Longitude)*cos(currentObject.Latitude) localZ=sin(currentObject.Latitude) AggressorX=cos(AggressorObject.Latitude)*cos(currentObject.Longitude) AggressorY=sin(AggressorObject.Longitude)*cos(currentObject.Latitude) AggressorZ=sin(AggressorObject.Latitude) solveProjection: intersectionObject=find Intersection Point(localX, localY, localZ, AggressorX, AggressorY, AggressorZ) centerObject=projectazimuth(intersectionObject) intersectionSolution=solveIntersection(centerObject, localXYZ, AggressorXYZ) if (intersectionSolution>intersectionSolution+Threshold) GoTo solveProjection: Else criticalPoint.Latitude=getLatitude(intersectionSolution.XYZ) critical Point.Longitude=getLongitude(intersectionSolution.XYZ) To determine whether at some time the flight path of an additional vehicle 110 potentially may intersect with the vehicle's flight path—referred to as the “critical point”—the vehicle 100 may calculate the distance between itself and the critical point.", "Calculate_Distance (currentObject, criticalPoint) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(criticalPoint.Latitude) delta_phi=radians(criticalPoint.Latitude−currentObject.Latitude) delta_lambda=radians(critical Point.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and the critical point based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or initiate evasive maneuvers.", "Below is example pseudo code for initiating evasive maneuvers.", "update_track (criticalPoint, localUAVPerformace, currentObject.Altitude, aggressorObject.Altitude, localUAVSensor, ResultRange) activateAvoidance(localUAVPerformance, localUAVSensor, ResultRange, currentObject.Altitude) escapeVector=optimizeFlightPath(criticalPoint) activateUpdatedTrack(escapeVector) The vehicle 100 may also have a localized wireless module 180.The localized wireless module 180 may allow for connection and configuration via a secondary handheld device such as a portable computing device (cellular phone) where one may access additional feature of the vehicle 100.The flight data may be loaded into or received from the vehicle 100 in a variety of ways and the wireless module 180 may allow additional computing devices to wirelessly communicate with the device.", "The following may be an example of the device 100 in operation.", "A low flying unmanned vehicle 100 may be flying over a farm field.", "An airliner 100 may also be nearby, preparing to land.", "The vehicle 100 may have an infrared camera to determine the water content of the crops in the field.", "On a periodic basis, the vehicle 100 may report its operational data 250 to a nearby cellular tower.", "The data may be encapsulated as an SMS message or in any other appropriate format or form such as cellular, satellite, WiFi, WiMax, etc., and may be directed to a central collection site 545.The central collection site 545 may receive and analyze the operational data 250 from the vehicles and aircraft which may be stored in a database or server 172.The analysis may quickly parse the vehicles into geographic areas.", "As mentioned previously, the vehicle 100 may determine if any of the additional vehicles 110 will be in a radius around each other in a relevant range or radius 705 and the flights that are determined to be over a threshold or nearby may be stored as separate flights 174.The vehicle 100 may receive messages 525 from the cellular tower which also may be encapsulated as SMS messages or in any other appropriate format or form such as cellular, satellite, WiFi, WiMax, etc.", "The messages may contain operational data 250 from a second low flying unmanned vehicle 110 working on an adjacent field.", "In addition, the vehicle 100 may use GPS 215 to determine its current location which along with relevant location and flight parameters 176.The operational data 250 and operational data for the additional vehicle 110 may communicated to the CPU 160 where an algorithm 182 may have physically configured the CPU to determine the flight path of the vehicle 100 and the flight path of other relevant vehicles 110 and whether the paths may intersect.", "The algorithm may take into account the current position and projected path at points in time in the future for vehicles 100, 110 to determine if a collision may occur.", "If the algorithm 182 determines a collision is likely, evasive maneuver commands 184 may be created and made be communicated to the vehicle 100.If the vehicle 100 has auto pilot 225, the maneuver commands 184 may communicated to the autopilot system 225 on the vehicle 100 where it may be interpreted and communicated to the flight control servos 227 module which may execute the maneuver.", "If the vehicle 100 does not have autopilot 225, the command may be communicated directly to the flight control servos 227.FIG.", "9a may illustrate another embodiment of the system.", "In this embodiment, the processor 160 may receive additional information.", "In one aspect, a database with geofence data 931 may also be in communication with the processor 160.Geofence data may include data about location which the vehicle 100 should avoid such as restricted airspace, airport, congested area, buildings, tree lines, power lines, etc.", "Geofence data may be known and may be created in advance of a flight.", "In some embodiments, the geofence data may be targeted to the general area of the vehicle.", "For example, data on geofences in China may not be relevant to a vehicle that is operating in the United States.", "Different modules of geofence data may be available and loaded depending on the location of the vehicle.", "In this arrangement, less data with have to be sifted to obtain the information that is most relevant.", "The geofence data may come from a variety of sources.", "As an example, the US government may publish a data on restricted regions.", "In addition, the position of buildings which would interfere with vehicular travel may be obtained from a mapping data source.", "The data may be aggregated into a single file for rapid access and ease of understanding.", "In some embodiments, the geofence data may also include a “whitelist” or data about locations that are not subject to a geofence.", "The whitelist data may be a useful double check to ensure that a vehicle is clear to travel.", "Further, the data may be reviewed in advance of a session to ensure a vehicle is safe to travel.", "Real time obstacle data may also be stored and used such that the obstacle data may be immediately shared with others.", "For example, if a hot air balloon is unexpectedly preparing to land, the data on the location, direction and path of the balloon may be stored in the real time obstacle database 921.The data on the obstacles also may be shared through communication links to a central authority that may add the real time obstacle data to its database and it may communicate the obstacle data to other nearby vehicles.", "Thus, other vehicles in the area may be promptly informed of the obstacle.", "A tamper detection module 931 may also be part of the system.", "The tamper detection module 931 may operate in a variety of ways.", "In one embodiment, the module may monitor time differentials from the autopilot to determine if someone unauthorized has tampered with the processor 160.For example, if the time differentials are not as expected, the assumption may be made that someone has tampered with the processor 160.In yet another embodiment, the processor may be cemented in place in the circuit board may break if an attempt is made to modify or remove the processor 160.Similarly, the processor may periodically self-check itself to ensure it is operating as expected and if the self-check fails, the vehicle may render itself inoperable until the unexpected conditions are fixed.", "Common self-checks including comparing the current surroundings to surrounds that should be expected based on the GPS signals and previous images from the GPS coordinates, checking battery life in comparison to an expected flight length, etc.", "At block 2222, an external autopilot may also be used to assist the vehicle.", "In the situation where the processor 160 determines that the current travel plan needs to be changed to avoid an obstacle, for example, the new travel plan may be communicated to an external autopilot 2222.The new travel plan may override the current travel mode.", "The external autopilot 2222 may submit a new travel plan which the processor 160 may review to ensure the vehicle does not cross any geofences, cross into the flight path of any other known vehicles, does not hit any buildings, etc.", "The external autopilot may be able to direct the vehicle from hitting a first obstacle, but the processor may be knowledge of even more obstacles than the outside autopilot.", "Thus the new flight plan may be reviewed by the processor 160.In addition, the new flight plan may be communicated to traffic management for routing other vehicles and letting other vehicles know of the intended travel plan.", "Below is a pseudo code example for determining whether to change a flight path to avoid an obstacle.", "In an example, the vehicle 100 may periodically or continuously identify and calculate the distance to each nearby additional vehicle and/or obstacle.", "Receive Possible Aggressor Table getNearObjects( ) LoadGeoFence( ) For each Object in getNearObjects SendNearObjectCalculated Path( ) Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # identifier of vehicle 100 AggressorObject.Latitude=35.866864 AgressorObject.Longitude=−78.799637 AggressorObject.Altitude=50 # meters AggressorObject.Speed=18 # meters/sec AggressorObject.Track=103.59 AggressorObject.ID=13830 # coded UAV ID GeoFenceObject.Latitude=35.866864 GeoFenceObject.Longitude=−78.799637 GeoFenceObject.Height=22 # meters GeoFenceObject.ID=000483 # coded Airport code or Other Object geoFenceRadius.Critical=1437.38 # meters geoFenceRadius.Warning=2046.72 # meters localUAVPerformance=getUAVCaps(currentObject.ID) AggressorCapabilities=getUAVCaps(AgressorObject.ID) After determining the location of each nearby additional vehicle and/or obstacle, vehicle 100 may determine if its flight path may overlap at some future point in time with either.", "Calculate_Overlap (currentObject, AggressorObject) localUAVPerformace=getUAVPerformance( ) localX=cos(currentObject.Latitude)*cos(currentObject.Longitude) localY=sin(currentObject.Longitude)*cos(currentObject.Latitude) localZ=sin(currentObject.Latitude) AggressorX=cos(AggressorObject.Latitude)*cos(currentObject.Longitude) AggressorY=sin(AgressorObject.Longitude)*cos(currentObject.Latitude) AggressorZ=sin(AggressorObject.Latitude) solveProjection: intersectionObject=find Intersection Point(localX, localY, localZ, AggressorX, AggressorY, AggressorZ) centerObject=projectazimuth(intersectionObject) intersectionSolution=solveIntersection(centerObject, localXYZ, AggressorXYZ) if (intersectionSolution>intersectionSolution+Threshold) GoTo solveProjection: Else criticalPoint.Latitude=getLatitude(intersectionSolution.XYZ) critical Point.Longitude=getLongitude(intersectionSolution.XYZ) To determine whether at some time the flight path of an additional vehicle and/or obstacle potentially may intersect with the vehicle's flight path—referred to as the “critical point”—the vehicle 100 may periodically (or continuously) calculate the distance between itself and the critical point.", "In some instances, there may be multiple critical points (e.g., one for a fixed object, one for an additional vehicle, and another for a geofence).", "Calculate_Distance (currentObject, criticalPoint) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(criticalPoint.Latitude) delta_phi=radians(criticalPoint.Latitude−currentObject.Latitude) delta_lambda=radians(critical Point.Longitude−currentObject.Longitude) Calculate_Distance (currentObject, GeoFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(GeoFenceObject.Latitude) delta_phi=radians(GeoFenceObject.Latitude−currentObject.Latitude) delta_lambda=radians(GeoFenceObject.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and each critical point based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to issue a warning and/or initiate evasive maneuvers.", "Below is example pseudo code for initiating evasive maneuvers.", "update_track (criticalPoint, localUAVPerformace, currentObject.Altitude, aggressorObject.Altitude, ResultRange, GeoFenceResultRange) activateAvoidance(localUAVPerformance, ResultRange, currentObject.Altitude) escapeVector=optimizeFlightPath(criticalPoint) flightPlan=updateFlightPlan(escapeVector, GeoFenceObject, ResultRange, GeoFence ResultRange) activateUpdatedTrack(escapeVector, flightPlan) A Common Connector A “breadcrumb” system may also be used to ensure a vehicle 100 will be able to find its way even if traditional GPS systems stop working.", "The breadcrumb systems may have a plurality of aspects and may work in a variety of ways, some of which may complement each other.", "In one aspect, the vehicle may take images while following a flight plan.", "If a GPS signal is lost, a vehicle may recall these images (e.g., from memory or other data storage device), compare the current image to the known images from the initial flight path and change its flight path toward the objects which are recognized.", "In addition, if no objects are recognized, the vehicle may travel in ever increasing circles until it traverses terrain that is recognized.", "Below is example pseudo code of this process.", "In the below example, the vehicle 100 may capture images while flying and assign GPS coordinates to each image, prior to failure of a GPS system.", "The captured images may be stored for later comparison should the GPS system stop working.", "When using the breadcrumb system, the vehicle 100 may compare captured images to stored images looking for matches.", "The vehicle 100 may also assign confidence scores based on the degree of matching.", "Matches may be used to guide the vehicle in the absence of GPS data.", "Finger Print Current Fly Over Image localUAVSensor=getUAVSensorArray( ) #9 Axis Accelerometer dead-reckoning=getPositionOffset(localUAVSensor) imageMatchThreshold=489 # configurable location Images=getLocationImages(lastGPS.Latitude+dead-reckoning, lastGPS.Longitude+dead-reckoning, gps.Altitude+dead-reckoning) #Land image fingerprints are small in size compared to actual images.", "Images are stored in local memory and may be updated currentImage=captureImage( ) imagePrint=fingerprintImage(currentImage) For each searchimage in locationimages Data Input If (imageMatch (locationImages.fingerprint, imagePrint.fingerprintd)>485) proposedLocation=newLocation( ) assignedConfidence=newConfidence(previousConfidence) Continue to New Track Pathway In another aspect, the vehicle may review images related to the current location of the vehicle.", "If there are existing images from past flights, the existing images may include additional such as GPS data related to the images.", "Thus, the vehicle may traverse and take images and if the new images match past images, the GPS coordinated from the past image may be used to indicate the current GPS location.", "Further, the vehicle may have noted its GPS position at the beginning of its flight.", "By collecting addition GPS data from additional known sites with stored GPS locations, the vehicle may be able to triangulate its position and determine a path to its starting point or another stored rendezvous location.", "In another aspect, the vehicle 100 may simply attempt to reverse its current path.", "In some conditions such as when it is windy, the ability to retrace a path may be especially difficult but images from the initial flight path may be used to guide the vehicle back toward its starting point.", "Below is example pseudo code applied by vehicle 100 to reverse its path using images.", "Finger Print Current Fly Over Image reverseWaypoint=getWaypoint(atIndex) localUAVSensor=getUAVSensorArray( ) #9 Axis Accelerometer dead-reckoning=getPositionOffset(localUAVSensor) imageMatchThreshold=489 # configurable location Images=getLocationImages(lastGPS.Latitude+dead-reckoning, lastGPS.Longitude+dead-reckoning, gps.Altitude+dead-reckoning) #Land image fingerprints are small in size compared to actual images.", "Images are stored in local memory and may be updated currentImage=captureImage( ) imagePrint=fingerprintImage(currentImage) For each searchimage in locationimages Data Input If (imageMatch (locationImages.fingerprint, imagePrint.fingerprintd)>485) proposedLocation=newLocation(reverseWaypoint) assignedConfidence=newConfidence(previousConfidence) Continue to New Track Pathway activateUpdatedTrack(proposedLocation, flightPlan) In another aspect, the vehicle 100 may make note of its starting point in a variety of ways and these starting point notations may be used to guide the vehicle back to its starting location or another desired location.", "In one embodiment, the vehicle may receive and store cell phone tower information such as the tower identifier, a timing signal and the signal strength of the various cell towers.", "The location of the cell towers may be fixed.", "From analyzing the cell tower signal strength or timing signals, triangulation may be used to determine a starting location.", "Assuming the cell tower and vehicle both have access to an accurate and consistent time reference, timing signals may be a very accurate indication of when a signal was sent.", "The vehicle may receive the signal at a later time and the difference between the send time and the received time may be used to determine the distance from the cell tower as the velocity of the cell tower signal may be known.", "Triangulation may be used to determine the location of the vehicle in relation to the various cell towers.", "In addition, the GPS location of the cell towers may be known and the GPS location of the vehicle may be determined using the GPS coordinates of the cell towers and the timing signals.", "Similarly, the timing signals from cellular towers may be used to determine a location of the vehicle if a GPS signal is lost in flight.", "Using timing and known cell tower locations, triangulation may be used to determine a location of the vehicle.", "Further, the cell tower signals may be used to guide the vehicle back to a start point or to an additional location.", "Signal strength from various cell towers may be known and the received signal strength from a plurality of cell towers may be used to determine the location of the vehicle.", "Similar to timing signals, the signal strength may be known at given locations and may be used to determine a location of a vehicle by comparing the observed signal strength to previously mapped signal strength measurements.", "Other broadly available signals may be used to triangulate a location if GPS signals fail.", "In some situations, traditional radio signals such as AM and FM may have known signal strengths in known locations.", "At a minimum, the signal strengths may be used to narrow down the list of possible locations and at best, triangulation may be possible from a variety of signals from a variety of towers to establish either a starting location or a current location.", "Signals from non-GPS satellites such as television satellites or wireless phone satellites, known WiFi signals also may be received and used to triangulate locations.", "Finally, traditional method of observing sun, moon and stars and the time of day may be used.", "As the location of the sun, moon, and certain star may be known at given times, locations may be determined.", "The locations may not be as accurate as GPS signals but may provide useful guidance.", "Of course, elements of these various aspects may be combined to create a more accurate location.", "For example, if two cell phone towers signals may be received and a single FM signal, three signals may be combined to attempt to triangulate a location.", "Further, the additional various signals may be used to verify a location in situations where a GPS signal may be in doubt.", "In some embodiments, a homing signal may be communicated from a device at a point of origin or at another desired location.", "The signal may be a low power, low frequency signal that may be heard from great distances.", "The vehicle may execute a travel pattern such as a series of circles or squares which may be used to determine a general travel path and as the vehicle moves closer to the source of the signal, the path may be further improved to maximize the received signal which would indicate the beacon is near.", "In some embodiments, the vehicle may contain the homing signal.", "The homing signal may be used if there is a major failure and the launching vehicle may need to locate the vehicle.", "For example, if GPS is lost, the vehicle may travel in a limited space that has been determined to be safe and may operate the homing signal.", "If power drops to a predetermined level, the vehicle may take steps to determine a safe landing location and may continue to communicate the homing signal.", "Of additional interest, the vehicle may be equipped with a flight control override intervention module 225.The module may sit between the autopilot and flight controls and may provide the processor 160 controlled manual override to the controls in case of no autopilot integration.", "As a result, an authority such as the FAA may have the ability to override a vehicle travel plan.", "The override may be for any purpose such as to avoid a collision or to free the area for an authority vehicle such as a police vehicle.", "FIG.", "9b may illustrate a backend 2222 of a traffic management system.", "At a high level, numerous systems may feed data to the traffic management system backend 2222 including an analytics engine 1001, databases 1101, traffic management modules 1201 and various FAA data sources 1301, 1311, 1321.The analytics engine may review data collected on vehicles operations and operators and may attempt to come to some useful conclusions.", "The data from all vehicles that use the system and method may be collected.", "In addition, real time data on current systems may be collected.", "From this collection of data, algorithms may attempt to review and quantify risk.", "For example, the data may determine that pilot A is prone to errors while pilot B is extremely careful and has little risk.", "The data may even show that certain pilot demographics are more risky than others.", "For example, an algorithm may study the flight data and determine that pilots under the age of 25 may be riskier than pilots aged 34-43.Similarly, it may be determined that certain vehicles are riskier than others.", "Logically, there may be a variety of conclusions that may be drawn from the data and may be used as part of the system.", "As an example, if a risky pilot is flying a risky vehicle, a warning may be issued that the risk of this flight is over a predetermined threshold which may be a user threshold, a calculated threshold or a default threshold.", "Below is pseudo code for determining whether to issue a warning.", "Device Side: Update Analytics Engine Service sendGeoSensorArray(geoSearchData) sendImageFingerprint(imageFingerprintData) sendAudioGeoSensorData(audioData) sendRFSpectrumData(RFAnalysisData) sendNearObjectData(gpsData, Range, AgressorObject) Cloud Side: Aggregate Data Service updateGeoFenceData(geoSearchData) updateImageArchive(imageFingerprintData) updateAudioData(audioData) updateRFData(RFAnalysisData) updateNearObjectData(gpsData, Range, AggressorObject) Databases 1101 also may feed data to the traffic management system 2222.A first database 1111 may store real time data on aerial obstacles in the relevant area such as other vehicles.", "A second database may store data on obstacles 1121 such as building, power lines, windmills, silos, trees, etc.", "A third database 1131 may include pilot/aircraft registration data which may include information on pilots and planes which may be used to assess risk.", "A flight log database 1141 may be used to store flight logs on past flights such that the flights may be replayed in the future.", "A flight plan database 1151 may store all flight plans that are submitted by pilots which may be accessed and used to create additional flight paths in the future.", "A traffic management module 1201 may be used to determine flight routing data to be communicated to the traffic management system backend 2222.The routing may pull in plans as they are submitted 1211 to the processor 160, may determine if a route collision is possible in comparison to other flight plans and geofences.", "At block 1231, the system may determine if a collision is possible, and then a new flight path may be suggested to the processor 160 of the vehicle.", "Other agencies related to tracking vehicles may also submit useful data.", "NASA 1301 may submit flight plans which may need to be submitted to the traffic management system 2222.Similarly, FAA air traffic control 1311 may import and report real time information on manned aircraft from the FAA ATC system.", "The FAA Air Traffic Control may escalate situations up to live traffic management personnel such as emergency or other situations that might require a manual override.", "FIG.", "9c may illustrate a user interface that interfaces with the traffic management system 2222.A flight planning module 1501 may make it easy for pilots of vehicles to submit flight plan 1511 through a variety of interfaces such as a web browser interface.", "A variety of information may be collected 1521 such as a general area of the vehicle, the proposed vehicle path, the pilot information, the craft information and the operation type.", "An interface may also allow easy access to review all the data on vehicle and vehicle travel plans.", "Logically, the data may be restricted and a login may be required 1611.The login may be a traditional login or may be a secure electronic key exchange or may require biometric verification of the proposed users.", "It may be web or cloud based or may be a purpose built computer.", "A general user 1621 may be presented with a user interface tailored to that individual.", "Accessible features to a general user 1621 may include personal flight logs, registration data for the local system, account settings, geo-fence information, option to see old flight logs, see meta data regarding flights, and performing algorithms to analyze the risk of a flight.", "An administrator 1631 may be able to see more data 1651.For example, the administrator may see all known vehicles in real time, all vehicle travel logs, all current or past notifications or interventions, all geofence information, the option to update geofence data, the option to force a vehicle to undertake an action, communicate real-time notifications to user, perform risk analysis on flight data and research performance analysis.", "The data may be in an easy to see graphical interface which may facilitate understanding by displaying the complicated data in an easy to understand and access format.", "Below is example pseudo code for sending sense and avoid data to vehicle 100.Receive RealTime Sense and Avoid Data from UAV calculatePriority=processIncomingSenseData(currentObject) targetConfirmedNew=confirmNewTarget( ) addNewTarget(targetConfirmedNew) For each UAVRequest from currentObject sendRealTimeData( ) # send current live sense and avoid data to UAV The processor 160 may be integral to a vehicle 100 such as being on a circuit board that is a structural member.", "In other embodiments, the processor 160 is a separate device which may be used to control virtually any vehicle via a standard protocol and interface, such as an Ethernet jack, Bluetooth, WiFi or fiber communication link.", "The processor 160 also may be light weight and compact and may be designed to extend external power.", "For example, processor sections which are not is use may be turned off when not needed.", "As an example, a sound function may be useful during programming a flight path but may not be useful during flight.", "FIG.", "10 may be an illustration of a sample device.", "The processor 160 may be placed on or integrated into existing small vehicles such as UAV platforms.", "The processor 160 or chip may stand-alone, providing a framework which will allow real-time tracking of small vehicles at altitudes between 0-1000 ft above ground level.", "The core framework may be expandable and modular to interface with numerous communication protocols.", "The standard form of communication may be achieved over cellular networks even at their lowest bandwidth, however, the communication may be expanded to run on other networks such as wide-area WIFI and ADS-b.", "The system hardware may be incorporated onto vehicles by three methods.", "The hardware may be purchased stand-alone as a device which mounts onto any airframe without any autopilot integration, providing real time tracking information only.", "The second method is a stand-alone unit which may plug into a number of pre-integrated autopilots (3dr, APM, DJI, etc.).", "Providing real time tracking coupled with autopilot override functionality enabling sense-and-avoid capability.", "The third integration solution may be provided by licensing build schematics of the hardware to vehicle manufacturers, allowing them to incorporate the system directly onto their integrated circuits.", "The firmware may be strictly maintained by a single source, with updates provided over the cellular network infrastructure.", "In another aspect, the vehicle 100 may attempt to use sound mitigation techniques to make the vehicle quieter.", "As is known, a wave that is in opposite phase of a first wave may cancel out the first wave.", "In the case of sound wave, the sound from a vehicle may be captured and a sound generator may create a sound in the opposite phase of the vehicle.", "Further, the sound mitigation may review a flight plan and anticipate changes in sound such as a call for increased prop speed.", "Such an increase may be anticipated and may be mitigated.", "As a result, the “buzzing” of tradition unmanned aircraft may be reduced or eliminated.", "Of course, other methods of reducing sound, such as insulation, are possible and are contemplated.", "Below is a pseudo code example for determining whether to initiate audio suppression.", "In the below example, the vehicle 100 may determine its distance relative to a geofence.", "If too close, then the vehicle 100 may determine that a change in flight plan may be required that involves a change in prop speed and noise suppression to counteract noise generated due to the change in prop speed.", "Receive AudioGeoFence Table LoadAudioGeoFence( ) For each Object in LoadGeoFence Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # identifier of vehicle 100 AudioGeoFenceObject.Latitude=35.866864 AudioGeoFenceObject.Longitude=−78.799637 AudioGeoFenceObject.Height=20 # meters AudioGeoFenceObject.ID=000483 # coded Airport code or Other Object AudiogeoFenceRadius.Critical=4437.38 # meters AudiogeoFenceRadius.Warning=5046.72 # meters Calculate_Distance (currentObject, AudioGeoFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(AudioGeoFenceObject.Latitude) delta_phi=radians(AudioGeoFenceObject.Latitude−currentObject.Latitude) delta_lambda=radians(AudioGeoFenceObject.Longitude−currentObject.Longitude) Similar to the examples provided above, the vehicle 100 may use delta_phi and delta_lambda to determine a distance between itself and the geofence based on the curvature of the earth.", "The vehicle 100 may use the calculated distance to determine whether to initiate audio suppression.", "Check_GeoFence (ResultRange, AudiogeoFenceRadius) If ResultRange<AudiogeoFenceRadius.Critical AudioSuppresisonEnable(AudiogeoFenceRadius.NoiseCancellationLevel) FIG.", "11 may be an illustration of a sample back end user interface 1701.It may have a plurality of input areas 1701 which may be different activities.", "Flight plans may be submitted in a few clicks through a simple easy to use interface.", "The user may define a block of airspace in which the vehicle is planning to conduct travel much like a pilot of a manned aircraft would.", "This flight plan is received and parsed by the traffic management system servers 2222 and provides the user or pilot with an approval or denial for that flight based on a number of properties which are determined based upon nearby geofences and defined performance limitations for his/her certification and/or UAV platform.", "The traffic management system 2222 may provide ground-based sense-and-avoid as a service to all vehicles such as small UAVs by informing each UAV of its surroundings both in the air and on the ground.", "Transmitted items may include but are not limited to terrain, tree lines, infrastructure (e.g., power lines, towers, windmills, etc.", "), buildings, manned aircraft, and other un-manned aircraft traffic.", "This ability to teach the vehicle its surroundings allows the system to provide high fidelity sense-and-avoid at a low cost in a small, lightweight package.", "Due to the type of information being ingested and parsed by the traffic management system 2222, the system may be able to classify various types of travel and conditions.", "The system may automatically escalate specific situations to a manned controller who may then provide further instruction to the vehicle system or notifications to the pilot through the included mobile applications or over the system through ground control software.", "Below is example pseudo code for providing ground-based sense-and-avoid as a service.", "In an example, the traffic management system 2222 may populate a sense-and-avoid data table containing information on vehicles within a particular airspace.", "Load RealTime Sense and Avoid Data Table realTimeData=LoadRealTimeData( ) sensorData=scanLocation( ) #Image based, Doppler, Infrared For each RealTimeObject in realTimeData Data Input currentObject.Latitude=35.882234 currentObject.Longitude=−78.788222 currentObject.Altitude=30 # meters currentObject.Speed=16 # meters/sec currentObject.Track=93.6 currentObject.ID=4923 # identifier for vehicle 100 RealTimeObject.Latitude=35.866864 RealTimeObject.Longitude=−78.799637 RealTimeObject.Height=18 # meters RealTimeObject.ID=000483 # coded Airport code or Other Object Calculate_Distance (currentObject, GeoFenceObject) constR=6371000.0 # meters phi_1=radians(currentObject.Latitude) phi_2=radians(GeoFenceObject.Latitude) delta_phi=radians(GeoFenceObject.Latitude−currentObject.Latitude) delta_lambda=radians(GeoFenceObject.Longitude−currentObject.Longitude) The traffic management system 2222 may use delta_phi and delta_lambda to determine a distance between vehicle 100 and each additional vehicle 110, object, or geofence based on the curvature of the earth.", "This distance is referenced as “ResultRange” in the below pseudo code.", "The traffic management system 2222 may generate and maintain data to track a current location of each vehicle in a particular airspace.", "Verify_RealTimeData (ResultRange, RealTimeObject) For each sensorDataObject in SensorData If RealTimeObject=sensorDataObject notifyServerObjectVerifed(gps.Time, gps.Longitute,gps.Latitude) If RealTimeObject is not Found notifyServerNewObject(.Time, gps.Longitute,gps.Latitude, RealTimeObject) Notifications may be communicated to users of the registered active vehicles travel plan through mobile computing devices.", "The notifications may alert the user in a number of travel situations.", "Notifications may range from potential breach of allocated space to early notice that a travel path should be changed in order to miss an obstacle prior to the system providing commands to the autopilot to take corrective actions.", "An interface may be provided which users or system managers may access to see and monitor flights in real time.", "This portal is similar in display to an air traffic controller's radar scope as illustrated in FIG.", "11 which may include an illustration of the relevant area 1721, other vehicles within given distances 1731 of the vehicle and any geofenced areas 1741.Certain embodiments are described herein as including logic or a number of components, modules, or mechanisms.", "Modules may constitute either software modules (e.g., code embodied on a non-transitory machine-readable medium or in a transmission signal) or hardware modules.", "A hardware module is tangible unit capable of performing certain operations and may be configured or arranged in a certain manner.", "In example embodiments, one or more computer systems (e.g., a standalone, client or server computer system) or one or more hardware modules of a computer system (e.g., a processor or a group of processors) may be configured by software (e.g., an application or application portion) as a hardware module that operates to perform certain operations as described herein.", "In various embodiments, a hardware module may be implemented mechanically or electronically.", "For example, a hardware module may comprise dedicated circuitry or logic that is permanently configured (e.g., as a special-purpose processor, such as a field programmable gate array (FPGA) or an application-specific integrated circuit (ASIC)) to perform certain operations.", "A hardware module may also comprise programmable logic or circuitry (e.g., as encompassed within a general-purpose processor or other programmable processor) that is temporarily configured by software to perform certain operations.", "It will be appreciated that the decision to implement a hardware module mechanically, in dedicated and permanently configured circuitry, or in temporarily configured circuitry (e.g., configured by software) may be driven by cost and time considerations.", "The various operations of example methods described herein may be performed, at least partially, by one or more processors that are temporarily configured (e.g., by software) or permanently configured to perform the relevant operations.", "Whether temporarily or permanently configured, such processors may constitute processor-implemented modules that operate to perform one or more operations or functions.", "The modules referred to herein may, in some example embodiments, may comprise processor-implemented modules.", "Similarly, the methods or routines described herein may be at least partially processor-implemented.", "For example, at least some of the operations of a method may be performed by one or more processors or processor-implemented hardware modules.", "The performance of certain of the operations may be distributed among the one or more processors, not only residing within a single machine, but deployed across a number of machines.", "In some example embodiments, the processor or processors may be located in a single location (e.g., within a home environment, an office environment or as a server farm), while in other embodiments the processors may be distributed across a number of locations.", "The one or more processors may also operate to support performance of the relevant operations in a “cloud computing” environment or as a “software as a service” (SaaS).", "For example, at least some of the operations may be performed by a group of computers (as examples of machines including processors), these operations being accessible via a network (e.g., the Internet) and via one or more appropriate interfaces (e.g., application program interfaces (APIs).)", "The performance of certain of the operations may be distributed among the one or more processors, not only residing within a single machine, but deployed across a number of machines.", "In some example embodiments, the one or more processors or processor-implemented modules may be located in a single geographic location (e.g., within a home environment, an office environment, or a server farm).", "In other example embodiments, the one or more processors or processor-implemented modules may be distributed across a number of geographic locations.", "Unless specifically stated otherwise, discussions herein using words such as “processing,” “computing,” “calculating,” “determining,” “presenting,” “displaying,” or the like may refer to actions or processes of a machine (e.g., a computer) that manipulates or transforms data represented as physical (e.g., electronic, magnetic, or optical) quantities within one or more memories (e.g., volatile memory, non-volatile memory, or a combination thereof), registers, or other machine components that receive, store, transmit, or display information.", "Still further, the figures depict preferred embodiments of a vehicle control system for purposes of illustration only.", "One skilled in the art will readily recognize from the foregoing discussion that alternative embodiments of the structures and methods illustrated herein may be employed without departing from the principles described herein.", "Thus, upon reading this disclosure, those of skill in the art will appreciate still additional alternative structural and functional designs for a system and a process for a low flying unmanned vehicle automatically avoiding collisions through the disclosed principles herein.", "Thus, while particular embodiments and applications have been illustrated and described, it is to be understood that the disclosed embodiments are not limited to the precise construction and components disclosed herein.", "Various modifications, changes and variations, which will be apparent to those skilled in the art, may be made in the arrangement, operation and details of the method and apparatus disclosed herein without departing from the spirit and scope defined in the appended claims." ] ]
Patent_15875845
[ [ "MICROCHIP-TYPE OPTICAL MEASURING APPARATUS AND OPTICAL POSITION ADJUSTING METHOD THEREOF", "To provide a microchip-type optical measuring apparatus which is able to automatically perform position adjustment of a microchip with respect to an optical axis of laser with high accuracy.", "A microchip-type optical measuring apparatus includes an irradiation detection unit which detects light generated by irradiating a microchip with laser, a position adjustment unit which changes a relative position of the microchip with respect to the irradiation detection unit, and a control unit which outputs a movement signal for a position in which an integrated value or an average value of a detected intensity of the light in a preset region becomes high to the position adjustment unit." ], [ "1.A microchip-type optical measuring apparatus, comprising: an irradiation detector configured to detect light generated by irradiating a microchip with a laser; a position adjustment unit comprising at least one motor, wherein the position adjustment unit is configured to change a relative position of the microchip with respect to the irradiation detector; and a processor configured to output a movement signal for a position selected based on an integrated value or an average value of a detected intensity of the light in at least one region.", "2.The microchip-type optical measuring apparatus according to claim 1, wherein the processor is configured to: use a stochastic method to estimate a distribution parameter of a probability distribution for modeling a relationship between a detected position of the light and the integrated value or the average value of the detected intensity of the light in the at least one region associated with the detected position of the light; and generate the movement signal for the selected position based on a result of using the stochastic method to estimate the distribution parameter.", "3.The microchip-type optical measuring apparatus according to claim 2, wherein the processor is configured to select the probability distribution according to an irradiation profile of the laser.", "4.The microchip-type optical measuring apparatus according to claim 1, wherein the processor is configured to output the movement signal for the selected position based on a variation coefficient of the integrated value or the average value of the detected intensity of the light at a plurality of points.", "5.The microchip-type optical measuring apparatus according to claim 4, wherein the processor is configured to output a movement signal for an area based on an area average of the integrated value of the detected intensity in a plurality of areas.", "6.The microchip-type optical measuring apparatus according to claim 5, wherein the processor is configured to output the movement signal for the selected position based on the integrated value of the detected intensity at the plurality of points.", "7.The microchip-type optical measuring apparatus according to claim 6, wherein the processor is configured to output a movement signal for a first position based on the integrated value of the detected intensity in the area, or for a second position based on the variation coefficient in the area.", "8.The microchip-type optical measuring apparatus according to claim 7, wherein the processor is configured to output the movement signal for the second position to the position adjustment unit when the first position and the second position are different from each other.", "9.The microchip-type optical measuring apparatus according to claim 8, wherein the microchip-type optical measuring apparatus is a microchip-type microparticle measuring apparatus.", "10.An optical position adjusting method of a microchip-type optical measuring apparatus, comprising acts of: detecting light which is generated from a microchip by laser irradiation, from a plurality of positions on the microchip; and specifying a position based on an integrated value or an average value of a detected intensity of the light in at least one region.", "11.The optical position adjusting method according to claim 10, wherein: the position is specified based on a probability distribution for modeling a relationship between a detected position of the light and the integrated value or the average value of the detected intensity of the light; a distribution parameter of the probability distribution is estimated on the basis of a stochastic method; and the position is specified based on a result of estimating the distribution parameter.", "12.The optical position adjusting method according to claim 11, wherein the probability distribution comprises a two-dimensional distribution.", "13.The optical position adjusting method according to claim 12, further comprising acts of: estimating the distribution parameter of the probability distribution on the basis of the stochastic method; and specifying the position based on the integrated value or the average value of the detected intensity of the light.", "14.The optical position adjusting method according to claim 10, wherein the position is set to a position based on a variation coefficient of the integrated value or the average value of the detected intensity of the light at a plurality of points.", "15.The optical position adjusting method according to claim 14, wherein: the position is specified further based on an area average of the integrated value of the detected intensity of the light in a plurality of areas.", "16.The optical position adjusting method according to claim 15, further comprising an act of: specifying a first position based on the integrated value of the detected intensity in a selected area.", "17.The optical position adjusting method according to claim 16, wherein the position is specified based on a second position specified based on the variation coefficient in the.", "18.The optical position adjusting method according to claim 17, further comprising an act of: setting a relative position of the microchip with respect to the laser to the first position or the second position.", "19.The optical position adjusting method according to claim 18, wherein the relative position is set to the second position when the first position and the second position are different from each other.", "20.An optical position adjusting method of a microchip-type optical measuring apparatus, comprising acts of: detecting light which is generated from a microchip by laser irradiation, from a plurality of positions in an area on the microchip; specifying a position based on an area average of an integrated value of a detected intensity of the light; specifying a first position based on the integrated value or an average value of the detected intensity in the area; specifying a second position based on a variation coefficient of the integrated value or the average value of the detected intensity in the area; and a procedure for setting a relative position of the microchip with respect to the laser to the first position or the second position." ], [ "<SOH> BACKGROUND ART <EOH>A microparticle measuring apparatus (for example, a flow cytometer) which optically measures characteristics of a microparticle such as a cell is known.", "In the flow cytometer, sample liquid including the cell flows through a flow passage formed in a flow cell or a microchip, and a detector detects fluorescence or scattering light generated from the cell by irradiating the cell which through-flows inside the flow passage with laser, so that an optical characteristic of the cell is measured.", "In addition, in the flow cytometer, as a measurement result of the optical characteristic, a population (a group) which is determined to satisfy a predetermined condition is separately collected from the cell.", "For example, in PTL 1, as a microchip-type flow cytometer, “a microparticle splitting device including a microchip provided with a flow passage through which liquid including a microparticle flows, and an orifice which ejects the liquid flowing through the flow passage into a space outside the chip, an oscillating element for discharging the liquid to be liquid droplets in the orifice, a charging unit for applying an electric charge to the discharged liquid droplets, an optical detection unit which detects optical characteristic of the microparticle flowing through the flow passage, counter electrodes which are disposed to face each other and to interpose the liquid droplets moved along a movement direction of the liquid droplets which are discharged into the space outside the chip, and two or more containers which collect the liquid droplets passed between the counter electrodes” is disclosed." ], [ "<SOH> SUMMARY OF INVENTION <EOH>" ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation of and claims the benefit under 35 U.S.C.", "§ 120 of U.S. patent application Ser.", "No.", "14/386,499, titled “MICROCHIP-TYPE OPTICAL MEASURING APPARATUS AND OPTICAL POSITION ADJUSTING METHOD THEREOF,” filed on Sep.19, 2014, which is a U.S. National Stage Entry under 35 U.S.C.", "§ 371 of International Application No.", "PCT/JP2013/051800, filed in the Japanese Patent Office as a Receiving Office on Jan. 28, 2013, which claims priority to Japanese Patent Application Number JP2012-080472, filed in the Japanese Patent Office on Mar.", "30, 2012, each of which is hereby incorporated by reference in its entirety.", "TECHNICAL FIELD The present technology relates to a microchip-type optical measuring apparatus and an optical position adjusting method thereof.", "More specifically, the present technology relates to a microchip-type optical measuring apparatus or the like which allows an optical position of a microchip to be automatically optimized and to be measured with high accuracy.", "BACKGROUND ART A microparticle measuring apparatus (for example, a flow cytometer) which optically measures characteristics of a microparticle such as a cell is known.", "In the flow cytometer, sample liquid including the cell flows through a flow passage formed in a flow cell or a microchip, and a detector detects fluorescence or scattering light generated from the cell by irradiating the cell which through-flows inside the flow passage with laser, so that an optical characteristic of the cell is measured.", "In addition, in the flow cytometer, as a measurement result of the optical characteristic, a population (a group) which is determined to satisfy a predetermined condition is separately collected from the cell.", "For example, in PTL 1, as a microchip-type flow cytometer, “a microparticle splitting device including a microchip provided with a flow passage through which liquid including a microparticle flows, and an orifice which ejects the liquid flowing through the flow passage into a space outside the chip, an oscillating element for discharging the liquid to be liquid droplets in the orifice, a charging unit for applying an electric charge to the discharged liquid droplets, an optical detection unit which detects optical characteristic of the microparticle flowing through the flow passage, counter electrodes which are disposed to face each other and to interpose the liquid droplets moved along a movement direction of the liquid droplets which are discharged into the space outside the chip, and two or more containers which collect the liquid droplets passed between the counter electrodes” is disclosed.", "CITATION LIST Patent Literature PTL 1: Japanese Unexamined Patent Application Publication No.", "2010-190680 SUMMARY OF INVENTION Technical Problem The microparticle measuring apparatus is required to perform position adjustment of a through-flow position of the microparticle inside the flow passage formed in the flow cell or the microchip and an optical axis of the laser with high accuracy, in order to accurately measure the optical characteristic of the microparticle.", "In the related art, since the position adjustment is manually performed by a user with particles for calibration (calibration beads), the position adjustment requires proficiency, and thus has a problem in reliability or stability.", "Particularly, in the microchip-type microparticle measuring apparatus, the optical position adjustment is required whenever the microchip is exchanged or is analyzed, and thus the position adjustment is considerably cumbersome and complicated.", "Therefore, an object of the present invention is to provide a microchip-type optical measuring apparatus which is able to automatically perform position adjustment of a microchip with respect to an optical axis of laser with high accuracy.", "Solution to Problem In order to solve the problem described above, the present invention provides a microchip-type optical measuring apparatus including an irradiation detection unit which detects light generated by irradiating a microchip with laser, a position adjustment unit which changes a relative position of the microchip with respect to the irradiation detection unit, and a control unit which outputs a movement signal for a position in which an integrated value or an average value of a detected intensity of the light in a preset region becomes high to the position adjustment unit.", "In this microchip-type optical measuring apparatus, the control unit may assume that a relationship between a detected position and the integrated value or the average value of the detected intensity of the light follows pre-stored probability distribution, may estimate a distribution parameter of the probability distribution on the basis of a stochastic method, and thus may create the movement signal for the position in which the integrated value or the average value of the detected intensity of the light becomes maximum according to the estimation.", "The control unit may select the probability distribution according to an irradiation profile of the laser.", "In addition, the control unit may output the movement signal for a position in which a variation coefficient of the integrated value or the average value of the detected intensity of the light in a plurality of preset points becomes minimum to the position adjustment unit.", "In addition, the control unit may output the movement signal for an area in which an area average of the integrated value of the detected intensity in a plurality of preset areas becomes maximum to the position adjustment unit.", "In addition, the control unit may output the movement signal for a position in which the integrated value of the detected intensity in the plurality of preset points becomes maximum to the position adjustment unit.", "In addition, the control unit may output the movement signal for a first optimal position in which the integrated value of the detected intensity in the area of a maximum area average becomes maximum, or for a second optimal position in which the variation coefficient in the area of the maximum area average becomes minimum to the position adjustment unit.", "In addition, the control unit may output the movement signal for the second optimal position to the position adjustment unit when the first optimal position and the second optimal position are different from each other.", "This microchip-type optical measuring apparatus may be configured as a microchip-type microparticle measuring apparatus.", "In addition, the present invention provides an optical position adjusting method including a procedure for detecting light which is generated from a microchip by laser irradiation, from a plurality of positions on the microchip, and a procedure for specifying a position in which an integrated value or an average value of a detected intensity of the light in a preset region becomes maximum.", "In the procedure for specifying the position, a relationship between a detected position and the integrated value or the average value of the detected intensity of the light may be assumed to follow a pre-stored probability distribution, a distribution parameter of the probability distribution may be estimated on the basis of a stochastic method, and thus the position in which the integrated value or the average value of the detected intensity of the light becomes maximum may be specified by the estimation.", "In addition, this optical position adjusting method may further include a procedure for assuming that the integrated value or the average value of the detected intensity of the light from the position in which the integrated value or the average value of the detected intensity of the light is estimated to be maximum by the probability distribution to a predetermined position is in a one-dimensional distribution, and thus for specifying a position in which the integrated value or the average value of the detected intensity of the light becomes maximum by the one-dimensional distribution.", "In addition, in the procedure for specifying the position, the position may be set to a position in which a variation coefficient of the integrated value or the average value of the detected intensity of the light in a plurality of preset points becomes minimum.", "In addition, this optical position adjusting method may further include a procedure for specifying a position in which an area average of the integrated value of the detected intensity of the light in a plurality of preset areas becomes maximum.", "In addition, this optical position adjusting method may further include a procedure for specifying a first optimal position in which the integrated value of the detected intensity in the area of a maximum area average becomes maximum.", "In addition, the procedure for specifying the position in which the variation coefficient becomes minimum may be a procedure for specifying a second optimal position in which the variation coefficient in the area of the maximum area average becomes minimum.", "In addition, this optical position adjusting method may include a procedure for setting a relative position of the microchip with respect to the laser to the first optimal position or the second optimal position.", "Further, the present invention provides an optical position adjusting method of a microchip-type optical measuring apparatus, including a procedure for detecting light which is generated from a microchip by laser irradiation, from a plurality of positions on the microchip, a procedure for specifying a position in which an area average of an integrated value of a detected intensity of the light becomes high, a procedure for specifying a first optimal position in which the integrated value or an average value of the detected intensity in an area where the area average becomes higher, becomes higher, a procedure for specifying a second optimal position in which a variation coefficient of the integrated value or the average value of the detected intensity in the area where the area average becomes higher, becomes smaller, and a procedure for setting a relative position of the microchip with respect to the laser to the first optimal position or the second optimal position.", "In the present technology, a cell or a microbe, a biologically-relevant microparticle such as a liposome, or a latex particle or a gel particle, a synthetic particle such as an industrial particle, and the like are broadly included in the “microparticle”.", "In the biologically-relevant microparticle, a chromosome, a liposome, a mitochondria, an organelle (a cell organelle), and the like which configure various cells are included.", "In the cell, an animal cell (a blood cell or the like) and a plant cell are included.", "In the microbe, a bacteria such as a bacteria coliform, a virus such as a tobacco mosaic virus, a fungus such as a Yeast fungus, and the like are included.", "Further, in the biologically-relevant microparticle, a biologically-relevant polymer such as a nucleic acid or a protein, or a complex thereof is also able to be included.", "In addition, the industrial particle may be, for example, an organic or inorganic polymeric material, a metal, and the like.", "In the organic polymeric material, polystyrene, styrene-divinylbenzene, polymethylmethacrylate, and the like are included.", "In the inorganic polymeric material, glass, silica, a magnetic body material, and the like are included.", "In the metal, a gold colloid, aluminum, and the like are included.", "Generally, it is ordinary that the shape of the microparticle is spherical, but the shape may also be non-spherical, and the size, mass, or the like is not particularly limited.", "Advantageous Effects of Invention According to the present invention, a microchip-type optical measuring apparatus which is able to automatically perform position adjustment of a microchip with respect to an optical axis of laser with high accuracy is provided.", "BRIEF DESCRIPTION OF DRAWINGS FIG.", "1 is a diagram for describing a configuration of a microchip-type optical measuring apparatus 1 (a flow cytometer 1) according to the present invention which is configured as a microchip-type flow cytometer.", "FIG.", "2 is a diagram for describing a configuration of an example of a microchip 2 which is mountable on the flow cytometer 1.FIG.", "3 is a diagram for describing a configuration of an orifice 21 of the microchip 2.FIG.", "4 is a flowchart for describing a control step according to a first embodiment in order to optimize an optical position of the flow cytometer 1.FIG.", "5 is a diagram for describing control of an original point-reference point movement step S1 to an area average value maximum position determination step S3 according to the first embodiment.", "FIG.", "6 is a diagram for describing control of an area average maximum position movement step S4 to an integrated value maximum position determination step S6 according to the first embodiment.", "FIG.", "7 is a diagram for describing control of a variation coefficient determination step S7 according to the first embodiment.", "FIG.", "8 is a flowchart for describing a control step according to a second embodiment in order to optimize the optical position of the flow cytometer 1.FIG.", "9 is a diagram for describing control of a coarse adjustment step S21 according to the second embodiment.", "FIG.", "10 is a diagram for describing a fixed distribution assumed in the coarse adjustment step S21 according to the second embodiment.", "FIG.", "11 is a diagram for describing control of a first fine adjustment step S22 according to the second embodiment.", "FIG.", "12 is a diagram for describing control of a second fine adjustment step S23 according to the second embodiment.", "FIG.", "13 is a diagram for describing control of a finer adjustment step S24 according to the second embodiment.", "DESCRIPTION OF EMBODIMENTS Hereinafter, best modes for carrying out the present invention will be described with reference to the drawings.", "Furthermore, embodiments described below indicate an example of a representative embodiment of the present invention, and it is not construed as narrowing the range of the present invention by the embodiments.", "The description will be provided according to the following order.", "1.Microchip-Type Optical Measuring Apparatus (1) Irradiation Detection Unit (2) Position Adjustment Unit (3) Oscillating Element (4) Charging Unit (5) Deflection Plate (6) Collection Container (7) Control Unit or the like (8) Microchip 2.Optimization Control of Optical Position of Microchip-type Optical Measuring Apparatus according to First Embodiment of the Present Invention (1) Original Point-Reference Point Movement Step S1 (2) Signal Acquisition Step S2 (3) Area Average Value Maximum Position Determination Step S3 (4) Area Average Maximum Position Movement Step S4 (5) Signal Acquisition Step S5 (6) Integrated Value Maximum Position Determination Step S6 (7) Variation Coefficient Determination Step S7 (8) Position Optimization Step S8 3.Optimization Control of Optical Position of Microchip-type Optical Measuring Apparatus according to Second Embodiment of the Present Invention (1) Coarse Adjustment Step S21 (1-1) Grid-like Signal Acquisition Step S211 (1-2) Two-dimensional Distribution Parameter Estimation Step S212 (1-3) Maximum Position Movement Step S213 (2) First Fine Adjustment Step S22 (2-1) Linear Signal Acquisition Step S221 (2-2) One-dimensional Distribution Parameter Estimation Step S222 (2-3) Maximum Position Movement Step S223 (3) Second Fine Adjustment Step S23 (3-1) Linear Signal Acquisition Step S231 (3-2) One-dimensional Distribution Parameter Estimation Step S232 (3-3) Maximum Position Movement Step S233 (4) Finer Adjustment Step S24 1.Microchip-Type Optical Measuring Apparatus FIG.", "1 is a schematic diagram for describing a configuration of a microchip-type optical measuring apparatus 1 (hereinafter, referred to as a “flow cytometer 1”) according to the present technology which is configured as a microchip-type flow cytometer.", "In addition, FIG.", "2 and FIG.", "3 illustrate an example of a microchip 2 which is mountable on the flow cytometer 1.FIG.", "2A illustrates a schematic upper surface view, and FIG.", "2B illustrates a schematic sectional view corresponding to a cross-section cut along line P-P of FIG.", "2A.", "In addition, FIG.", "3 is a diagram for schematically describing a configuration of an orifice 21 of the microchip 2, and FIG.", "3A illustrates an upper surface view, FIG.", "3B illustrates a sectional view, and FIG.", "3C illustrates a front view.", "FIG.", "3B corresponds to the cross-section cut along line P-P of FIG.", "2A.", "(1) Irradiation Detection Unit The flow cytometer 1 is provided with an irradiation detection unit which includes a light source 61 for irradiating the microchip 2 with laser L1, and a detector 62 for detecting light to be detected which is generated by irradiation of the laser L1.An irradiation direction of the laser L1 with respect to the microchip 2 (an optical axis of the laser L1) is illustrated as a Z-axis forward direction of FIG.", "1.The light source 61 may be an LD, an LED, or the like.", "The laser L1 is applied into a cell which flows through a sample flow passage 22 of the microchip 2.The detector 62 detects scattering light of the laser L1 caused by the cell, and fluorescence generated by exciting the cell or fluorescent pigment marked on the cell with the laser L1.In FIG.", "1, the fluorescence generated from the cell which flows through the sample flow passage 22 is indicated by a reference mark F1.The irradiation detection unit includes an irradiation system provided with a condensing lens for condensing light by guiding the laser L1 emitted from the light source 61 to the cell, a dichroic mirror, a band pass filter, or the like.", "In addition, the irradiation detection unit is configured by a detection system for guiding the light to be detected which is generated from the cell by the irradiation of the laser L1 to the detector 62 by condensing the light.", "The detection system is configured by, for example, a photo multiplier tube (PMT), an area imaging element such as a CCD or a CMOS element, or the like.", "The light to be detected which is detected by the detection system of the irradiation detection unit is the light which is generated from the cell by the irradiation of the laser L1, and may be, for example, forward scattering light or lateral scattering light, scattering light such as Rayleigh scattering or Mie scattering, fluorescence, or the like.", "The fluorescence may be generated from the cell or the fluorescent pigment marked on the cell.", "The light to be detected is converted to an electric signal, and is used for optical characteristic determination of the cell and automatic adjustment of an optical position (described later).", "(2) Position Adjustment Unit The flow cytometer 1 includes a position adjustment unit 9 which changes a relative position of the microchip 2 with respect to the irradiation detection unit.", "The position adjustment unit 9 moves the position of the microchip 2 and/or the position of the irradiation detection unit on a plane (an XY plane) perpendicular to the optical axis of the laser L1.Accordingly, the position adjustment unit 9 adjusts the position of the microchip 2 with respect to the optical axis of the laser 1,1, and optimizes the laser L1 to be applied to a through-flow position of the cell inside the sample flow passage 22.The position adjustment unit 9 may move at least one of the position of the microchip 2 and the position of the irradiation detection unit including the light source 61 and the detector 62 to an X-axial direction and a Y-axial direction.", "The position adjustment unit 9 is configured by, for example, a stepping motor or the like.", "Furthermore, the position adjustment unit 9 may move the relative position of the microchip 2 with respect to the irradiation detection unit to a Z-axial direction (a focus direction of the laser L1).", "(3) Oscillating Element The flow cytometer 1 includes an oscillating element 3 for discharging laminar flow of sample liquid and sheath liquid including the cell which is ejected from the orifice 21 to be liquid droplets by applying oscillation to the orifice 21 formed in the microchip 2.The oscillating element 3 may be, for example, a piezo element.", "The discharged liquid droplets are injected to a Y-axis forward direction indicated by an arrow in the drawings as fluid stream S. Furthermore, in the flow cytometer 1, the microchip 2 is exchangeably mounted.", "In the flow cytometer 1, the oscillating element 3 may be integrated with the microchip 2, and may be disposed on the apparatus side to be contactable with the mounted microchip 2.", "(4) Charging Unit Positive or negative electric charges are applied to the liquid droplets discharged from the orifice 21 by a charging unit 41.The charging of the liquid droplets is performed by an electrode 42 which is electrically connected to the charging unit 41 and inserted into a sample inlet 23 disposed in the microchip 2.Furthermore, the electrode 42 may be inserted into any one portion of the microchip 2 to electrically come in contact with the sample liquid or the sheath liquid flowing through the flow passage.", "In the flow cytometer 1, a frequency of a driving voltage of the oscillating element 3, and switching timing of a voltage (a charge voltage) of the charging unit 41 are synchronized, so that any one of plus and minus electric charges is applied to a part of the liquid droplets discharged from the orifice 21.The electric charge may not be applied to a part of the liquid droplets, and thus a part of the liquid droplets may be uncharged.", "(5) Deflection Plate Further, the flow cytometer 1 includes a pair of deflection plates 51 and 52 which are disposed to face each other and to interpose the fluid stream S. The deflection plates 51 and 52 change a travelling direction of each of the liquid droplets in the fluid stream S by an electric force which acts with respect to the electric charges applied to the liquid droplets.", "The deflection plates 51 and 52 may be an ordinary electrode.", "In FIG.", "1, a facing direction of the polarizing plates 51 and 52 is illustrated by the X-axial direction.", "(6) Collection Container The fluid stream passed between the deflection plates 51 and 52 is received in any one of a collection container 81, a collection container 82, or a collection container 83.For example, when the deflection plate 51 is positively charged and the deflection plate 52 is negatively charged, the liquid droplets which are negatively charged by the charging unit 41 are collected in the collection container 82, and the positively charged liquid droplets are collected in the collection container 83, respectively.", "In addition, the liquid droplets which are not charged by the charging unit 41 directly drop to be collected in the collection container 81 without receiving an electrical acting force from the deflection plates 51 and 52.In the flow cytometer 1, the travelling direction of the liquid droplets is controlled according to the characteristic of the cell included in each of the liquid droplets, thereby allowing a target cell having a desired characteristic and a non-target cell other than the target cell to be collected in separate collection containers.", "The collection containers 81, 82, and 83 may be a general-purpose plastic tube or a glass tube for laboratory use.", "It is preferable that the collection containers be exchangeably disposed in the flow cytometer 1.In addition, the collection container for receiving the non-target cell among the collection containers may be connected to a drainage route of the collected liquid droplets.", "Furthermore, in the flow cytometer 1, the number of collection containers to be disposed is not particularly limited.", "When more than 3 collection containers are disposed, the respective liquid droplets are induced toward any one of the collection containers according to presence or absence of the electrical acting force between the deflection plates 51 and 52, and the magnitude thereof, and collected in the collection container.", "(7) Control Unit or the Like The flow cytometer 1 includes a data analysis unit for determining the optical characteristic of the cell, a tank unit for accumulating the sample liquid and the sheath liquid, a control unit 10 for controlling each configuration, and the like, which are provided in a usual flow cytometer, in addition to the configuration described above.", "The control unit 10 is able to be configured by a general-purpose computer provided with a CPU, a memory, hard disk, and the like, and stores an OS, a program for executing a control step (described later), and the like in the hard disk.", "The control unit 10 outputs a movement signal for a position in which a variation becomes small, that is, a position in which an integrated value or an average value of a detected intensity of the light generated from the microchip by the irradiation of the laser L1 becomes higher (preferably, becomes a maximum value) among regions set in advance to the position adjustment unit 9.", "(8) Microchip The microchip 2 is configured by bonding substrate layers 2a and 2b in which the sample flow passage 22 is formed.", "The sample flow passage 22 is able to be formed in the substrate layers 2a and 2b according to injection molding of a thermoplastic resin with a mold.", "As the thermoplastic resin, plastics which are known as microchip material in the related art, such as polycarbonate, polymethylmethacrylate (PMMA) resin, cyclic polyolefin, polyethylene, polystyrene, polypropylene, and polydimethylsiloxane (PDMS) are able to be adopted.", "The sample liquid is introduced to the sample inlet 23, merged into the sheath liquid introduced to a sheath inlet 24, and flows through the sample flow passage 22.The flow of the sheath liquid introduced from the sheath inlet 24 is divided into two directions, and then is merged into the sample liquid at a merging portion with the sample liquid introduced from the sample inlet 23, by sandwiching the sample liquid from the two directions.", "Accordingly, three-dimensional laminar flow in which sample liquid laminar flow is positioned in the center of sheath liquid laminar flow is formed at the merging portion.", "A reference numeral “25” indicates a suction flow passage for removing clogging or air bubbles by applying a negative pressure to the inside of the sample flow passage 22 and by temporarily regurgitating the flow when the clogging or the air bubbles is generated in the sample flow passage 22.One end of the suction flow passage 25 is provided with a suction outlet 251 which is connected to a negative pressure source such as a vacuum pump, and the other end is connected to the sample flow passage 22 at a communication port 252.A laminar flow width of the three-dimensional laminar flow is narrowed at a throttle portions 261 (refer to FIGS.", "2) and 262 (refer to FIG.", "3) which are formed such that the area of a cross section vertical to a flow direction gradually or progressively becomes smaller upstream to downstream in the flow direction.", "Subsequently, the three-dimensional laminar flow is ejected from the orifice 21 disposed on one end of the flow passage.", "The characteristic of the cell is detected between the throttle portion 261 and the throttle portion 262 of the sample flow passage 22.The cell which is arranged in line at the center of the three-dimensional laminar flow and flows through the sample flow passage 22 is irradiated with the laser L1 by the irradiation detection unit, and thus the fluorescence F1 and the scattering light which are generated from the cell are detected (refer to FIG.", "2).", "A connection portion with respect to the orifice 21 of the sample flow passage 22 is configured as a straight portion 27 which is linearly formed.", "The straight portion 27 functions to directly inject the fluid stream S from the orifice 21 to the Y-axis forward direction.", "The three-dimensional laminar flow ejected from the orifice 21 becomes the liquid droplets by the oscillation applied to the orifice 21 according to the oscillating element 31, and is injected as the fluid stream S (refer to FIG.", "1).", "The orifice 21 is open to an end surface direction of the substrate layers 2a and 2b, and a cutout portion 211 is formed between an opening position of the orifice and the end surfaces of the substrate layers.", "The cutout portion 211 is formed by cutting out the substrate layers 2a and 2b between the opening position of the orifice 21 and the end surfaces of the substrates such that the diameter L of the cutout portion 221 is larger than the opening diameter 1 of the orifice 21 (refer to FIG.", "3C).", "It is preferable that the diameter L of the cutout portion 211 be equal to or greater than two times larger than the opening diameter 1 of the orifice 21 in order not to disturb the movement of the liquid droplets discharged from the orifice 21.2.Optimization Control of Optical Position of Microchip-type Optical Measuring Apparatus according to First Embodiment of the Present Technology FIG.", "4 is a flowchart for describing a control step in order to optimize an optical position of the microchip 2 of the flow cytometer 1.The control step includes a procedure of an “original point-reference point movement step S1”, a “signal acquisition step S2”, an “area average value maximum position determination step S3”, an “area average maximum position movement step S4”, a “signal acquisition step S5”, an “integrated value maximum position determination step S6”, a “variation coefficient determination step S7”, and a “position optimization step S8”.", "Hereinafter, each procedure will be described.", "(1) Original Point-Reference Point Movement Step S1 When a starting signal of an analysis is input by a user, the control unit 10 outputs the movement signal to the position adjustment unit 9, and the position adjustment unit 9 moves the relative position of the microchip 2 with respect to the irradiation detection unit to an initial position (refer to an original point O of FIG.", "5) set in advance.", "When the relative position is at the original point O, the laser L1 emitted from the irradiation detection unit is applied to the original point O on the microchip 2.The relative position is changed by moving at least one of the position of the microchip 2 or the position of the irradiation detection unit including the light source 61 and the detector 62 to the X-axial direction and the Y-axial direction, and, hereinafter, a case where the relative position is changed by moving the position of the microchip 2 will be described as an example.", "Next, the control unit 10 starts to allow the sample liquid and the sheath liquid to flow toward the sample inlet 23 and the sheath inlet 24 of the microchip 2 by driving a pump of the tank portion which accumulates the sample liquid and the sheath liquid.", "It is preferable that the sample liquid include a calibration bead.", "Further, the control unit 10 starts to apply the oscillation to the orifice 21 by the oscillating element 3.Accordingly, the three-dimensional laminar flow of the sample liquid and the sheath liquid injected from the orifice 21 is discharged to be the liquid droplets, and thus the fluid stream S is generated.", "After starting the flow of the sample liquid and the sheath liquid, the control unit 10 outputs the movement signal to the position adjustment unit 9, and the position adjustment unit 9 moves the position of the microchip 2 to a reference point D0 from the original point O (refer to an arrow of FIG.", "5).", "When the relative position of the microchip 2 with respect to the irradiation detection unit is at the reference point D0, the laser L1 emitted from the irradiation detection unit is applied to the reference point D0 on the microchip 2.The reference point D0 is set in advance in the vicinity of the position in which the characteristic of the cell of the microchip 2 is able to be detected (that is, an optimal position which is determined by steps described later).", "More specifically, the reference point D0 is the vicinity between the throttle portion 261 and the throttle portion 262 of the sample flow passage 22 (refer to FIG.", "3).", "(2) Signal Acquisition Step S2 In this step S2, the fluorescence or the scattering light (hereinafter, simply referred to as the “fluorescence”) generated from a plurality of positions on the microchip 2 which includes the reference point D0 is detected by the irradiation detection unit.", "In this step S2, the position on the microchip 2 in which the fluorescence is detected is illustrated by a reference numeral D in FIG.", "5.In the drawings, a case where 24 detected positions D including the reference point D0 are set, and the fluorescence is detected from the detected positions D in which the number of arrangements M1 of the X-axial direction is arranged in 8 rows, and the number of arrangements N1 of the Z-axial direction is arranged in 3 rows is illustrated as an example.", "In a region in which the detected positions D are set, the sample flow passage 22 is included, and the number of detected positions D and an arrangement aspect are not particularly limited but arbitrarily set insofar as the sample flow passage 22 is included in the region.", "It is preferable that the detected positions D be arranged in a reticular pattern in the X-axial direction and the Z-axial direction, as illustrated.", "In this case, arrangement intervals W and H of the X-axial direction and the Z-axial direction of the detected positions D are able to be properly set according to a flow passage width (a flow passage diameter) of the sample flow passage 22 and the number of arrangements M1 and N1 of the detected positions D in the X-axial direction and the Z-axial direction.", "The flow passage width of the sample flow passage 22 is approximately 70 to 100 μm, and when M1 is 8 and N1 is 3, the arrangement intervals W and H are set, for example, to 25 and 75 μm, respectively.", "The detection of the fluorescence is performed with respect to one detected position D for a predetermined time.", "The fluorescence detected for the predetermined time is integrated, converted to the electric signal, and output to the control unit 10.The fluorescence is able to be detected by performing scanning of the laser L1 in the X-axial direction and the Z-axial direction, by sequentially applying the laser to each detected position D, and by detecting the fluorescence to be generated.", "Alternatively, the fluorescence from each of the detected positions D may be collectively detected by an area imaging element according to the irradiation of the laser L1.", "(3) Area Average Value Maximum Position Determination Step S3 In this step S3, the control unit 10 calculates an area average of the integrated value of the detected intensity with respect to each of the detected positions D, and automatically determines the detected position D where the area average becomes higher, preferably, the detected position D where the area average is the maximum value.", "The “area average” indicates an average of the integrated value of the detected intensity obtained by one detected position D, and a plurality of detected positions D within a predetermined distance range from the one detected position.", "In FIG.", "5, a case where the area average is an average of the integrated value of the detected intensity obtained by one detected position D1, and detected positions D2 to D9 within the distance range of 2W in the X-axial direction from the detected position D1 and 2H in the Z-axial direction from the detected position D1 is illustrated.", "Setting how far the distance range is from the one detected position D as the area average is able to be properly determined according to the flow passage width (the flow passage diameter) of the sample flow passage 22, and the arrangement intervals W and H. The control unit 10 compares the calculated area average to each of the detected positions D, and determines the detected position D where the area average becomes higher, preferably, the detected position D where the area average is the maximum value.", "Here, a case where the area average is the maximum value in the detected position D1 will be described.", "Since the fluorescence is strongly generated in the sample flow passage 22 through which the calibration bead or the cell flows, it is possible to consider that the sample flow passage 22 is positioned within a region R1 where the detected positions D1 to D9 in which the area average is the maximum value are formed in conjunction.", "(4) Area Average Maximum Position Movement Step S4 When the detected position D1 where the area average is the maximum value is specified, the control unit 10 outputs the movement signal to the position adjustment unit 9, and the position adjustment unit 9 moves the position of the microchip 2 to the detected position D1 from the reference point D0 (refer to an arrow of FIG.", "6).", "(5) Signal Acquisition Step S5 In this step S5, the detection of the fluorescence which is generated from a plurality of positions within the region R1 where the area average is the maximum value is performed by the irradiation detection unit.", "The detected positions D of the fluorescence in this step S5 are illustrated in an enlarged view of FIG.", "6.In the drawings, a case where the fluorescence is detected from the detected positions D of (M2×N2) in which M2 rows in the X-axial direction and N2 rows in the Z-axial direction are arranged, including the detected position D1 where the area average is the maximum value is illustrated as an example.", "Arrangement intervals w and h of the detected positions D in the X-axial direction and the Z-axial direction are able to be properly set according to the flow passage width (the flow passage diameter) of the sample flow passage 22 and the number of arrangements M2 and N2 in the X-axial direction and the Z-axial direction.", "The number of arrangements M2 and N2 are, for example, 11 and 7, respectively.", "The row intervals w and h are set, for example, to 5 and 25 μm, respectively.", "Furthermore, in this step S5, the number of detected positions D and the arrangement aspect are not particularly limited.", "The detection of the fluorescence is performed with respect to the one detected position D for the predetermined time.", "The fluorescence detected for the predetermined time is converted to the electric signal and output to the control unit 10.The fluorescence is detected by performing scanning of the laser L1 in the X-axial direction and the Z-axial direction to sequentially scan each detected position D, and by detecting the fluorescence to be generated.", "Alternatively, the fluorescence from each of the detected positions D may be collectively detected by the irradiation of the laser L1 according to an area imaging element.", "(6) Integrated Value Maximum Position Determination Step S6 In this step S6, the control unit 10 calculates either one or both of the integrated value and the average value of the detected intensity with respect to each of the detected positions D, and a variation coefficient (a CV value) thereof.", "Hereinafter, a process using the integrated value of the detected intensity and the CV value thereof will be described as an example.", "The control unit 10 compares the calculated integrated value of the detected intensity with respect to each of the detected positions D, and determines the detected position D where the integrated value becomes higher, preferably, the detected position D (a first optimal position) where the integrated value is the maximum value.", "Here, a case where the integrated value is the maximum value in the detected position D11 will be described (refer to FIG.", "6).", "(7) Variation Coefficient Determination Step S7 Next, the control unit 10 compares the CV values between the detected position D11 where the integrated value is the maximum value and the adjacent detected positions D12 to D19, and automatically determines the presence or absence of the detected position D (a second optimal position) to which a CV value smaller than that of the detected position D11 is applied (refer to FIG.", "7).", "(8) Position Optimization Step S8 In step S2, when the detected position D to which the CV value smaller than that of the detected position D11 where the integrated value is the maximum value is applied is not found in any of the detected position D11 and the adjacent detected positions D12 to D19, the control unit 10 moves the position of the microchip 2 to the detected position D11 from the detected position D1.At this time, both the detected position (the first optimal position) where the integrated value is the maximum value and the detected position (the second optimal position) where the CV value is the minimum value are coincident with each other in the detected position D11.In addition, in step S7, when the detected position D to which the CV value smaller than that of the detected position D11 is applied is found in any one of the detected positions D12 to D19, the control unit 10 moves the position of the microchip 2 to the detected position D (for example, the detected position D18) from the detected position D1.At this time, the detected position (the first optimal position) where the integrated value is the maximum value and the detected position (the second optimal position) where the CV value is the minimum value are not coincident with each other.", "The detected position D11 where the integrated value is the maximum value is a position where the fluorescence is most strongly generated, and is able to be considered as a through-flow position of the calibration bead or the cell in the sample flow passage 22.That is, when the relative position of the microchip 2 with respect to the irradiation detection unit is in the detected position D11, the laser L1 emitted from the irradiation detection unit is applied to the through-flow position of the calibration bead or the like in the sample flow passage 22.In some cases even though the detected position D11 where the integrated value is the maximum value is not the through-flow position of the calibration bead or the like in the sample flow passage 22, the integrated value of the fluorescence intensity may be the maximum value.", "For example, when the detected position D11 is coincident with a flow passage wall of the micro-flow passage 22, the fluorescence intensity which is abnormally high due to reflecting, scattering, or the like of the fluorescence may be sporadically detected.", "In this case, a variation is generated in the fluorescence intensity which is detected in the position, and the CV value of the integrated value of the fluorescence intensity becomes high.", "When the detected position D11 is coincident with the flow passage wall of the micro-flow passage 22, or the like, it is possible to consider the detected position D18 to which a smaller CV value is applied among the detected position D11 and the adjacent detected positions as the through-flow position of the calibration bead or the like in the sample flow passage 22.That is, when the relative position of the microchip 2 with respect to the irradiation detection unit is in the detected position D18, the laser L1 emitted from the irradiation detection unit is applied to the through-flow position of the calibration bead or the like in the sample flow passage 22.As described above, in the flow cytometer 1, the relative position of the microchip 2 with respect to the laser L1 is set to the position where the integrated value or the average value of the detected intensity of the fluorescence generated from the microchip 2 by the irradiation of the laser L1 becomes higher or the position where the CV value becomes smaller.", "Accordingly, in the flow cytometer 1, the through-flow position of the cell in the sample flow passage 22 of the microchip 2, and the optical axis of the laser L1 are automatically positioned with high accuracy, and thus it is possible to simply perform high accuracy measurement.", "In addition, in the flow cytometer 1, the optical position of the microchip 2 is optimized by a two-staged procedure of a coarse adjustment for specifying the position where the area average of the integrated value of the detected intensity of the fluorescence becomes higher (steps S2 and S3), and a fine adjustment for specifying the position where the integrated value or the average value becomes higher within the region where the area average becomes higher or the position where the CV value becomes smaller (steps S5 to S7).", "Accordingly, it is possible to rapidly perform the optimization of the optical position of the microchip 2 with a small processing load.", "3.Optimization Control of Optical Position of Microchip-type Optical Measuring Apparatus according to Second Embodiment of the Present Technology FIG.", "8 is a flowchart for describing a control step according to a second embodiment in order to optimize the optical position of the flow cytometer 1.The control step of this embodiment includes a procedure of an “original point-reference point movement step S1”, a “coarse adjustment step S21”, a “first fine adjustment step S22”, a “second fine adjustment step S23”, and a “finer adjustment step S24”.", "Hereinafter, each procedure will be described.", "Furthermore, since the original point-reference point movement step S1 is a process which is substantially identical to the original point-reference point movement step S1 of the first embodiment, except that the reference point D0 (refer to FIG.", "5 again) corresponds to a reference point P0 illustrated in FIG.", "9 (described later), the description thereof will be omitted.", "(1) Coarse Adjustment Step S21 FIG.", "9 is a diagram for describing control of a coarse adjustment step S21 according to this embodiment.", "The coarse adjustment step S21 includes a procedure of a “grid-like signal acquisition step S211”, a “two-dimensional distribution parameter estimation step S212”, and a “maximum position movement step S213”.", "Hereinafter, each procedure will be described.", "(1-1) Grid-like Signal Acquisition Step S211 In this step 5211, the detection of the fluorescence from a plurality of detected positions D21 set in advance is performed by the irradiation detection unit (refer to FIG.", "9A).", "In this step S211, a position on the microchip 2 in which the detection of the fluorescence is performed is illustrated by a reference numeral D21 in FIG.", "9A.", "In FIG.", "9A, a case where the fluorescence is detected from the detected positions D21 in which 6 rows in the X-axial direction and 7 rows in the Z-axial direction are arranged, centered on the reference point P0 is illustrated as an example.", "In a region in which the detected positions D21 are set, the sample flow passage 22 is included, and the number of detected positions D21 and the arrangement aspect are not particularly limited but arbitrarily set insofar as the sample flow passage 22 is included in the region.", "It is preferable that the detected positions D21 be arranged in a reticular pattern in the X-axial direction and the Z-axial direction, as illustrated in FIG.", "9A.", "In this case, arrangement intervals W2 and H2 of the detected positions D21 in the X-axial direction and the Z-axial direction are able to be properly set according to the flow passage width (the flow passage diameter) of the sample flow passage 22 and the number of arrangements M3 and N3 of the detected positions D21 in the X-axial direction and the Z-axial direction.", "The arrangement intervals W2 and H2 are set, for example, to 62.5 and 125 μm, respectively.", "The detection of the fluorescence is performed substantially similarly to the signal acquisition step S2 of the first embodiment.", "(1-2) Two-Dimensional Distribution Parameter Estimation Step S212 In this step S212, the control unit 10 assumes that a relationship between each of the detected positions D21 and the integrated value or the average value of the detected intensity of the fluorescence follows two-dimensional probability distribution.", "Further, for the detected position and the detected intensity acquired in step S211, and an irradiation profile of the laser indicating a relationship between an irradiation position and an irradiation intensity, the detected intensity of each of the detected positions has a correlative relationship with the irradiation intensity of a corresponding irradiation position.", "For this reason, it is preferable that the assumed probability distribution be selected according to the irradiation profile of the laser.", "For example, when the irradiation profile is in the shape of a top-hat beam as illustrated in FIG.", "10A (described later), the control unit 10 is able to assume uniform distribution as a probability distribution model.", "On the other hand, when the irradiation profile is in the shape of a Gaussian beam as illustrated in FIG.", "10B (described later), normal distribution is able to be assumed as the probability distribution model.", "Thus, it is possible to adjust the optical position according to the optical characteristic of the laser of the apparatus with high speed and high accuracy by selecting the probability distribution according to the irradiation profile of the laser.", "In addition, when it is assumed that the relationship between each of the detected positions D21 and the integrated value or the average value of the detected intensity of the fluorescence follows the two-dimensional probability distribution, the control unit 10 estimates a distribution parameter of the probability distribution by a stochastic method, on the basis of stochastic information.", "For example, the control unit 10 is able to estimate the distribution parameter (an average (a center position of the distribution), dispersion (spread of the distribution), or the like) with respect to each integrated value or average value by a maximum likelihood estimation method.", "In this maximum likelihood estimation method, at the time of estimating the relationship between the detected intensity and the detected position to be in the two-dimensional normal distribution, it is possible to estimate where the center position (the position where the detected intensity is maximum) of the two-dimensional normal distribution is at the time of maximizing likelihood, on the basis of the probability distribution model stored in the control unit 10 in advance.", "According to this maximum likelihood estimation method, it is possible to estimate the position (an optical axis center position of the laser L1) where the detected intensity is maximum with high accuracy even when data of the detected intensity is small.", "(1-3) Maximum Position Movement Step S213 In this step S213, the control unit 10 outputs the movement signal for a position P1 where the integrated value or the average value of the detected intensity in the probability distribution estimated in the probability distribution estimation step S212 becomes higher, and preferably, becomes the maximum value to the position adjustment unit 9.Accordingly, as illustrated in FIG.", "9C, the position adjustment unit 9 moves the position of the microchip 2 to the position P1 from the reference point P0.Here, a calculating method of the maximum position will be described with reference to FIG.", "10.FIG.", "10 is a diagram illustrating a state where the two-dimensional probability distribution is viewed from one direction.", "In FIG.", "10A, top-hat distribution (uniform distribution) is illustrated.", "When the probability distribution is estimated to be the top-hat distribution, the control unit 10 determines a center position of an edge E1 and an edge E2 in FIG.", "10A as the position where the detected intensity is maximum.", "On the other hand, in FIG.", "10B, Gaussian distribution is illustrated.", "When the probability distribution is estimated as the Gaussian distribution, as illustrated in FIG.", "10B, it is possible to set a position where the integrated value or the average value of the detected intensity has a maximum value b to a position where an inclination is 0 (an inclination a).", "In the flow cytometer 1, it is preferable that the optical profile of the laser be the Gaussian distribution, and in this case, the distribution of the integrated value or the average value of a plurality of detected intensities may be the Gaussian distribution.", "Thus, in the coarse adjustment step S21, the integrated value or the average value of the detected intensity of the light in a plurality of detected positions D21 is estimated as a specified two-dimensional probability distribution, and it is possible to move the microchip 2 to the position where the integrated value or the average value of the detected intensity of the light in the probability distribution becomes higher, and preferably, becomes the maximum value.", "As described above, according to the optical position adjusting method of this embodiment, it is possible to improve position adjustment accuracy of the microchip 2 without increasing the number of detected positions D21, by estimating the probability distribution of the detected intensity of each of the detected positions D21.", "(2) First Fine Adjustment Step S22 FIG.", "11 is a diagram for describing control of a first fine adjustment step S22 according to this embodiment.", "The first fine adjustment step S22 includes a procedure of a “linear signal acquisition step S221”, a “one-dimensional distribution parameter estimation step S222”, and a “maximum position movement step S223”.", "Hereinafter, each procedure will be described.", "(2-1) Linear Signal Acquisition Step S221 In this step S221, centered on the position P1 which is set in the coarse adjustment step S21, the detection of the fluorescence from a plurality of detected positions d22 which are arranged in the X-axial direction is performed (FIG.", "11A).", "An interval W22 to be detected and the number of arrangements of the detected positions d22 are able to be properly set.", "In FIG.", "11A, a case where 19 detected positions d22 are arranged in the X-axial direction, centered on the position P1 is illustrated as an example.", "The detection of the fluorescence is performed substantially similarly to the signal acquisition step S2 of the first embodiment.", "(2-2) One-Dimensional Distribution Parameter Estimation Step S222 In this step S222, the control unit 10 assumes that a relationship between each of the detected positions d22 and the integrated value or the average value of the detected intensity of the fluorescence follows a one-dimensional distribution stored in a memory or the like.", "For example, when the data of the detected intensity illustrated in FIG.", "11B is obtained, the control unit 10 sets the one-dimensional distribution to an Nth-order polynomial model, and thus it is possible to calculate a maximum value on the basis of a least-square method.", "Setting the one-dimensional distribution to the Nth-order polynomial model allows a variation of the distribution of the optical profile due to a design variation or the like of each component configuring the flow cytometer 1 to be handled with high accuracy, compared to a case where the one-dimensional distribution is set to the normal distribution.", "Here, at the time of setting the distribution to the Nth-order polynomial model, while accuracy increases as the order becomes higher, it is susceptible to an effect due to an error of the detected intensity of each of the detected positions d22 when the order is too high, and thus it is preferable that the order be, for example, fourth-order.", "(2-3) Maximum Position Movement Step S223 In this step S223, the control unit 10 outputs the movement signal for the position P2 where the integrated value or the average value of the detected intensity in the one-dimensional distribution assumed in the one-dimensional parameter estimation step S222 becomes higher, and preferably, becomes the maximum value to the position adjustment unit 9.Accordingly, as illustrated in FIG.", "11C, the position adjustment unit 9 moves the position of the microchip 2 to the position P2 from the position P1.Furthermore, a case where the position P2 illustrated in FIG.", "11C is on the detected position d22 is illustrated as an example, but the position P2 may be between two detected positions d22.Thus, in the first fine adjustment step S22, it is possible to adjust the position of the microchip 2 adjusted in the coarse adjustment step S21 with high accuracy.", "Particularly, the position adjustment of the microchip 2 is performed on the basis of the detected intensity of the detected positions d22 which are arranged in one direction.", "For this reason, it is possible to reduce the number of data items to be detected, compared to a case where the position adjustment is performed on the basis of the intensity of the detected positions which are arranged in a plurality of directions, for example, in a reticular pattern.", "Accordingly, even though the number of arrangements increases, and accuracy of the data increases, it is possible to inhibit data detection time from being increased by narrowing the interval of the detected positions d22, compared to a case where the data is two-dimensionally acquired.", "(3) Second Fine Adjustment Step S23 FIG.", "12 is a diagram for describing control of a second fine adjustment step S23 according to this embodiment.", "The second fine adjustment step S23 includes a procedure of a “linear signal acquisition step S231”, a “one-dimensional parameter estimation step S232”, and a “maximum position movement step S233”.", "As illustrated in FIGS.", "12A to 12C, the control of this step S23 is substantially identical to the control of the first fine adjustment step S22 which is described with reference to FIGS.", "11A to 11C, except that the microchip 2 is moved to the position P3 from the position P2 in the Y-axial direction on the basis of the detected intensity of detected positions d23 which are arranged in the Y-axial direction, centered on the position P2, instead of moving the microchip 2 to the position P2 from the position P1 in the X-axial direction on the basis of the detected intensity of the detected positions d22 which are arranged in the X-axial direction.", "For this reason, the description thereof will be omitted here.", "Here, in the first fine adjustment step S22, the position adjustment is performed in the X-axial direction (a flow width direction of the microchip 2), and in the second fine adjustment step S23, the position adjustment is performed in the Z-axial direction (a focus direction of the laser L1).", "Since the Z-axial direction has a wider optical profile width (the detected intensity of the fluorescence is high in a wide range) compared to the X-axial direction, the position adjustment in the Z-axial direction is likely to be performed with high accuracy according to a process performed in the coarse adjustment step S21 described above, compared to the position adjustment in X-axial direction.", "For this reason, in the coarse adjustment step S21, it is preferable that the Z-axial direction in which the position adjustment is performed with higher accuracy be fixed first, and then the position adjustment of the microchip 2 in the X-axial direction be performed.", "Accordingly, it is preferable that the first fine adjustment step S21 and the second fine adjustment step S22 be performed in this order.", "In the second fine adjustment step S23, the position adjustment of the microchip 2 is performed in a direction different from the direction of the fine adjustment in the first fine adjustment S22, thereby allowing the position adjustment to be performed with higher accuracy.", "(4) Finer Adjustment Step S24 FIG.", "13 is a diagram for describing control of the second fine adjustment step S23 according to this embodiment.", "The finer adjustment step S24 includes a procedure of a “signal acquisition step S241”, an “integrated value maximum position determination step S6”, a “variation coefficient determination step S7”, and a “position optimization step S8”.", "As illustrated in FIG.", "13A, first, in the signal acquisition step S241, the detection of the fluorescence is performed in a plurality of detected positions d24, centered on the position P3 which is set in the second fine adjustment step S23.In FIG.", "13A, a case where the number of arrangements M4 of the X-axial direction is 11 rows, and the number of arrangements N1 of the Z-axial direction is 3 rows is illustrated as an example.", "The detection of the fluorescence is performed substantially similarly to the signal acquisition step S2 of the first embodiment.", "Next, after performing the detection of the fluorescence in the signal acquisition step S241, the integrated value maximum position determination step S6, the variation coefficient determination step S2, and the position optimization step S8 are performed substantially similarly to the integrated value maximum position determination step S6, the variation coefficient determination step S2, and the position optimization step S8 of the control step of the first embodiment (refer to FIG.", "13B and FIG.", "13C).", "Thus, the microchip 2 is moved to the most suitable position (moved to P4 from P3 in FIG.", "13C).", "Furthermore, in this embodiment, each process is described in an order of the “coarse adjustment step S21”, the “first fine adjustment step S22”, the “second fine adjustment step S23”, and the “finer adjustment step S24”, but, for example, the “coarse adjustment step S21”, the “first fine adjustment step S22”, and the “second fine adjustment step S23” may be repeated for a plurality of times.", "In addition, only the “coarse adjustment step S21”, the “first fine adjustment step S22”, and the “second fine adjustment step S23” are performed at once or a plurality of times, and the “finer adjustment step S24” may be omitted.", "As described above, according to the optical position adjusting method of this embodiment, the relationship between each of the detected positions and the detected intensity is assumed to follow the specified probability distribution model, and the distribution parameter is estimated on the basis of the stochastic method, thereby allowing the position adjustment of the microchip 2 to be performed with high accuracy in a rapid process, without increasing the number of detected positions.", "In the microchip-type optical measuring apparatus according to the present technology, an apparatus for optically measuring an object to be measured which is introduced to a region having the microchip formed therein is broadly included in addition to the microparticle measuring apparatus (the flow cytometer).", "In addition, the optical position adjusting method according to the present invention is able to be broadly applied to the entire apparatus for optically measuring an object to be measured which is introduced to a region having the microchip formed therein other than the microparticle measuring apparatus (the flow cytometer).", "The microchip-type optical measuring apparatus according to the present technology is able to be configured as the following.", "(1) A microchip-type optical measuring apparatus, including: an irradiation detection unit which detects light generated by irradiating a microchip with laser; a position adjustment unit which changes a relative position of the microchip with respect to the irradiation detection unit; and a control unit which outputs a movement signal for a position in which an integrated value or an average value of a detected intensity of the light in a preset region becomes high to the position adjustment unit.", "(2) The microchip-type optical measuring apparatus according to (1), in which the control unit assumes that a relationship between a detected position of the light and the integrated value or the average value of the detected intensity of the light follows a pre-stored probability distribution, estimates a distribution parameter of the probability distribution on the basis of a pre-stored stochastic method, and thus creates the movement signal for the position in which the integrated value or the average value of the detected intensity of the light becomes maximum by the estimation.", "(3) The microchip-type optical measuring apparatus according to (2), in which the control unit assumes the probability distribution according to an irradiation profile of the laser.", "(4) The microchip-type optical measuring apparatus according to any one of (1) to (3), in which the control unit outputs the movement signal for a position in which a variation coefficient of the integrated value or the average value of the detected intensity of the light in a plurality of preset points becomes minimum to the position adjustment unit.", "(5) The microchip-type optical measuring apparatus according to (4), in which the control unit outputs the movement signal for an area in which an area average of the integrated value of the detected intensity in a plurality of preset areas becomes maximum to the position adjustment unit.", "(6) The microchip-type optical measuring apparatus according to (5), in which the control unit outputs the movement signal for a position in which the integrated value of the detected intensity in the plurality of preset points becomes maximum to the position adjustment unit.", "(7) The microchip-type optical measuring apparatus according to (6), in which the control unit outputs the movement signal for a first optimal position in which the integrated value of the detected intensity in the area of a maximum area average becomes maximum, or for a second optimal position in which the variation coefficient in the area of the maximum area average becomes minimum to the position adjustment unit.", "(8) The microchip-type optical measuring apparatus according to (7), in which the control unit outputs the movement signal for the second optimal position to the position adjustment unit when the first optimal position and the second optimal position are different from each other.", "(9) The microchip-type optical measuring apparatus according to (1) to (8), in which the microchip-type optical measuring apparatus is a microchip-type microparticle measuring apparatus.", "In addition, the optical position adjusting method of a microchip-type optical measuring apparatus according to the present technology is able to be configured as the following.", "(1) An optical position adjusting method, including: a procedure for detecting light which is generated from a microchip by laser irradiation, from a plurality of positions on the microchip; and a procedure for specifying a position in which an integrated value or an average value of a detected intensity of the light in a region where a plurality of preset points exists becomes maximum.", "(2) The optical position adjusting method according to (1), in which in the procedure for specifying the position, a relationship between a detected position of the light and the integrated value or the average value of the detected intensity of the light is assumed to follow a pre-stored probability distribution, a distribution parameter of the probability distribution is estimated on the basis of a pre-stored stochastic method, and thus the position in which the integrated value or the average value of the detected intensity of the light becomes maximum is specified by the estimation.", "(3) The optical position adjusting method according to (2), in which in the procedure for specifying the position, the probability distribution is set to two-dimensional distribution.", "(4) The optical position adjusting method according to (3), further including a procedure for assuming that a relationship of the integrated value or the average value of the detected intensity of the light from the position in which the integrated value or the average value of the detected intensity of the light is estimated to be maximum by the probability distribution to a predetermined position follows a one-dimensional distribution, and thus for specifying a position in which the integrated value or the average value of the detected intensity of the light becomes maximum by the one-dimensional distribution.", "(5) The optical position adjusting method according to any one of (1) to (4), in which in the procedure for specifying the position, the position is set to a position in which a variation coefficient of the integrated value or the average value of the detected intensity of the light in a plurality of preset points becomes minimum.", "(6) The optical position adjusting method according to (5), further including a procedure for specifying a position in which an area average of the integrated value of the detected intensity of the light in a plurality of preset areas becomes maximum.", "(7) The optical position adjusting method according to (6), further including a procedure for specifying a first optimal position in which the integrated value of the detected intensity in the area of a maximum area average becomes maximum.", "(8) The optical position adjusting method according to (7), in which the procedure for specifying the position in which the variation coefficient becomes minimum is a procedure for specifying a second optimal position in which the variation coefficient in the area of the maximum area average becomes minimum.", "(9) The optical position adjusting method according to (8), further including a procedure for setting a relative position of the microchip with respect to the laser to the first optimal position or the second optimal position.", "(10) The optical position adjusting method according to (9), in which the relative position is set to the second optimal position when the first optimal position and the second optimal position are different from each other.", "(11) An optical position adjusting method of a microchip-type optical measuring apparatus, including: a procedure for detecting light which is generated from a microchip by laser irradiation, from a plurality of positions on the microchip; a procedure for specifying a position in which an area average of an integrated value of a detected intensity of the light becomes higher; a procedure for specifying a first optimal position in which the integrated value or an average value of the detected intensity in an area where the area average becomes higher, becomes higher; a procedure for specifying a second optimal position in which a variation coefficient of the integrated value or the average value of the detected intensity in the area where the area average becomes higher, becomes smaller; and a procedure for setting a relative position of the microchip with respect to the laser to the first optimal position or the second optimal position.", "REFERENCE SIGNS LIST 1 microchip-type optical measuring apparatus 2 microchip 21 orifice 22 sample flow passage 23 sample inlet 3 oscillating element 41 charging unit 42 electrode 51, 52 deflection plate 61 light source 62 detector 81, 82, 83 collection container 9 position adjustment unit 10 control unit D detected position F1 fluorescence L1 laser" ] ]
Patent_15875846
[ [ "POLYPEPTIDE MODIFICATION METHOD FOR PURIFYING POLYPEPTIDE MULTIMERS", "The present invention provides efficient methods based on alteration of the protein A-binding ability, for producing or purifying multispecific antibodies having the activity of binding to two or more types of antigens to high purity through a protein A-based purification step alone.", "The methods of the present invention for producing or purifying multispecific antibodies which feature altering amino acid residues of antibody heavy chain constant region and/or variable region.", "Multispecific antibodies with an altered protein A-binding ability, which exhibit plasma retention comparable or longer than that of human IgG1, can be efficiently prepared in high purity by introducing amino acid alterations of the present invention into antibodies." ], [ "1.A method for producing a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity, which comprises the steps of: (a) expressing a DNA that encodes the first polypeptide having an antigen-binding activity and a DNA that encodes the second polypeptide having an antigen-binding activity or no antigen-binding activity; and (b) collecting the expression product of step (a), wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "2.The method of claim 1, wherein the expression product is collected using protein A affinity chromatography in step (b).", "3.The method of claim 1, wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so that there is a larger difference between the solvent pH for eluting the first polypeptide having an antigen-binding activity from protein A and that for eluting the second polypeptide having an antigen-binding activity or no antigen-binding activity from protein A.", "4.The method of claim 1, wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity or the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase or reduce the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "5.The method of claim 1, wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, and reduce the protein A-binding ability of the other polypeptide.", "6.The method of claim 1, wherein the purity of the collected polypeptide multimer is 95% or more.", "7.The method of claim 1, wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity comprise an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region.", "8.The method of claim 7, wherein at least one amino acid residue selected from the amino acid residues of positions 250 to 255, 308 to 317, and 430 to 436 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region has been modified.", "9.The method of claim 1, wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity comprise an amino acid sequence of an antibody heavy-chain variable region.", "10.The method of claim 9, wherein at least one amino acid residue has been modified in the amino acid sequences of FR1, CDR2, and FR3 of the antibody heavy-chain variable region.", "11.The method of claim 1, wherein the polypeptide multimer comprises one or two third polypeptides having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the third polypeptide having an antigen-binding activity.", "12.The method of claim 11, wherein the third polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "13.The method of claim 11, wherein the polypeptide multimer additionally comprises a fourth polypeptide having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the fourth polypeptide having an antigen-binding activity.", "14.The method of claim 13, wherein at least one of the third and fourth polypeptides having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "15.The method of claim 13, wherein the first polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody light-chain variable region and an antibody heavy-chain constant region; the second polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody heavy chain; the third polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody heavy-chain variable region and an antibody light-chain constant region; and the fourth polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "16.The method of claim 1, wherein the polypeptide multimer is a multispecific antibody.", "17.The method of claim 16, wherein the multispecific antibody is a bispecific antibody.", "18.The method of claim 1, which comprises the first polypeptide having an antigen-binding activity and the second polypeptide having no antigen-binding activity, and wherein the first polypeptide having an antigen-binding activity comprises an amino acid sequence of an antigen-binding domain of a receptor and an amino acid sequence of an antibody Fc domain, and the second polypeptide having no antigen-binding activity comprises an amino acid sequence of an antibody Fc domain.", "19.", "(canceled) 20.A polypeptide multimer produced by the method of claim 1.21.-36.", "(canceled) 37.A polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity, wherein the protein A-binding ability is different for the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "38.-55.", "(canceled)" ], [ "<SOH> BACKGROUND ART <EOH>There are some previously reported methods for producing an IgG-type bispecific antibody having a human constant region (IgG-type antibody which has a human constant region and in which one of the arms has a specific binding activity to antigen A and the other has a specific binding activity to antigen B).", "In general, an IgG-type bispecific antibody is composed of two types of H chains (i.e., H chain against antigen A and H chain against antigen B) and two types of L chains (i.e., L chain against antigen A and L chain against antigen B).", "When such an IgG-type bispecific antibody is expressed, two types of H chains and two types of L chains are expressed, and there are ten possible combinations for the H2L2 combination.", "Of these, only one combination has the specificity of interest (one arm has binding activity specific to antigen A and the other has binding activity specific to antigen B).", "Thus, to obtain a bispecific antibody of interest, it is necessary to purify a single antibody of interest from the ten types of antibodies.", "This is an extremely inefficient and difficult process.", "There are reported methods for solving this problem which use a common L chain so that the L chain against antigen A and the L chain against antigen B have an identical amino acid sequence (Patent Documents 1 and 2).", "When an IgG-type bispecific antibody having such a common L chain is expressed, two types of H chains and one type of common L chain are expressed, and there are three possible combinations for the H2L2 combination.", "One of these combinations is a bispecific antibody of interest.", "These three combinations are: monospecific antibody against antigen A (homomeric H chain antibody against antigen A), bispecific antibody against both antigen A and antigen B (heteromeric antibody with an H chain against antigen A and an H chain against antigen B), and monospecific antibody against antigen B (homomeric H chain antibody against antigen B).", "Since their ratio is in general 1:2:1, the expression efficiency of the desired bispecific antibody is about 50%.", "A method for further improving this efficiency has been reported which allows two types of H chains heteromerically associate (Patent Document 3).", "This can increase the expression efficiency of the desired bispecific antibody up to about 90-95%.", "Meanwhile, a method has been reported for efficiently removing the two types of homomeric antibodies which are impurities, in which amino acid substitutions are introduced into the variable regions of the two types of H chains to give them different isoelectric points so that the two types of homomeric antibodies and the bispecific antibody of interest (heteromeric antibody) can be purified by ion exchange chromatography (Patent Document 4).", "A combination of the above-mentioned methods has made it possible to efficiently produce a bispecific antibody (heteromeric antibody) having an IgG-type human constant region.", "On the other hand, in the industrial production of IgG-type antibodies, a purification step by protein A chromatography must be used, but ion exchange chromatography is not necessarily used in the purification step.", "Therefore, the use of ion exchange chromatography for producing a highly pure bispecific antibody leads to an increase of production costs.", "In addition, since ion exchange chromatography alone may not ensure a robust purification method for pharmaceuticals, it is preferable to perform more than one chromatographic step to remove impurities.", "In any case, it is preferable that bispecific antibodies can also be highly purified by a chromatographic step that has a separation mode different from that of ion exchange chromatography.", "It is desirable that as one of such separation modes, protein A chromatography, which must be used in the industrial production of IgG-type antibodies, could purify bispecific antibodies to high purity.", "A previously reported method for purifying a bispecific antibody (heteromeric antibody) using protein A is to use a bispecific antibody having a mouse IgG2a H chain that binds to protein A and a rat IgG2b H chain that does not bind to protein A.", "It has been reported that this method allows a bispecific antibody of interest to be purified to a purity of 95% by the protein A-based purification step alone (Non-patent Document 1 and Patent Document 5).", "However, this method also uses ion exchange chromatography to improve the purity of the bispecific antibody.", "In other words, purification of a highly pure bispecific antibody cannot be achieved by the purification step using protein A chromatography alone.", "Moreover, catumaxomab, a bispecific antibody produced by the above-described method and having a mouse IgG2a H chain and a rat IgG2b H chain, has a half-life of about 2.1 days in human, which is extremely shorter than that of normal human IgG1 (2 to 3 weeks) (Non-patent Document 2).", "In addition to having a short half-life, catumaxomab is highly immunogenic because of its mouse and rat constant regions (Non-patent Document 3).", "Thus, a bispecific antibody obtained by such methods is considered inappropriate as a pharmaceutical.", "On the other hand, it has been suggested that from the viewpoint of immunogenicity, a human IgG3 constant region may be used as a protein A-nonbinding constant region (Non-patent Document 1).", "However, as it is known that the H chains of human IgG1 and human IgG3 hardly associate with each other (Non-patent Document 1), it is impossible to produce a bispecific antibody of interest using a human IgG1 H chain and a human IgG3 H chain by the same method used for the bispecific antibody having a mouse IgG2a H chain and a rat IgG2b H chain.", "Furthermore, the half-life of human IgG3 in human has been reported to be generally shorter than that of human IgG1, human IgG2, and human IgG4 (Non-patent Documents 4 and 5).", "Accordingly, like the bispecific antibody using a mouse IgG2a and a rat IgG2b, a bispecific antibody using human IgG3 might also have a short half-life in human.", "The reason that H chain association rarely occurs between human IgG1 and human IgG3 is suggested to be the hinge sequence of human IgG3 (Non-patent Document 1).", "Meanwhile, the reason for the short half-life of the human IgG3 constant region has not been fully elucidated yet.", "Thus, there has been no report so far with regard to bispecific antibodies that use a human IgG3 constant region as a protein A-nonbinding constant region.", "Moreover, there is also no report regarding methods for efficiently producing or purifying highly pure bispecific antibodies that have a human constant region and show a similarly long half-life as human IgG1." ], [ "<SOH> BRIEF DESCRIPTION OF THE DRAWINGS <EOH>FIG.", "1 is a graph showing an assessment of the plasma retention time of MRA-IgG1 and MRA-z106/z107k in human FcRn transgenic mice.", "FIG.", "2 is a diagram showing that the same region in the antibody Fc domain binds to protein A and FcRn.", "FIG.", "3 shows a time course of the plasma concentrations of Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k after administration to human FcRn transgenic mice.", "FIG.", "4 is a schematic diagram of a GC33-IgG1-CD3-scFv molecule which divalently binds to cancer specific antigen glypican-3 (GPC3) and monovalently binds to T cell antigen CD3.FIG.", "5 shows the result of size exclusion chromatography analysis of protein A-purified NTA1L/NTA1R/GC33-k0 and NTA2L/NTA2R/GC33-k0.FIG.", "6 is a schematic diagram of an anti-GPC3 IgG antibody molecule that monovalently binds to glypican-3.FIG.", "7 shows the result of size exclusion chromatography analysis of protein A-purified NTA4L-cont/NTA4R-cont/GC33-k0, NTA4L-G3/NTA4R-cont/GC33-k0, and NTA4L/NTA4R/GC33-k0.FIG.", "8 shows chromatograms of NTA4L-cont/NTA4R-cont/GC33-k0, NTA4L-G3/NTA4R-cont/GC33-k0, and NTA4L/NTA4R/GC33-k0 subjected to protein A column chromatography purification with pH gradient elution.", "FIG.", "9 is a schematic diagram of an Fc alpha receptor-Fc fusion protein molecule that monovalently binds to IgA.", "FIG.", "10 shows the result of size exclusion chromatography analysis of protein A-purified IAL-cont/IAR-cont and IAL/IAR.", "FIG.", "11 is a schematic diagram of no1, a naturally occurring anti-IL-6 receptor/anti-GPC3 bispecific antibody.", "FIG.", "12 is a schematic diagram of no2, which was obtained by interchanging the anti-GPC3 antibody VH domain and VL domain in no1.FIG.", "13 is a schematic diagram of no3, which was obtained by modifying no2 to alter the isoelectric point of each chain.", "FIG.", "14 is a schematic diagram of no5, which was obtained by modifying no3 to enhance the heteromeric association of H chains and to purify the heteromerically associated antibody using protein A.", "FIG.", "15 is a schematic diagram of no6, which was obtained by modifying no5 to enhance the association between the H chain of interest and the L chain of interest.", "FIG.", "16 is chromatograms of anti-IL-6 receptor/anti-GPC3 bispecific antibodies no1, no2, no3, no5, and no6 in cation exchange chromatography to assess their expression patterns.", "FIG.", "17 is a chromatogram of no6 CM eluted with a pH gradient from a HiTrap protein A HP column (GE Healthcare).", "FIG.", "18 is a chromatogram of cation exchange chromatography analysis to assess a main peak fraction obtained by purification of a protein A-purified fraction of no6 using an SP Sepharose HP column (GE Healthcare).", "detailed-description description=\"Detailed Description\" end=\"lead\"?" ], [ "CROSS-REFERENCE TO RELATED APPLICATIONS This application is a continuation of U.S. application Ser.", "No.", "15/617,008, filed on Jun.", "8, 2017, which is a continuation of U.S. application Ser.", "No.", "13/518,861, having a 371(c) date of Oct. 4, 2012, which is the National Stage of International Application Serial No.", "PCT/JP2010/073361, filed on Dec. 24, 2010, which claims the benefit of Japanese Application Serial No.", "2009-294391, filed on Dec. 25, 2009.The contents of the foregoing applications are incorporated by reference in their entireties in this application.", "TECHNICAL FIELD The present invention relates to methods for producing or purifying polypeptide multimers, polypeptide multimers with an altered protein A-binding ability, and such.", "BACKGROUND ART There are some previously reported methods for producing an IgG-type bispecific antibody having a human constant region (IgG-type antibody which has a human constant region and in which one of the arms has a specific binding activity to antigen A and the other has a specific binding activity to antigen B).", "In general, an IgG-type bispecific antibody is composed of two types of H chains (i.e., H chain against antigen A and H chain against antigen B) and two types of L chains (i.e., L chain against antigen A and L chain against antigen B).", "When such an IgG-type bispecific antibody is expressed, two types of H chains and two types of L chains are expressed, and there are ten possible combinations for the H2L2 combination.", "Of these, only one combination has the specificity of interest (one arm has binding activity specific to antigen A and the other has binding activity specific to antigen B).", "Thus, to obtain a bispecific antibody of interest, it is necessary to purify a single antibody of interest from the ten types of antibodies.", "This is an extremely inefficient and difficult process.", "There are reported methods for solving this problem which use a common L chain so that the L chain against antigen A and the L chain against antigen B have an identical amino acid sequence (Patent Documents 1 and 2).", "When an IgG-type bispecific antibody having such a common L chain is expressed, two types of H chains and one type of common L chain are expressed, and there are three possible combinations for the H2L2 combination.", "One of these combinations is a bispecific antibody of interest.", "These three combinations are: monospecific antibody against antigen A (homomeric H chain antibody against antigen A), bispecific antibody against both antigen A and antigen B (heteromeric antibody with an H chain against antigen A and an H chain against antigen B), and monospecific antibody against antigen B (homomeric H chain antibody against antigen B).", "Since their ratio is in general 1:2:1, the expression efficiency of the desired bispecific antibody is about 50%.", "A method for further improving this efficiency has been reported which allows two types of H chains heteromerically associate (Patent Document 3).", "This can increase the expression efficiency of the desired bispecific antibody up to about 90-95%.", "Meanwhile, a method has been reported for efficiently removing the two types of homomeric antibodies which are impurities, in which amino acid substitutions are introduced into the variable regions of the two types of H chains to give them different isoelectric points so that the two types of homomeric antibodies and the bispecific antibody of interest (heteromeric antibody) can be purified by ion exchange chromatography (Patent Document 4).", "A combination of the above-mentioned methods has made it possible to efficiently produce a bispecific antibody (heteromeric antibody) having an IgG-type human constant region.", "On the other hand, in the industrial production of IgG-type antibodies, a purification step by protein A chromatography must be used, but ion exchange chromatography is not necessarily used in the purification step.", "Therefore, the use of ion exchange chromatography for producing a highly pure bispecific antibody leads to an increase of production costs.", "In addition, since ion exchange chromatography alone may not ensure a robust purification method for pharmaceuticals, it is preferable to perform more than one chromatographic step to remove impurities.", "In any case, it is preferable that bispecific antibodies can also be highly purified by a chromatographic step that has a separation mode different from that of ion exchange chromatography.", "It is desirable that as one of such separation modes, protein A chromatography, which must be used in the industrial production of IgG-type antibodies, could purify bispecific antibodies to high purity.", "A previously reported method for purifying a bispecific antibody (heteromeric antibody) using protein A is to use a bispecific antibody having a mouse IgG2a H chain that binds to protein A and a rat IgG2b H chain that does not bind to protein A.", "It has been reported that this method allows a bispecific antibody of interest to be purified to a purity of 95% by the protein A-based purification step alone (Non-patent Document 1 and Patent Document 5).", "However, this method also uses ion exchange chromatography to improve the purity of the bispecific antibody.", "In other words, purification of a highly pure bispecific antibody cannot be achieved by the purification step using protein A chromatography alone.", "Moreover, catumaxomab, a bispecific antibody produced by the above-described method and having a mouse IgG2a H chain and a rat IgG2b H chain, has a half-life of about 2.1 days in human, which is extremely shorter than that of normal human IgG1 (2 to 3 weeks) (Non-patent Document 2).", "In addition to having a short half-life, catumaxomab is highly immunogenic because of its mouse and rat constant regions (Non-patent Document 3).", "Thus, a bispecific antibody obtained by such methods is considered inappropriate as a pharmaceutical.", "On the other hand, it has been suggested that from the viewpoint of immunogenicity, a human IgG3 constant region may be used as a protein A-nonbinding constant region (Non-patent Document 1).", "However, as it is known that the H chains of human IgG1 and human IgG3 hardly associate with each other (Non-patent Document 1), it is impossible to produce a bispecific antibody of interest using a human IgG1 H chain and a human IgG3 H chain by the same method used for the bispecific antibody having a mouse IgG2a H chain and a rat IgG2b H chain.", "Furthermore, the half-life of human IgG3 in human has been reported to be generally shorter than that of human IgG1, human IgG2, and human IgG4 (Non-patent Documents 4 and 5).", "Accordingly, like the bispecific antibody using a mouse IgG2a and a rat IgG2b, a bispecific antibody using human IgG3 might also have a short half-life in human.", "The reason that H chain association rarely occurs between human IgG1 and human IgG3 is suggested to be the hinge sequence of human IgG3 (Non-patent Document 1).", "Meanwhile, the reason for the short half-life of the human IgG3 constant region has not been fully elucidated yet.", "Thus, there has been no report so far with regard to bispecific antibodies that use a human IgG3 constant region as a protein A-nonbinding constant region.", "Moreover, there is also no report regarding methods for efficiently producing or purifying highly pure bispecific antibodies that have a human constant region and show a similarly long half-life as human IgG1.PRIOR ART DOCUMENTS Patent Documents Patent Document 1: WO98050431 Patent Document 2: WO2006109592 Patent Document 3: WO2006106905 Patent Document 4: WO2007114325 Patent Document 5: WO95033844 Non-Patent Documents Non-patent Document 1: The Journal of Immunology, 1995, 155:219-225 Non-patent Document 2: J Clin Oncol 26: 2008 (May 20 suppl; abstr 14006) Non-patent Document 3: Clin Cancer Res 2007 13:3899-3905 Non-patent Document 4: Nat Biotechnol.", "2007 December; 25(12):1369-72 Non-patent Document 5: J. Clin Invest 1970; 49:673-80 DISCLOSURE OF THE INVENTION Problems to be Solved by the Invention In general, an ordinary IgG-type antibody can be efficiently produced as a highly pure IgG through a protein A-based purification step.", "However, the production of a highly pure bispecific antibody requires an additional purification step using ion exchange chromatography.", "The addition of such a purification step by ion exchange chromatography can complicate the production and increase production cost.", "Thus, it is preferable to produce a highly pure bispecific antibody by a protein A-based purification step alone.", "An objective of the present invention is to provide methods that use only a protein A-based purification step for efficiently producing or purifying a highly pure IgG-type bispecific antibody having a human antibody heavy chain constant region.", "Meanwhile, since the protein A binding site in the Fc domain is identical to the FcRn-binding site in the Fc domain, it is expected to be difficult to adjust the protein A-binding activity while retaining the binding to human FcRn.", "Retaining the human FcRn-binding ability is very important for the long plasma retention (long half-life) in human which is characteristic of IgG-type antibodies.", "The present invention provides methods that use only a protein A-based purification step to efficiently produce or purify a highly pure bispecific antibody that maintains a plasma retention time comparable to or longer than that of human IgG1.Means for Solving the Problems The present inventors discovered methods that use only a protein A-based purification step for efficiently purifying or producing a highly pure polypeptide multimer capable of binding to two or more antigens, in particular, a multispecific IgG-type antibody having a human constant region, by altering its protein A-binding ability.", "Furthermore, these methods were combined with methods for regulating the association between a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity by modifying amino acids that constitute the interface formed upon association of the polypeptides.", "By this combination, the present invention enables efficient production or purification of a highly pure polypeptide multimer of interest.", "The present inventors also discovered that by modifying the amino acid residue at position 435 (EU numbering) in the heavy chain constant region, the protein A-binding ability could be adjusted while keeping its plasma retention comparable to or longer than that of human IgG1.Based on this finding, a highly pure bispecific antibody with plasma retention time comparable to or longer than that of human IgG1 can be produced or purified.", "The present invention is based on the findings described above, and provides [1] to [55] below: [1] A method for producing a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity, which comprises the steps of: (a) expressing a DNA that encodes the first polypeptide having an antigen-binding activity and a DNA that encodes the second polypeptide having an antigen-binding activity or no antigen-binding activity; and (b) collecting the expression product of step (a), wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "[2] The method of [1], wherein the expression product is collected using protein A affinity chromatography in step (b).", "[3] The method of [1] or [2], wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so that there is a larger difference between the solvent pH for eluting the first polypeptide having an antigen-binding activity from protein A and that for eluting the second polypeptide having an antigen-binding activity or no antigen-binding activity from protein A.", "[4] The method of any one of [1] to [3], wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity or the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase or reduce the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "[5] The method of any one of [1] to [4], wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, and reduce the protein A-binding ability of the other polypeptide.", "[6] The method of any one of [1] to [5], wherein the purity of the collected polypeptide multimer is 95% or more.", "[7] The method of any one of [1] to [6], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity comprise an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region.", "[8] The method of [7], wherein at least one amino acid residue selected from the amino acid residues of positions 250 to 255, 308 to 317, and 430 to 436 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region has been modified.", "[9] The method of any one of [1] to [8], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity comprise an amino acid sequence of an antibody heavy-chain variable region.", "[10] The method of [9], wherein at least one amino acid residue has been modified in the amino acid sequences of FR1, CDR2, and FR3 of the antibody heavy-chain variable region.", "[11] The method of any one of [1] to [10], wherein the polypeptide multimer comprises one or two third polypeptides having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the third polypeptide having an antigen-binding activity.", "[12] The method of [11], wherein the third polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[13] The method of [11] or [12], wherein the polypeptide multimer additionally comprises a fourth polypeptide having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the fourth polypeptide having an antigen-binding activity.", "[14] The method of [13], wherein at least one of the third and fourth polypeptides having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[15] The method of [13], wherein the first polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody light-chain variable region and an antibody heavy-chain constant region; the second polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody heavy chain; the third polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody heavy-chain variable region and an antibody light-chain constant region; and the fourth polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[16] The method of any one of [1] to [15], wherein the polypeptide multimer is a multispecific antibody.", "[17] The method of [16], wherein the multispecific antibody is a bispecific antibody.", "[18] The method of any one of [1] to [8], which comprises the first polypeptide having an antigen-binding activity and the second polypeptide having no antigen-binding activity, and wherein the first polypeptide having an antigen-binding activity comprises an amino acid sequence of an antigen-binding domain of a receptor and an amino acid sequence of an antibody Fc domain, and the second polypeptide having no antigen-binding activity comprises an amino acid sequence of an antibody Fc domain.", "[19] The method of any one of [7] to [18], wherein the antibody Fc domain or antibody heavy-chain constant region is derived from human IgG.", "[20] A polypeptide multimer produced by the method of any one of [1] to [19].", "[21] A method for purifying a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity, which comprises the steps of: (a) expressing a DNA that encodes the first polypeptide having an antigen-binding activity and a DNA that encodes the second polypeptide having an antigen-binding activity or no antigen-binding activity; and (b) collecting the expression product of step (a) by protein A affinity chromatography, wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "[22] The method of [21], wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity or the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase or reduce the protein A-binding ability of the first polypeptide having an antigen-binding activity or the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "[23] The method of [20] or [21], wherein one or more amino acid residues in the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified, so as to increase the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, and reduce the protein A-binding ability of the other polypeptide.", "[24] The method of any one of [21] to [23], wherein the purity of the collected polypeptide multimer is 95% or more.", "[25] The method of any one of [21] to [24], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity comprise an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region.", "[26] The method of [25], wherein at least one amino acid residue selected from the amino acid residues of positions 250 to 255, 308 to 317, and 430 to 436 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region has been modified.", "[27] The method of any one of [21] to [26], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity comprise an amino acid sequence of an antibody heavy-chain variable region.", "[28] The method of [27], wherein at least one amino acid residue has been modified in the amino acid sequences of FR1, CDR2, and FR3 of the antibody heavy-chain variable region.", "[29] The method of any one of [21] to [28], wherein the polypeptide multimer comprises one or two third polypeptides having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the third polypeptide having an antigen-binding activity.", "[30] The method of [29], wherein the third polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[31] The method of [29] or [30], wherein the polypeptide multimer additionally comprises a fourth polypeptide having an antigen-binding activity, and step (a) comprises expressing a DNA that encodes the fourth polypeptide having an antigen-binding activity.", "[32] The method of [31], wherein at least one of the third and fourth polypeptides having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[33] The method of [31], wherein the first polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody light-chain variable region and an antibody heavy-chain constant region; the second polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody heavy chain; the third polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody heavy-chain variable region and an antibody light-chain constant region; and the fourth polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[34] The method of any one of [21] to [33], wherein the polypeptide multimer is a multispecific antibody.", "[35] The method of [34], wherein the multispecific antibody is a bispecific antibody.", "[36] The method of any one of [25] to [35], wherein the antibody Fc domain or antibody heavy-chain constant region is derived from human IgG.", "[37] A polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity, wherein the protein A-binding ability is different for the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "[38] The polypeptide multimer of [37], wherein there is a difference between the solvent pH for eluting the first polypeptide having an antigen-binding activity from protein A and that for eluting the second polypeptide having an antigen-binding activity or no antigen-binding activity from protein A.", "[39] The polypeptide multimer of [37] or [38], wherein the first polypeptide having an antigen-binding activity or the second polypeptide having an antigen-binding activity or no antigen-binding activity comprises an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region, and wherein at least one amino acid residue selected from the amino acid residues of positions 250 to 255, 308 to 317, and 430 to 436 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region has been modified.", "[40] The polypeptide multimer of any one of [37] to [39], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity comprise an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region; wherein the amino acid residue of position 435 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region is histidine or arginine in either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity; and wherein the amino acid residue of position 435 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region in either one of said polypeptides is different from that in the other polypeptide.", "[41] The polypeptide multimer of any one of [37] to [40], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity comprise an amino acid sequence of an antibody Fc domain or an amino acid sequence of an antibody heavy-chain constant region; wherein the amino acid residue of position 435 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region is histidine in either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity; and wherein the amino acid residue of position 435 (EU numbering) in the amino acid sequence of the antibody Fc domain or antibody heavy-chain constant region is arginine in the other polypeptide.", "[42] The polypeptide multimer of any one of [37] to [41], wherein the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity comprise an amino acid sequence of an antibody heavy-chain variable region, and at least one amino acid residue has been modified in the amino acid sequences of FR1, CDR2, and FR3 of the heavy-chain variable region.", "[43] The polypeptide multimer of any one of [37] to [42], which additionally comprises one or two third polypeptides having an antigen-binding activity.", "[44] The polypeptide multimer of [43], wherein the third polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[45] The polypeptide multimer of [43] or [44], which additionally comprises a fourth polypeptide having an antigen-binding activity.", "[46] The polypeptide multimer of [45], wherein at least one of the third and fourth polypeptides having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[47] The polypeptide multimer of [45], wherein the first polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody light-chain variable region and an antibody heavy-chain constant region; the second polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody heavy chain; the third polypeptide having an antigen-binding activity comprises amino acid sequences of an antibody heavy-chain variable region and an antibody light-chain constant region; and the fourth polypeptide having an antigen-binding activity comprises an amino acid sequence of an antibody light chain.", "[48] The polypeptide multimer of any one of [37] to [47], which is a multispecific antibody.", "[49] The polypeptide multimer of [48], wherein the multispecific antibody is a bispecific antibody.", "[50] The polypeptide multimer of any one of [37] to [41], which comprises the first polypeptide having an antigen-binding activity and the second polypeptide having no antigen-binding activity, and wherein the first polypeptide having an antigen-binding activity comprises an amino acid sequence of an antigen-binding domain of a receptor and an amino acid sequence of an antibody Fc domain, and the second polypeptide having no antigen-binding activity comprises an amino acid sequence of an antibody Fc domain.", "[51] The polypeptide multimer of any one of [39] to [50], wherein the antibody Fc domain or antibody heavy-chain constant region is derived from human IgG.", "[52] A nucleic acid encoding a polypeptide that constitutes the polypeptide multimer of any one of [20] and [37] to [51].", "[53] A vector inserted with the nucleic acid of [52].", "[54] A cell comprising the nucleic acid of [52] or the vector of [53].", "[55] A pharmaceutical composition comprising the polypeptide multimer of any one of [20] and [37] to [51] as active ingredient.", "Effects of the Invention The present invention provides methods that use only a protein A-based purification step for efficiently purifying or producing a highly pure polypeptide multimer having binding activity against two or more antigens (multispecific antibody), by altering its protein A-binding ability.", "The methods of the present invention enable efficient purification or production of a highly pure polypeptide multimer of interest without impairing the effects of other amino acid modifications of interest.", "In particular, by combining these methods with a method for regulating the association between two protein domains, polypeptide multimers of interest can be more efficiently produced or purified to higher purity.", "The methods of the present invention for producing or purifying multispecific antibodies are characterized in that amino acid residues in their antibody heavy chain constant region and/or antibody heavy chain variable region are modified.", "The amino acid modifications of the present invention are introduced into these regions to modify their protein A-binding ability.", "In addition, other effects of amino acid modification of interest, for example, comparable or longer plasma retention time than that of human IgG1 can also be obtained.", "The methods of the present invention enable efficient preparation of highly pure multispecific antibodies having such amino acid modification effects.", "In general, the production of highly pure IgG-type multispecific antibodies requires a purification step using ion exchange chromatography.", "However, the addition of this purification step complicates the production and increases production cost.", "On the other hand, purification that uses only ion exchange chromatography may not be robust enough as a purification method for pharmaceuticals.", "Thus, it is a task to develop a method for producing an IgG-type bispecific antibody using only a protein A-based purification step, or develop a robust production method using a protein A-based purification step and an ion exchange chromatography step.", "BRIEF DESCRIPTION OF THE DRAWINGS FIG.", "1 is a graph showing an assessment of the plasma retention time of MRA-IgG1 and MRA-z106/z107k in human FcRn transgenic mice.", "FIG.", "2 is a diagram showing that the same region in the antibody Fc domain binds to protein A and FcRn.", "FIG.", "3 shows a time course of the plasma concentrations of Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k after administration to human FcRn transgenic mice.", "FIG.", "4 is a schematic diagram of a GC33-IgG1-CD3-scFv molecule which divalently binds to cancer specific antigen glypican-3 (GPC3) and monovalently binds to T cell antigen CD3.FIG.", "5 shows the result of size exclusion chromatography analysis of protein A-purified NTA1L/NTA1R/GC33-k0 and NTA2L/NTA2R/GC33-k0.FIG.", "6 is a schematic diagram of an anti-GPC3 IgG antibody molecule that monovalently binds to glypican-3.FIG.", "7 shows the result of size exclusion chromatography analysis of protein A-purified NTA4L-cont/NTA4R-cont/GC33-k0, NTA4L-G3/NTA4R-cont/GC33-k0, and NTA4L/NTA4R/GC33-k0.FIG.", "8 shows chromatograms of NTA4L-cont/NTA4R-cont/GC33-k0, NTA4L-G3/NTA4R-cont/GC33-k0, and NTA4L/NTA4R/GC33-k0 subjected to protein A column chromatography purification with pH gradient elution.", "FIG.", "9 is a schematic diagram of an Fc alpha receptor-Fc fusion protein molecule that monovalently binds to IgA.", "FIG.", "10 shows the result of size exclusion chromatography analysis of protein A-purified IAL-cont/IAR-cont and IAL/IAR.", "FIG.", "11 is a schematic diagram of no1, a naturally occurring anti-IL-6 receptor/anti-GPC3 bispecific antibody.", "FIG.", "12 is a schematic diagram of no2, which was obtained by interchanging the anti-GPC3 antibody VH domain and VL domain in no1.FIG.", "13 is a schematic diagram of no3, which was obtained by modifying no2 to alter the isoelectric point of each chain.", "FIG.", "14 is a schematic diagram of no5, which was obtained by modifying no3 to enhance the heteromeric association of H chains and to purify the heteromerically associated antibody using protein A.", "FIG.", "15 is a schematic diagram of no6, which was obtained by modifying no5 to enhance the association between the H chain of interest and the L chain of interest.", "FIG.", "16 is chromatograms of anti-IL-6 receptor/anti-GPC3 bispecific antibodies no1, no2, no3, no5, and no6 in cation exchange chromatography to assess their expression patterns.", "FIG.", "17 is a chromatogram of no6 CM eluted with a pH gradient from a HiTrap protein A HP column (GE Healthcare).", "FIG.", "18 is a chromatogram of cation exchange chromatography analysis to assess a main peak fraction obtained by purification of a protein A-purified fraction of no6 using an SP Sepharose HP column (GE Healthcare).", "MODE FOR CARRYING OUT THE INVENTION The present invention provides methods for producing a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity.", "The methods of the present invention for producing a polypeptide multimer comprise the steps of: (a) expressing a DNA encoding a first polypeptide having an antigen-binding activity and a DNA encoding a second polypeptide having an antigen-binding activity or no antigen-binding activity; and (b) collecting the expression products of step (a); wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "The methods of the present invention for producing a polypeptide multimer may also be expressed as methods for producing a polypeptide multimer with an altered protein A-binding ability.", "In the present invention, “a polypeptide having a first antigen-binding activity” may be referred to as “a first polypeptide having an antigen-binding activity”.", "“A polypeptide having a second antigen-binding activity or no antigen-binding activity” may be referred to as “a second polypeptide having an antigen-binding activity or no antigen-binding activity”.", "The same applies to “a polypeptide having a third antigen-binding activity” and “a polypeptide having a fourth antigen-binding activity” described below.", "In the present invention, the term “comprise” means both “comprise” and “consist of”.", "The present invention also provides methods for purifying a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity and a second polypeptide having an antigen-binding activity or no antigen-binding activity.", "The methods of the present invention for purifying a polypeptide multimer comprise the steps of: (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity and a DNA that encodes a second polypeptide having an antigen-binding activity or no antigen-binding activity; and (b) collecting the expression products of step (a) by protein A affinity chromatography; wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified so that the protein A-binding ability is different between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "A polypeptide having an antigen-binding activity in which one or more amino acid residues have been modified can be obtained by: preparing a DNA that encodes a polypeptide having an antigen-binding activity or no antigen-binding activity, modifying one or more nucleotides in the DNA; introducing the resulting DNA into cells known to those skilled in the art; culturing the cells to express the DNA; and collecting the expression product.", "Thus, the methods of the present invention for producing a polypeptide multimer can also be expressed as methods comprising the steps of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity and a DNA that encodes a second polypeptide having an antigen-binding activity or no antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity; (c) introducing the DNAs of step (b) into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells.", "The methods of the present invention for purifying a polypeptide multimer may also be expressed as methods comprising the step of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity and a DNA that encodes a second polypeptide having an antigen-binding activity or no antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity; (c) introducing the DNAs of step (b) into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells by protein A affinity chromatography.", "In the present invention, a polypeptide multimer refers to a heteromeric multimer containing first and second polypeptides.", "It is preferable that the first and second polypeptides each have an activity of binding to a different antigen.", "The first and second polypeptides each having a different antigen-binding activity are not particularly limited as long as one of the polypeptides has an antigen-binding domain (amino acid sequence) different from that of the other polypeptide.", "For example, as shown in FIG.", "4 described below, one polypeptide may be fused with an antigen-binding domain that is different from that of the other polypeptide.", "Alternatively, as shown in FIGS.", "4, 6, and 9 described below, one polypeptide may be a polypeptide that monovalently binds to an antigen and does not have the antigen-binding domain possessed by the other polypeptide.", "Polypeptide multimers containing such first and second polypeptides are also included in the polypeptide multimers of the present invention.", "The multimers include dimers, trimers, and tetramers, but are not limited thereto.", "In present invention, a first polypeptide and/or a second polypeptide can form a multimer with one or two third polypeptides.", "Thus, the present invention provides methods for producing a polypeptide multimer comprising a first polypeptide having an antigen-binding activity, a second polypeptide having an antigen-binding activity or no antigen-binding activity, and one or two third polypeptides having an antigen-binding activity, which comprise the steps of: (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, and a DNA that encodes two third polypeptides having an antigen-binding activity; and (b) collecting the expression products of step (a); or (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having no antigen-binding activity, and a DNA that encodes one third polypeptide having an antigen-binding activity; and (b) collecting the expression products of step (a); wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "The above-described methods may also be expressed as methods comprising the steps of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, and a DNA that encodes two third polypeptides having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity; (c) introducing the DNAs that encode the first, second, and two third polypeptides into host cells, and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells; or (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having no antigen-binding activity, and a DNA that encodes one third polypeptide having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding activity between the first polypeptide having an antigen-binding activity and the second polypeptide having no antigen-binding activity; (c) introducing the DNAs that encode the first, second, and third polypeptides into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells.", "Furthermore, in the present invention, the first and second polypeptides can form a multimer with third and fourth polypeptides.", "Thus, the present invention provides methods for producing a polypeptide multimer comprising a first polypeptide having an antigen-binding activity, a second polypeptide having an antigen-binding activity, a third polypeptide having an antigen-binding activity, and a fourth polypeptide having an antigen-binding activity, which comprise the steps of: (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, and a DNA that encodes a third polypeptide having an antigen-binding activity and a fourth polypeptide having an antigen-binding activity; and (b) collecting the expression products of step (a); wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity have been modified so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity.", "The above-described methods can also be expressed as methods comprising the steps of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, and a DNA that encodes a third polypeptide having an antigen-binding activity and a fourth polypeptide having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity; (c) introducing the DNAs that encode the first, second, third, and fourth polypeptides into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells.", "The present invention provides methods for purifying a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity, a second polypeptide having an antigen-binding activity or no antigen-binding activity, and one or two third polypeptides having an antigen-binding activity, which comprise the steps of: (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, and a DNA that encodes two third polypeptides having an antigen-binding activity; and (b) collecting the expression products of step (a); or (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having no antigen-binding activity, and a DNA that encodes one third polypeptide having an antigen-binding activity; and (b) collecting the expression products of step (a); wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity have been modified so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "The above-described methods can also be expressed as methods comprising the steps of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity or no antigen-binding activity, and a DNA that encodes two third polypeptides having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity; (c) introducing the DNAs that encode the first, second, and two third polypeptides into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells; or (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having no antigen-binding activity, and a DNA that encodes one third polypeptide having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having no antigen-binding activity; (c) introducing the DNAs that encode the first, second, and third polypeptides into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells.", "The present invention also provides methods for purifying a polypeptide multimer that comprises a first polypeptide having an antigen-binding activity, a second polypeptide having an antigen-binding activity, a third polypeptide having an antigen-binding activity, and a fourth polypeptide having an antigen-binding activity, which comprise the steps of: (a) expressing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, a DNA that encodes a third polypeptide having an antigen-binding activity, and a DNA that encodes a fourth polypeptide having an antigen-binding activity; and (b) collecting the expression products of step (a) by protein A affinity chromatography; wherein one or more amino acid residues in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity have been modified so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity.", "The above-described methods can also be expressed as methods comprising the steps of: (a) providing a DNA that encodes a first polypeptide having an antigen-binding activity, a DNA that encodes a second polypeptide having an antigen-binding activity, a DNA that encodes a third polypeptide having an antigen-binding activity, and a DNA that encodes a fourth polypeptide having an antigen-binding activity; (b) altering one or more nucleotides in either or both of the DNAs of step (a) that encode the first and second polypeptides so that there is a larger difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity; (c) introducing the DNAs that encode the first, second, third, and fourth polypeptides into host cells and culturing the host cells to express the DNAs; and (d) collecting the expression products of step (c) from the culture of host cells by protein A affinity chromatography.", "In a polypeptide multimer of the present invention containing a first polypeptide, a second polypeptide, and one or two third polypeptides, the first and second polypeptides can each form a multimer (dimer) with the third polypeptide.", "Furthermore, the resulting two dimers can form a multimer with each other.", "The two third polypeptides may have completely the same amino acid sequence (may have a binding activity to the same antigen).", "Alternatively, the third polypeptides may have the same amino acid sequence and two or more activities (for example, may have binding activities to two or more different antigens).", "When only one third polypeptide is present, the third polypeptide can form a polypeptide multimer via dimerization with either the first polypeptide or the second polypeptide.", "In a polypeptide multimer of the present invention, the first and second polypeptides preferably have binding activity to different antigens.", "Meanwhile, the third polypeptide may have binding activity to the same antigen as that of either or both of the first and second polypeptides.", "Alternatively, the third polypeptide may have binding activity to an antigen different from those of the first and second polypeptides.", "Alternatively, a polypeptide multimer of the present invention may contain a first polypeptide, second polypeptide, third polypeptide, and fourth polypeptide.", "In such a polypeptide multimer, the first polypeptide and second polypeptide can form a multimer (dimer) with the third polypeptide and fourth polypeptide, respectively.", "For example, through formation of disulfide bonds in between, the first polypeptide and third polypeptide can form a dimer, and the second polypeptide and fourth polypeptide can form a dimer.", "In a polypeptide multimer of the present invention, the first and second polypeptides preferably have binding activity to different antigens.", "Meanwhile, the third polypeptide may have binding activity to the same antigen as that of either or both of the first and second polypeptides.", "Alternatively, the third polypeptide may have binding activity to an antigen different from those of the first and second polypeptides.", "Furthermore, the fourth polypeptide may have binding activity to the same antigen as that of either or both of the first and second polypeptides.", "Alternatively, the fourth polypeptide may have binding activity to an antigen different from those of the first and second polypeptides.", "Specifically, for example, when the first and second polypeptides contain the amino acid sequence of an antibody heavy chain against antigen A and the amino acid sequence of an antibody heavy chain against antigen B, respectively, the third and fourth polypeptides may contain the amino acid sequence of an antibody light chain against antigen A and the amino acid sequence of an antibody light chain against antigen B, respectively.", "When a polypeptide multimer of the present invention has third and fourth polypeptides that contain two different antibody light chain amino acid sequences, a highly pure polypeptide multimer of interest can be efficiently produced or purified by making the pI values of the third and fourth polypeptide different using the methods described below, or by differentiating their protein L-binding ability, in addition to differentiating the protein A-binding ability between the first and second polypeptides.", "Alternatively, for example, when the first polypeptide has the amino acid sequence of an antibody heavy chain against antigen A, the second polypeptide has the amino acid sequence of an antibody light chain variable region against antigen B and the amino acid sequence of an antibody heavy chain constant region, the third polypeptide has the amino acid sequence of an antibody light chain against antigen A, and the fourth polypeptide has the amino acid sequence of an antibody heavy chain variable region against antigen B and the amino acid sequence of an antibody light chain constant region, a highly pure polypeptide multimer of interest having the first, second, third, and fourth polypeptides can also be efficiently produced or purified by using the present invention.", "In this case, as described in Example 12 below, introduction of amino acid mutations to alter the pI value of a polypeptide or introduction of amino acid mutations to promote the association of polypeptides of interest (WO2006/106905) enables more efficient purification or production of a polypeptide multimer of interest having the first, second, third, and fourth polypeptides to higher purity.", "Amino acid mutations to be introduced to promote the association of polypeptides may be those used in the methods described in Protein Eng.", "1996 July, 9(7):617-21; Protein Eng Des Sel.", "2010 April, 23(4):195-202; J Biol Chem.", "2010 Jun.", "18, 285(25):19637-46; WO2009080254; and such, in which two polypeptides having a heavy chain constant region are heteromerically associated by modifying the CH3 domain of heavy chain constant region; and those used in the methods described in WO2009080251, WO2009080252, WO2009080253, and such, by which the association of a particular pair of heavy chain and light chain is promoted.", "In the present invention, “polypeptide having an antigen-binding activity” refers to a peptide or protein of five or more amino acids in length having a domain (region) capable of binding to a protein or peptide such as an antigen or ligand, e.g., an antibody heavy chain or light chain variable region, receptor, receptor-Fc domain fusion peptide, scaffold, or a fragment thereof.", "Specifically, a polypeptide having an antigen-binding activity can contain the amino acid sequence of an antibody variable region, receptor, receptor-Fc domain fusion peptide, scaffold, or a fragment thereof.", "Scaffold may be any polypeptide as long as it is a conformationally stable polypeptide capable of binding to at least one antigen.", "Such polypeptides include, but are not limited to, for example, antibody variable region fragments, fibronectin, protein A domains, LDL receptor A domains, lipocalins, and molecules mentioned in Nygren et al.", "(Current Opinion in Structural Biology, 7:463-469 (1997); Journal of Immunol.", "Methods, 290:3-28 (2004)), Binz et al.", "(Nature Biotech 23:1257-1266 (2005)), and Hosse et al.", "(Protein Science 15:14-27 (2006)).", "Methods for obtaining antibody variable regions, receptors, receptor-Fc domain fusion peptides, scaffold, and fragments thereof are known to those skilled in the art.", "Such polypeptides having an antigen-binding activity may be derived from a living organism or designed artificially.", "The polypeptides may be derived from natural proteins, synthetic proteins, recombinant proteins, and such.", "Furthermore, the polypeptides may be peptides or protein fragments of 10 or more amino acids in length which have a domain (region) capable of binding to a protein or peptide such as an antigen or ligand, as long as they have ability to bind to an antigen.", "The polypeptides may have more than one domain capable of binding to an antigen (including ligand).", "A polypeptide having an antigen-binding activity may also be referred to as a polypeptide having an antigen-binding protein domain(s).", "In the present invention, “polypeptide having no antigen-binding activity” refers to a peptide or protein of five or more amino acids in length, such as an antibody fragment having no antigen-binding activity, Fc domain, scaffold, or a fragment thereof.", "Specifically, a polypeptide having no antigen-binding activity may contain the amino acid sequence of an antibody constant region, Fc domain, scaffold, or fragment thereof, but the amino acid sequence is not limited to the above examples.", "A polypeptide having no antigen-binding activity can be combined with a polypeptide having an antigen-binding activity to produce a polypeptide multimer that monovalently binds to an antigen.", "In the present invention, the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity may contain the amino acid sequence of an antibody heavy chain constant region or the amino acid sequence of an antibody Fc domain.", "The amino acid sequence of an antibody Fc domain or an antibody heavy chain constant region includes, but is not limited to, those of human IgG-type constant regions and Fc domains.", "IgG-type constant regions or Fc domains may be of natural IgG1, IgG2, IgG3, or IgG4 isotype, or may be variants thereof.", "Meanwhile, in the present invention, the third polypeptide having an antigen-binding activity and the fourth polypeptide having an antigen-binding activity may contain the amino acid sequence of an antibody light chain constant region.", "The amino acid sequence of an antibody light chain constant region includes, but is not limited to, those of human kappa- and human lambda-type constant regions, and variants thereof.", "Furthermore, in the present invention, polypeptides having an antigen-binding activity may contain the amino acid sequence of an antibody variable region (for example, the amino acid sequences of CDR1, CDR2, CDR3, FR1, FR2, FR3, and FR4).", "Moreover, in the present invention, the polypeptides having an antigen-binding activity may contain the amino acid sequence of an antibody heavy chain or an antibody light chain.", "More specifically, the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity may contain the amino acid sequence of an antibody heavy chain.", "Meanwhile, the third polypeptide having an antigen-binding activity and the fourth polypeptide having an antigen-binding activity may contain the amino acid sequence of an antibody light chain.", "When a polypeptide multimer of interest is a tetramer that is formed by multimerization between a dimer formed by the first and third polypeptides and a dimer formed by the second and fourth polypeptides, for example, a polypeptide in which the first and second polypeptides having an antigen-binding activity contain the amino acid sequence of an antibody heavy chain, and a polypeptide in which the third and fourth polypeptides having an antigen-binding activity contain the amino acid sequence of an antibody light chain, can be used for the polypeptide multimer of the present invention.", "Alternatively, a polypeptide in which the first polypeptide having an antigen-binding activity contains the amino acid sequence of an antibody heavy chain, a polypeptide in which the second polypeptide having an antigen-binding activity contains the amino acid sequence of an antibody light chain variable region and the amino acid sequence of an antibody heavy chain constant region, a polypeptide in which the third polypeptide having an antigen-binding activity contains the amino acid sequence of an antibody light chain, and a polypeptide in which the fourth polypeptide having an antigen-binding activity contains the amino acid sequence of an antibody heavy chain variable region, can also be used.", "Specifically, a polypeptide multimer of the present invention can be a multispecific antibody.", "In the present invention, a “multispecific antibody” refers to an antibody capable of specifically binding to at least two different antigens.", "In the present invention, “different antigens” refers not only to different antigen molecules per se, but also to different antigen determinants present in the same antigen molecules.", "Accordingly, for example, different antigen determinants present within a single molecule are included in the “different antigens” of the present invention.", "In the present invention, antibodies that recognize various different antigen determinants in a single molecule are regarded as “antibodies capable of specifically binding to different antigens”.", "In the present invention, multispecific antibodies include, but are not limited to, bispecific antibodies capable of specifically binding to two types of antigens.", "Preferred bispecific antibodies of the present invention include H2L2-type IgG antibodies (composed of two types of H chains and two types of L chains) having a human IgG constant region.", "More specifically, such antibodies include, but are not limited to, for example, IgG-type chimeric antibodies, humanized antibodies, and human antibodies.", "Moreover, a polypeptide having an antigen-binding activity may be, for example, a molecule in which at least two of a heavy chain variable region, light chain variable region, heavy chain constant region, and light chain constant region, are linked together as a single chain.", "Alternatively, the polypeptide may be an antibody in which at least two of a heavy chain variable region, light chain variable region, Fc domain (constant region without CH1 domain), and light chain constant region, are linked together as a single chain.", "In the present invention, the phrase “there is a larger difference of protein A-binding ability between polypeptides having an antigen-binding activity” means that the protein A-binding ability is not the same (is different) between two or more polypeptides as a result of amino acid modifications on the surface of polypeptides having an antigen-binding activity.", "More specifically, this phrase means that, for example, the protein A-binding ability of the first polypeptide having an antigen-binding activity is different from that of the second polypeptide having an antigen-binding activity.", "The difference of protein A-binding ability can be examined, for example, by using protein A affinity chromatography.", "The strength of protein A-binding ability of a polypeptide having an antigen-binding activity is correlated with the pH of solvent used for elution.", "The greater the protein A-binding ability of the polypeptide is, the lower the pH of the solvent used for elution becomes.", "Thus, the phrase “there is a larger difference of protein A-binding ability between polypeptides having an antigen-binding activity” can also be expressed as “when two or more polypeptides having an antigen-binding activity are eluted using protein A affinity chromatography, each polypeptide is eluted at a different solvent pH”.", "The difference in the pH of the elution solvent is 0.1 or more, preferably 0.5 or more, and still more preferably 1.0 or more, but is not limited thereto.", "Furthermore, in the present invention, it is preferable to alter the protein A-binding ability without lowering other activities (for example, plasma retention) of the polypeptides having an antigen-binding activity.", "A polypeptide multimer of interest that comprises the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity can be produced or purified using protein A affinity chromatography based on the difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "Specifically, for example, when the polypeptide multimer of the present invention is a bispecific antibody that has a common L chain (i.e., same amino acid sequence in the third and fourth polypeptides), the polypeptide multimer can be produced or purified by the method described below.", "First, host cells are introduced with the following: a nucleic acid that encodes the first polypeptide having an antigen-binding activity (more specifically, the first antibody heavy chain) whose amino acid at position 435 (EU numbering) in the amino acid sequence of the antibody heavy chain constant region is arginine (R); a nucleic acid that encodes the second polypeptide having an antigen-binding activity (more specifically, the second antibody heavy chain) whose amino acid at position 435 (EU numbering) in the amino acid sequence of the antibody heavy chain constant region is histidine (H); and a nucleic acid that encodes the third polypeptide having an antigen-binding activity (common L chain).", "The cells are cultured to express the DNAs transiently.", "Then, the resulting expression products are loaded onto a protein A column.", "After washing, elution is performed first with a high pH elution solution and then with a low pH elution solution.", "A homomeric antibody comprising two units of the first antibody heavy chain and two units of the common L chain does not have any protein A-binding site in its heavy chain constant region.", "Meanwhile, a bispecific antibody comprising the first antibody heavy chain, the second antibody heavy chain, and two units of the common L chain has a single protein A-binding site in its heavy chain constant region.", "A homomeric antibody comprising two units of the second antibody heavy chain and two units of the common L chain has two protein A-binding sites in its heavy chain constant region.", "As described above, the protein A-binding ability of a polypeptide correlates with the solvent pH for eluting the polypeptide in protein A affinity chromatography.", "The greater the protein A-binding ability is, the lower the solvent pH for elution becomes.", "Thus, when elution is carried out first with a high pH elution solution and then with a low pH elution solution, the antibodies are eluted in the following order: a homomeric antibody comprising two units of the first antibody heavy chain and two units of the common L chain a bispecific antibody comprising the first antibody heavy chain, the second antibody heavy chain, and two units of the common L chain a homomeric antibody comprising two units of the second antibody heavy chain and two units of the common L chain This allows production or purification of the polypeptide multimers (bispecific antibodies) of interest.", "The purity of the polypeptide multimers obtained by the production or purification methods of the present invention is at least 95% or higher (for example, 96%, 97%, 98%, 99% or higher).", "Modifications of amino acid residues to create a difference in the protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity include, but are not limited to: (1) modification of one or more amino acid residues in the amino acid sequence of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, such that the protein A-binding ability of one of the polypeptides is increased; (2) modification of one or more amino acid residues in the amino acid sequence of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, such that the protein A-binding ability of one of the polypeptides is decreased; and (3) modification of one or more amino acid residues in the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, such that the protein A-binding ability of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity is increased, and the protein A-binding ability of the other polypeptide is decreased.", "In the present invention, it is preferred that amino acids on the surface of a polypeptide having an antigen-binding activity or no antigen-binding activity are modified.", "Furthermore, it is also preferred to consider reducing the influence of the modification on other activities of the polypeptide.", "Accordingly, in the present invention, it is preferred to modify, for example, the amino acid residues at the following positions (EU numbering) in the antibody Fc domain or heavy chain constant region: TLMISR at positions 250-255, VLHQDWLNGK at positions 308-317, and EALHNHY at positions 430-436; preferably, TLMIS at positions 250-254, LHQD at positions 309-312, LN at positions 314 and 315, E at position 430, and LHNHY at positions 432-436; more preferably, LMIS at positions 251-254, LHQ at positions 309-311, L at position 314, and LHNH at positions 432-435; and in particular, MIS at positions 252-254, L at position 309, Q at position 311, and NHY at positions 434-436.As for amino acid modifications of the antibody heavy chain variable region, preferred mutation sites include FR1, CDR2, and FR3.More preferred mutation sites include, for example, positions H15-H23, H56-H59, H63-H72, and H79-H83 (EU numbering).", "Of the above amino acid modifications, modifications that do not reduce the binding to FcRn or the plasma retention in human FcRn transgenic mice are more preferred.", "More specifically, modifications that increase the protein A-binding ability of a polypeptide include, but are not limited to, substitution of histidine (His) for the amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody Fc domain or an antibody heavy chain constant region.", "Meanwhile, modifications that reduce the protein A-binding ability of a polypeptide include, but are not limited to, substitution of arginine for the amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody Fc domain or an antibody heavy chain constant region.", "As for the antibody heavy chain variable region, the heavy chain variable region of the VH3 subclass has protein A-binding activity.", "Thus, to increase the protein A-binding ability, the amino acid sequences at the above modification sites are preferably identical to those of the heavy chain variable region of the VH3 subclass.", "To reduce the protein A-binding ability, the amino acid sequences are preferably identical to those of the heavy chain variable region of another subclass.", "As described below, modification of amino acid residues can be achieved by altering one or more nucleotides in a DNA encoding a polypeptide, and expressing the DNA in host cells.", "Those skilled in the art can readily determine the number, site, and type of altered nucleotides depending on the type of amino acid residues after modification.", "Herein, modification (alteration) refers to substitution, deletion, addition, or insertion, or combinations thereof.", "The polypeptide having an antigen-binding activity may comprise other modifications in addition to the above modifications of amino acid residues.", "Such additional modifications can be selected from, for example, substitutions, deletions, and modifications of amino acids, and combinations thereof.", "Specifically, all polypeptides whose amino acid sequences comprise a modification described below are included in the present invention: amino acid modification for increasing the rate of heteromeric association of two types of H chains in a bispecific antibody amino acid modification for stabilizing the disulfide bonds between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity amino acid modification for improving the plasma retention of an antibody modification for increasing the stability under acidic conditions modification for reducing the heterogeneity modification for suppressing deamidation reaction modification for introducing a difference in between the isoelectric points of two types of polypeptides modification for altering the Fcγ receptor-binding ability These amino acid modifications are described below.", "Amino Acid Modification for Increasing the Rate of Heteromeric Association Between the Two Types of H Chains in a Bispecific Antibody The amino acid modifications of the present invention can be combined with the amino acid modifications described in WO2006106905.There is no limitation on the modification sites as long as the amino acids form the interface between two polypeptides having an antigen-binding activity.", "Specifically, for example, when a heavy chain constant region is modified, such modifications include modifications that make the amino acids of at least one of the combinations of positions 356 and 439, positions 357 and 370, and positions 399 and 409 (EU numbering) in the amino acid sequence of the heavy chain constant region of the first polypeptide having an antigen-binding activity have the same electric charge; and the amino acids of at least one of the combinations of positions 356 and 439, positions 357 and 370, and positions 399 and 409 (EU numbering) in the heavy chain constant region of the second polypeptide having an antigen-binding activity or no antigen-binding activity have electric charge opposite to that of the first polypeptide having an antigen-binding activity.", "More specifically, such modifications include, for example, introduction of a mutation that substitutes Glu at position 356 (EU numbering) with Lys in the amino acid sequence of the heavy chain constant region of either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity, and a mutation that substitutes Lys at position 439 (EU numbering) with Glu in the amino acid sequence of the heavy chain constant region of the other polypeptide.", "When these modifications are combined with the modifications of the present invention, the polypeptide of interest can be obtained with a higher purity by protein A-based purification alone.", "Alternatively, the polypeptide multimer of interest that comprises the first, second, third, and fourth polypeptides having an antigen-binding activity can be efficiently produced or purified to a higher purity, when modification is performed to make the amino acids at position 39 (Kabat numbering) in the heavy chain variable region and/or at position 213 (EU numbering) in the heavy chain constant region of the first polypeptide having an antigen-binding activity have an electric charge opposite to that of the amino acid at position 39 (Kabat numbering) in the heavy chain variable region and/or the amino acid at position 213 (EU numbering) in the heavy chain constant region of the second polypeptide having an antigen-binding activity or no antigen-binding activity, and the amino acid at position 38 (Kabat numbering) and/or the amino acid at position 123 (EU numbering) in the light chain variable region of the third polypeptide having an antigen-binding activity have an electric charge opposite to that of the amino acid at position 38 (Kabat numbering) and/or the amino acid at position 123 (EU numbering) in the light chain variable region of the fourth polypeptide having an antigen-binding activity.", "Amino Acid Modification for Stabilizing the Disulfide Bonds Between the First Polypeptide Having an Antigen-Binding Activity and the Second Polypeptide Having an Antigen-Binding Activity or No Antigen-Binding Activity As described in published documents (Mol.", "Immunol.", "1993, 30, 105-108; and Mol.", "Immunol.", "2001, 38, 1-8), the heterogeneity of IgG4 is eliminated and its stable structure can be maintained by substituting Pro for Ser at position 228 (EU numbering) in the amino acid sequence of the heavy chain constant region of IgG4.Amino Acid Modification for Improving the Plasma Retention of an Antibody In order to regulate plasma retention, it is possible to combine the amino acid modifications of the present invention with amino acid modifications that alter the antibody pI value.", "Modifications to constant regions include, for example, amino acid modifications at positions 250 and 428 (EU numbering) and such described in published documents (J. Immunol.", "2006, 176 (1):346-356; and Nat.", "Biotechnol.", "1997 15 (7):637-640).", "Modifications to variable regions include the amino acid modifications described in WO2007/114319 and WO2009/041643.Amino acids to be modified are preferably exposed on the surface of a polypeptide having an antigen-binding activity.", "The modifications include, for example, amino acid substitution at position 196 (EU numbering) in the amino acid sequence of a heavy chain constant region.", "In the case of the heavy chain constant region of IgG4, the plasma retention can be enhanced, for example, by substituting glutamine for lysine at position 196 thereby reducing the pI value.", "Furthermore, the plasma retention can be regulated by altering the FcRn-binding ability.", "Amino acid modifications that alter the FcRn-binding ability include, for example, the amino acid substitutions in the antibody heavy chain constant region described in published documents (The Journal of Biological Chemistry vol.", "276, No.", "9 6591-6604, 2001; Molecular Cell, Vol.", "7, 867-877, 2001; Curr Opin Biotechnol.", "2009, 20 (6):685-91).", "Such amino acid substitutions include, for example, substitutions at positions 233, 238, 253, 254, 255, 256, 258, 265, 272, 276, 280, 285, 288, 290, 292, 293, 295, 296, 297, 298, 301, 303, 305, 307, 309, 311, 312, 315, 317, 329, 331, 338, 360, 362, 376, 378, 380, 382, 415, 424, 433, 434, 435, and 436 (EU numbering).", "Modification for Improving the Stability Under Acidic Conditions When the heavy chain constant region of IgG4 is used, the stable four-chain structure (H2L2 structure) is preferably maintained by suppressing the conversion of IgG4 into the half-molecule form under acidic conditions.", "Thus, arginine at amino acid position 409 (EU numbering system) which plays an important role in the maintenance of the four-chain structure (Immunology 2002, 105, 9-19) is preferably substituted with lysine of the IgG1 type that maintains a stable four-chain structure even under acidic conditions.", "Furthermore, to improve the acidic stability of IgG2, methionine at amino acid position 397 (EU numbering system) can be substituted with valine.", "These modifications can be used in combination with the amino acid modifications of the present invention.", "Modification for Reducing Heterogeneity The amino acid modifications of the present invention may be combined with the methods described in WO2009041613.Specifically, for example, the modification in which the two amino acids at the C-terminus of the IgG1 heavy chain constant region (i.e., glycine and lysine at positions 446 and 447 [EU numbering], respectively) are deleted can be combined with the amino acid modifications described in the Examples herein.", "Modification for Suppressing Deamidation Reaction The amino acid modifications of the present invention may be combined with amino acid modifications for suppressing deamidation reaction.", "Deamidation reaction has been reported to occur more frequently at a site where asparagine (N) and glycine (G) are adjacent to each other (- - -NG- - -) (Geiger et al., J. Bio.", "Chem.", "(1987) 262:785-794).", "When a polypeptide multimer (multispecific antibody) of the present invention has a site where asparagine and glycine are adjacent to each other, deamidation reaction can be suppressed by modifying the amino acid sequence.", "Specifically, for example, either or both of asparagine and glycine are substituted with other amino acids.", "More specifically, for example, asparagine is substituted with aspartic acid.", "Modification for Introducing a Difference in Isoelectric Point Between Two Types of Polypeptides The amino acid modifications of the present invention may be combined with amino acid modifications for introducing a difference in isoelectric point.", "Specific methods are described, for example, in WO2007/114325.In addition to the modifications of the present invention, the amino acid sequences of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity are modified so that there is a larger difference in isoelectric point between these polypeptides.", "This enables efficient production or purification of the polypeptide of interest to a higher purity.", "Furthermore, a larger difference in isoelectric point can be produced between the third polypeptide having an antigen-binding activity and the fourth polypeptide having an antigen-binding activity.", "This allows the polypeptide multimer of interest comprising the first, second, third, and fourth polypeptides to be efficiently produced or purified to a higher purity.", "Specifically, when the first and second polypeptides each comprises an amino acid sequence of an antibody heavy chain, the modification sites include, for example, positions 1, 3, 5, 8, 10, 12, 13, 15, 16, 19, 23, 25, 26, 39, 42, 43, 44, 46, 68, 71, 72, 73, 75, 76, 81, 82b, 83, 85, 86, 105, 108, 110, and 112 (Kabat numbering).", "When the third and fourth polypeptides each comprises an amino acid sequence of an antibody light chain, the modification sites include, for example, positions 1, 3, 7, 8, 9, 11, 12, 16, 17, 18, 20, 22, 38, 39, 41, 42, 43, 45, 46, 49, 57, 60, 63, 65, 66, 68, 69, 70, 74, 76, 77, 79, 80, 81, 85, 100, 103, 105, 106, 107, and 108 (Kabat numbering).", "A larger difference in isoelectric point can be produced by modifying at least one of the amino acid residues at the above positions in one polypeptide to have an electric charge, and modifying at least one of the amino acid residues at the above positions in the other polypeptide to have no charge or opposite electric charge to the above.", "Modification for Altering the Fcγ Receptor-Binding Ability The amino acid modifications of the present invention may be combined with amino acid modifications that alter (increase or reduce) the Fcγ receptor-binding ability.", "Modifications for altering the Fcγ receptor-binding ability include, but are not limited to, the modifications described in Curr Opin Biotechnol.", "2009, 20(6):685-91.Specifically, the Fcγ receptor-binding ability can be altered, for example, by combining the modifications of the present invention with a modification that substitutes leucine at positions 234 and 235 and asparagine at position 272 (EU numbering) of an IgG1 heavy chain constant region with other amino acids.", "The amino acids after substitution include, but are not limited to, alanine.", "Preparation of DNAs that encode polypeptides having an antigen-binding activity, modification of one or more nucleotides, DNA expression, and recovery of expression products are described below Preparation of DNAs that Encode Polypeptides Having an Antigen-Binding Activity In the present invention, a DNA that encodes a polypeptide having an antigen-binding activity or a polypeptide having no antigen-binding activity may be the whole or a portion of a known sequence (naturally-occurring or artificial sequence), or combinations thereof.", "Such DNAs can be obtained by methods known to those skilled in the art.", "The DNAs can be isolated, for example, from antibody libraries, or by cloning antibody-encoding genes from hybridomas producing monoclonal antibodies.", "With regard to antibody libraries, many are already well known, and those skilled in the art can appropriately obtain antibody libraries since methods for producing antibody libraries are known.", "For example, regarding antibody phage libraries, one can refer to the literature such as Clackson et al., Nature 1991, 352: 624-8; Marks et al., J. Mol.", "Biol.", "1991, 222: 581-97; Waterhouses et al., Nucleic Acids Res.", "1993, 21: 2265-6; Griffiths et al., EMBO J.", "1994, 13: 3245-60; Vaughan et al., Nature Biotechnology 1996, 14: 309-14; or Japanese Patent Kohyo Publication No.", "(JP-A) H20-504970 (unexamined Japanese national phase publication corresponding to a non-Japanese international publication).", "In addition, known methods such as methods that use eukaryotic cells as libraries (WO95/15393) and ribosome display methods may be used.", "Furthermore, techniques to obtain human antibodies by panning using human antibody libraries are also known.", "For example, variable regions of human antibodies can be expressed on the surface of phages as single chain antibodies (scFvs) using phage display methods, and phages that bind to antigens can be selected.", "Genetic analysis of the selected phages can determine the DNA sequences encoding the variable regions of human antibodies that bind to the antigens.", "Once the DNA sequences of scFvs that bind to the antigens is revealed, suitable expression vectors can be produced based on these sequences to obtain human antibodies.", "These methods are already well known, and one can refer to WO92/01047, WO92/20791, WO93/06213, WO93/11236, WO93/19172, WO95/01438, and WO95/15388.As for methods for obtaining genes encoding antibodies from hybridomas, basically, known techniques may be used.", "Specifically, desired antigens or cells expressing the desired antigens are used as sensitizing antigens for immunization according to conventional immunization methods.", "The immune cells thus obtained are fused with known parent cells by ordinary cell fusion methods, and monoclonal antibody producing cells (hybridomas) are screened by ordinary screening methods.", "cDNAs of antibody variable regions (V regions) can be obtained by reverse transcription of mRNAs of the obtained hybridomas using reverse transcriptase.", "Antibody-encoding genes can be obtained by linking them with DNAs encoding the desired antibody constant regions (C regions).", "More specifically, without limitations, the following methods are examples.", "Sensitizing antigens for obtaining the antibody genes encoding the antibody heavy and light chains include both complete antigens with immunogenicity and incomplete antigens composed of haptens and such that do not show antigenicity.", "For example, full length proteins and partial peptides of proteins of interest can be used.", "In addition, it is known that substances composed of polysaccharides, nucleic acids, lipids, and such may become antigens.", "Thus, there are no particular limitations on antigens in the present invention.", "Antigens can be prepared by methods known to those skilled in the art, and they can be prepared, for example, by the following methods using baculoviruses (for example, WO98/46777).", "Hybridomas can be produced, for example, the following methods of Milstein et al.", "(G. Kohler and C. Milstein, Methods Enzymol.", "1981, 73: 3-46), and such.", "When the immunogenicity of an antigen is low, it can be linked to a macromolecule that has immunogenicity, such as albumin, and then used for immunization.", "Furthermore, by linking antigens with other molecules if necessary, they can be converted into soluble antigens.", "When transmembrane molecules such as receptors are used as antigens, portions of the extracellular regions of the receptors can be used as a fragment, or cells expressing transmembrane molecules on their cell surface may be used as immunogens.", "Antibody-producing cells can be obtained by immunizing animals using suitable sensitizing antigens described above.", "Alternatively, antibody-producing cells can be prepared by in vitro immunization of lymphocytes that can produce antibodies.", "Various mammals can be used as the animals for immunization, where rodents, lagomorphas and primates are generally used.", "Examples of such animals include mice, rats, and hamsters for rodents, rabbits for lagomorphas, and monkeys including the cynomolgus monkey, rhesus monkey, hamadryas, and chimpanzees for primates.", "In addition, transgenic animals carrying human antibody gene repertoires are also known, and human antibodies can be obtained by using these animals (see WO96/34096; Mendez et al., Nat.", "Genet.", "1997, 15: 146-56).", "Instead of using such transgenic animals, for example, desired human antibodies having binding activity against antigens can be obtained by in vitro sensitization of human lymphocytes with desired antigens or cells expressing the desired antigens, and then fusing the sensitized lymphocytes with human myeloma cells such as U266 (see Japanese Patent Application Kokoku Publication No.", "(JP-B) H1-59878 (examined, approved Japanese patent application published for opposition)).", "Furthermore, desired human antibodies can be obtained by immunizing transgenic animals carrying a complete repertoire of human antibody genes, with desired antigens (see WO93/12227, WO92/03918, WO94/02602, WO96/34096, and WO96/33735).", "Animal immunization can be carried out by appropriately diluting and suspending a sensitizing antigen in Phosphate-Buffered Saline (PBS), physiological saline, or such, and forming an emulsion by mixing an adjuvant if necessary, followed by an intraperitoneal or subcutaneous injection into animals.", "After that, the sensitizing antigen mixed with Freund's incomplete adjuvant is preferably administered several times every four to 21 days.", "Antibody production can be confirmed by measuring the target antibody titer in animal sera using conventional methods.", "Antibody-producing cells obtained from lymphocytes or animals immunized with a desired antigen can be fused with myeloma cells to generate hybridomas using conventional fusing agents (for example, polyethylene glycol) (Goding, Monoclonal Antibodies: Principles and Practice, Academic Press, 1986, 59-103).", "When required, hybridoma cells can be cultured and grown, and the binding specificity of the antibody produced from these hybridomas can be measured using known analysis methods, such as immunoprecipitation, radioimmunoassay (RIA), and enzyme-linked immunosorbent assay (ELISA).", "Thereafter, hybridomas that produce antibodies of interest whose specificity, affinity, or activity has been determined can be subcloned by methods such as limiting dilution.", "Next, genes encoding the selected antibodies can be cloned from hybridomas or antibody-producing cells (sensitized lymphocytes, and such) using probes that may specifically bind to the antibodies (for example, oligonucleotides complementary to sequences encoding the antibody constant regions).", "Cloning from mRNA using RT-PCR is also possible.", "Immunoglobulins are classified into five different classes, IgA, IgD, IgE, IgG, and IgM.", "These classes are further divided into several subclasses (isotypes) (for example, IgG-1, IgG-2, IgG-3, and IgG-4; IgA-1 and IgA-2; and such).", "Heavy chains and light chains used in the present invention to produce antibodies are not particularly limited and may derive from antibodies belonging to any of these classes or subclasses; however, IgG is particularly preferred.", "Herein, it is possible to modify heavy-chain-encoding genes and light-chain-encoding genes using genetic engineering techniques.", "Genetically modified antibodies, such as chimeric antibodies, humanized antibodies that have been artificially modified for the purpose of decreasing heterologous antigenicity and such against humans, can be appropriately produced if necessary for antibodies such as mouse antibodies, rat antibodies, rabbit antibodies, hamster antibodies, sheep antibodies, and camel antibodies.", "Chimeric antibodies are antibodies composed of a nonhuman mammal antibody heavy chain and light chain variable regions, such as mouse antibody, and the heavy chain and light chain constant regions of human antibody.", "They can be obtained by ligating the DNA encoding a variable region of a mouse antibody to the DNA encoding a constant region of a human antibody, incorporating them into an expression vector, and introducing the vector into a host for production of the antibody.", "A humanized antibody, which is also called a reshaped human antibody, can be synthesized by PCR from a number of oligonucleotides produced so that they have overlapping portions at the ends of DNA sequences designed to link the complementary determining regions (CDRs) of an antibody of a nonhuman mammal such as a mouse.", "The obtained DNA can be ligated to a DNA encoding a human antibody constant region.", "The ligated DNA can be incorporated into an expression vector, and the vector can be introduced into a host to produce the antibody (see EP239400 and WO96/02576).", "Human antibody FRs that are ligated via the CDR are selected when the CDR forms a favorable antigen-binding site.", "If necessary, amino acids in the framework region of an antibody variable region may be substituted such that the CDR of the reshaped human antibody forms an appropriate antigen-binding site (K. Sato et al., Cancer Res.", "1993, 53: 851-856).", "The monoclonal antibodies of the present invention include such humanized antibodies and chimeric antibodies.", "When the antibodies of the present invention are chimeric antibodies or humanized antibodies, the constant regions of these antibodies are preferably derived from human antibodies.", "For example, Cγ1, Cγ2, Cγ3, and Cγ4 can be used for the heavy chain, while Cκ and Cλ can be used for the light chain.", "Furthermore, the human antibody constant region may be modified as necessary to improve antibody or its production stability.", "A chimeric antibody of the present invention preferably comprises a variable region of an antibody derived from a nonhuman mammal and a constant region of a human antibody.", "Meanwhile, a humanized antibody of the present invention preferably comprises CDRs of an antibody derived from a nonhuman mammal, and FRs and C regions of a human antibody.", "The constant regions derived from human antibodies comprise specific amino acid sequences, which vary depending on the isotype such as IgG (IgG1, IgG2, IgG3, and IgG4), IgM, IgA, IgD, and IgE.", "The constant regions used to prepare the humanized antibodies of the present invention may be constant regions of antibodies of any isotype.", "A constant region of human IgG is preferably used, but the constant regions are not limited thereto.", "Meanwhile, there is no particular limitation on the human antibody-derived FRs which are used to prepare humanized antibodies, and they may be derived from an antibody of any isotype.", "The variable and constant regions of chimeric or humanized antibodies of the present invention may be modified by deletion, substitution, insertion, and/or addition, as long as the antibodies exhibit the same binding specificity as the original antibodies.", "Chimeric and humanized antibodies that use human-derived sequences are expected to be useful when administered to humans for therapeutic purposes or such, since their antigenicity in the human body has been attenuated.", "In the present invention, amino acids may be modified to alter the biological properties of an antibody.", "Minibodies (low-molecular-weight antibodies) are useful as the antibodies because of their in vivo kinetic properties and low-cost production using E. coli, plant cells, or such.", "Antibody fragments are one type of minibody.", "Minibodies include antibodies that comprise an antibody fragment as their partial structure.", "The minibodies of the present invention are not particularly limited by their structure or production method, as long as they have antigen-binding ability.", "Some minibodies have an activity greater than that of a whole antibody (Orita et al., Blood (2005) 105: 562-566).", "Herein, “antibody fragments” are not particularly limited as long as they are a portion of a whole antibody (for example, whole IgG).", "However, the antibody fragments preferably comprise a heavy chain variable region (VH) or a light chain variable region (VL).", "Preferred antibody fragments include, for example, Fab, F (ab′)2, Fab′, and Fv.", "The amino acid sequence of a heavy chain variable region (VH) or light chain variable region (VL) in an antibody fragment may be modified by substitution, deletion, addition, and/or insertion.", "Furthermore, some portions of a heavy chain variable region (VH) or light chain variable region (VL) may be deleted, as long as the fragments retain their antigen-binding ability.", "For example, of the above antibody fragments, “Fv” is a minimal antibody fragment that comprises the complete antigen recognition and binding sites.", "“Fv” is a dimer (VH-VL dimer) in which one heavy chain variable region (VH) and one light chain variable region (VL) are linked tightly by non-covalent bonding.", "The three complementarity determining regions (CDRs) of each variable region form an antigen-binding site on the surface of the VH-VL dimer.", "Six CDRs confer an antigen-binding site to the antibody.", "However, even one variable region (or half of an Fv comprising only three antigen-specific CDRs) has the ability to recognize and bind to an antigen, although its affinity is lower than that of the complete binding site.", "Thus, such molecules which are smaller than Fv are also included in the antibody fragments of the present invention.", "Furthermore, the variable regions of an antibody fragment may be chimerized or humanized.", "It is preferable that the minibodies comprise both a heavy chain variable region (VH) and a light chain variable region (VL).", "The minibodies include, for example, antibody fragments such as Fab, Fab′, F(ab′)2, and Fv, and scFv (single-chain Fv) which can be prepared using antibody fragments (Huston et al., Proc.", "Natl.", "Acad.", "Sci.", "USA (1988) 85: 5879-83; Plickthun “The Pharmacology of Monoclonal Antibodies” Vol.", "113, Resenburg and Moore (eds.", "), Springer Verlag, New York, pp.", "269-315, (1994)); diabodies (Holliger et al., Proc.", "Natl.", "Acad.", "Sci.", "USA (1993) 90:6444-8; EP 404097; WO93/11161; Johnson et al., Method in Enzymology (1991) 203: 88-98; Holliger et al., Protein Engineering (1996) 9:299-305; Perisic et al., Structure (1994) 2:1217-26; John et al., Protein Engineering (1999) 12(7):597-604; Atwell et al., Mol.", "Immunol.", "(1996) 33:1301-12); sc(Fv)2 (Hudson et al., J Immunol.", "Methods (1999) 231:177-89; Orita et al., Blood (2005) 105:562-566); triabodies (Journal of Immunological Methods (1999) 231: 177-89); and tandem diabodies (Cancer Research (2000) 60:4336-41).", "An antibody fragment can be prepared by treating an antibody with an enzyme, for example, a protease such as papain and pepsin (see Morimoto et al., J. Biochem.", "Biophys.", "Methods (1992) 24:107-17; Brennan et al., Science (1985) 229:81).", "Alternatively, an antibody fragment can also be produced by genetic recombination based on its amino acid sequence.", "A minibody comprising a structure that results from modification of an antibody fragment can be constructed using an antibody fragment obtained by enzyme treatment or genetic recombination.", "Alternatively, after constructing a gene that encodes a whole minibody and introducing it into an expression vector, the minibody may be expressed in appropriate host cells (see, for example, Co et al., J. Immunol.", "(1994) 152:2968-76; Better and Horwitz, Methods Enzymol.", "(1989) 178:476-96; Pluckthun and Skerra, Methods Enzymol.", "(1989) 178: 497-515; Lamoyi, Methods Enzymol.", "(1986) 121:652-63; Rousseaux et al., Methods Enzymol.", "(1986) 121:663-9; Bird and Walker, Trends Biotechnol.", "(1991) 9:132-7).", "The above scFv is a single-chain polypeptide comprising two variable regions linked together via a linker or such, as necessary.", "The two variable regions contained in an scFv are typically one VH and one VL, but an scFv may have two VH or two VL.", "In general, scFv polypeptides comprise a linker between the VH and VL domains, thereby forming a paired portion of VH and VL required for antigen binding.", "A peptide linker of ten or more amino acids is typically used as the linker between VH and VL for forming an intramolecularly paired portion between VH and VL.", "However, the linkers of the scFv of the present invention are not limited to such peptide linkers, as long as they do not inhibit scFv formation.", "To review scFv, see Pluckthun “The Pharmacology of Monoclonal Antibody”, Vol.", "113 (Rosenburg and Moore ed., Springer Verlag, NY, pp.", "269-315 (1994)).", "Meanwhile, “diabodies (Db)” refers to divalent antibody fragments constructed by gene fusion (P. Holliger et al., Proc.", "Natl.", "Acad.", "Sci.", "USA 90: 6444-6448 (1993); EP 404,097; WO93/11161; etc.).", "Diabodies are dimers comprising two polypeptide chains, in which each polypeptide chain comprises within the same chain a light chain variable region (VL) and a heavy chain variable region (VH) linked via a linker short enough to prevent interaction of these two domains, for example, a linker of about five residues.", "VL and VH encoded on the same polypeptide chain will form a dimer because the linker between VL and VH is too short to form a single-chain V region fragment.", "Therefore, diabodies have two antigen-binding sites.", "In this case, when VL and VH directed against two different epitopes (a and b) are expressed simultaneously as combinations of VLa-VHb and VLb-VHa connected with a linker of about five residues, they are secreted as bispecific Db.", "Diabodies comprise two molecules of scFv and thus have four variable regions.", "As a result, diabodies have two antigen binding sites.", "Unlike situations in which scFv does not form dimers, in diabody formation, the length of the linker between the VH and VL in each scFv molecule is generally about five amino acids when the linker is a peptide linker.", "However, the linker of scFv that forms a diabody is not limited to such a peptide linker, as long as it does not inhibit scFv expression and diabody formation.", "Furthermore, it is preferable that minibodies and antibody fragments of the present invention additionally comprise an amino acid sequence of an antibody heavy chain constant region and/or an amino acid sequence of a light chain constant region.", "Alteration of One or More Nucleotides Herein, “alteration of nucleotides” means that gene manipulation or mutagenesis is performed to insert, delete, or substitute at least one nucleotide in a DNA so that the polypeptide encoded by the DNA has amino acid residues of interest.", "Specifically, this means that the codon encoding the original amino acid residue is substituted with a codon encoding the amino acid residue of interest.", "Such nucleotide alterations can be introduced using methods such as site-directed mutagenesis (see, for example, Kunkel (1985) Proc.", "Natl.", "Acad.", "Sci.", "USA 82: 488), PCR mutagenesis, and cassette mutagenesis.", "In general, mutant antibodies whose biological properties have been improved show an amino acid sequence homology and/or similarity of 70% or higher, more preferably 80% or higher, and even more preferably 90% or higher (for example, 95% or higher, 97%, 98%, 99%, etc.", "), when compared to the amino acid sequence of the original antibody variable region.", "Herein, the sequence homology and/or similarity is defined as the ratio of amino acid residues that are homologous (the same residue) or similar (amino acid residues classified into the same group based on the general properties of amino acid side chains) to the original amino acid residues, after maximizing the value of the sequence homology by performing sequence alignment and gap introduction as necessary.", "In general, naturally-occurring amino acid residues are classified into the following groups based on the characteristics of their side chains: (1) hydrophobic: alanine, isoleucine, valine, methionine, and leucine; (2) neutral hydrophilic: asparagine, glutamine, cysteine, threonine, and serine; (3) acidic: aspartic acid and glutamic acid; (4) basic: arginine, histidine, and lysine; (5) residues that have an influence on the chain conformation: glycine and proline; and (6) aromatic: tyrosine, tryptophan, and phenylalanine.", "The number of modified amino acids is, for example, ten, nine, eight, seven, six, five, four, three, two, or one, but is not limited thereto.", "In general, a total of six complementarity determining regions (CDRs; hypervariable regions) present in the heavy chain and light chain variable regions interact to form the antigen binding site(s) of an antibody.", "It is known that one of these variable regions has the ability to recognize and bind to the antigen, even though the affinity will be lower than when all binding sites are included.", "Thus, polypeptides of the present invention having an antigen-binding activity may encode fragment portions containing the respective antigen binding sites of antibody heavy chain and light chain as long as they maintain the desired antigen-binding activity.", "The methods of the present invention allow efficient preparation of, for example, desired polypeptide multimers that actually have the activity described above.", "In a preferred embodiment of the present invention, appropriate amino acid residues to be “modified” can be selected from, for example, the amino acid sequences of antibody heavy chain and light chain variable regions and the amino acid sequences of antibody light chain and light chain variable region.", "DNA Expression DNAs encoding the modified polypeptides are cloned (inserted) into an appropriate vector and then introduced into host cells.", "There is no particular limitation on the vectors as long as they stably carry the inserted nucleic acids.", "For example, when using E. coli as the host, the vectors include cloning vectors.", "Preferred cloning vectors include pBluescript vectors (Stratagene).", "It is possible to use various commercially available vectors.", "Expression vectors are particularly useful as vectors for producing the polypeptide multimers or polypeptides of the present invention.", "There is no particular limitation on the expression vectors as long as they express polypeptides in vitro, in E. coli, culture cells, or organisms.", "Preferred vectors include, for example, pBEST vectors (Promega) for in vitro expression; pET vectors (Invitrogen) for expression in E. coli; the pME18S-FL3 vector (GenBank Accession No.", "AB009864) for expression in culture cells; and the pME18S vector (Mol.", "Cell.", "Biol.", "8:466-472 (1988)) for expression in organisms.", "DNAs can be inserted into vectors by conventional methods such as ligase reaction using restriction enzyme sites (Current protocols in Molecular Biology edit.", "Ausubel et al.", "(1987) Publish.", "John Wiley & Sons.", "Section 11.4-11.11).", "There is no particular limitation on the above host cells, and various host cells can be used depending on the purpose.", "Cells for expressing polypeptides include, for example, bacterial cells (e.g., Streptococcus, Staphylococcus, E. coli, Streptomyces, and Bacillus subtilis), fungal cells (e.g., yeast and Aspergillus), insect cells (e.g., Drosophila S2 and Spodoptera SF9), animal cells (e.g., CHO, COS, HeLa, C127, 3T3, BHK, HEK293, Bowes melanoma cell), and plant cells.", "Vectors can be introduced into host cells using known methods such as the calcium phosphate precipitation method, electroporation method (Current protocols in Molecular Biology edit.", "Ausubel et al.", "(1987) Publish.", "John Wiley & Sons.", "Section 9.1-9.9), lipofection method, and microinjection method.", "In order to secrete host cell-expressed polypeptides into the lumen of the endoplasmic reticulum, periplasmic space, or extracellular environment, appropriate secretion signals can be incorporated into the polypeptides of interest.", "These signals may be intrinsic or foreign to the polypeptides of interest.", "Expression vectors for the first, second, third, and fourth polypeptides can be constructed by inserting DNAs encoding the polypeptides individually into separate vectors.", "Alternatively, some of the DNAs encoding the first, second, third, and fourth polypeptides (for example, a DNA encoding the first polypeptide and a DNA encoding the second polypeptide) may be inserted into a single vector to construct expression vectors.", "When an expression vector is constructed by inserting multiple DNAs into a single vector, there is no limitation on the combination of polypeptide-encoding DNAs to be inserted.", "Recovery of Expression Products When polypeptides are secreted to a culture medium, the expression products are recovered by collecting the medium.", "When polypeptides are produced in cells, the cells are first lysed and then the polypeptides are collected.", "The polypeptides can be collected and purified from a culture of recombinant cells by known methods including ammonium sulfate or ethanol precipitation, acid extraction, anion or cation exchange chromatography, phosphocellulose chromatography, hydrophobic interaction chromatography, affinity chromatography, hydroxylapatite chromatography, and lectin chromatography.", "Protein A affinity chromatography is preferably used in the present invention.", "Protein A columns include, but are not limited to, Hyper D (PALL), POROS (Applied Biosystems), Sepharose F.F.", "(GE), and ProSep (Millipore).", "Alternatively, protein A affinity chromatography can be performed using a resin bound by a ligand that mimics the IgG-binding ability of protein A.", "Also when such a protein A mimic is used, polypeptide multimers of interest can be isolated and purified by creating a difference in the binding ability as a result of the amino acid modifications of the present invention.", "Such protein A mimics include, but are not limited to, for example, mabSelect SuRE (GE Healthcare).", "Furthermore, the present invention provides polypeptide multimers obtained by the production or purification methods of the present invention.", "The present invention also provides polypeptide multimers comprising the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, wherein the protein A-binding ability is different between the first and second polypeptides.", "Such polypeptide multimers can be obtained by the methods described herein.", "The structures and properties of the polypeptide multimers are as described above, and summarized below.", "As compared to before modification of amino acids, the protein A-binding ability of the polypeptide multimers of the present invention has been altered.", "More specifically, the protein A-binding ability has been altered in either or both of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "In a polypeptide multimer of the present invention, the protein A-binding ability of the first polypeptide having an antigen-binding activity is different from that of the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "Accordingly, the solvent pH for protein A elution is different for the first polypeptide and the second polypeptide in affinity chromatography.", "Furthermore, the first polypeptide and/or the second polypeptide can form a multimer with one or two third polypeptides.", "Thus, the present invention relates to polypeptide multimers that comprise the first polypeptide having an antigen-binding activity, the second polypeptide having an antigen-binding activity or no antigen-binding activity, and one or two third polypeptides having an antigen-binding activity, wherein the protein A-binding ability is different for the first and second polypeptides.", "Such polypeptide multimers can also be obtained by the methods described herein.", "The polypeptide multimers may additionally comprise a fourth polypeptide.", "Either one of the first polypeptide and the second polypeptide can form a multimer with the third polypeptide, while the other can form another multimer with the fourth polypeptide.", "Thus, the present invention relates to polypeptide multimers that comprise the first polypeptide having an antigen-binding activity, the second polypeptide having an antigen-binding activity or no antigen-binding activity, the third polypeptide having an antigen-binding activity, and the fourth polypeptide having an antigen-binding activity, wherein the protein A-binding ability is different for the first and second polypeptides.", "Such polypeptide multimers can also be obtained by the methods described herein.", "The above first polypeptide having an antigen-binding activity and second polypeptide having an antigen-binding activity or no antigen-binding activity may comprise an amino acid sequence of an antibody heavy chain constant region or an amino acid sequence of an antibody Fc domain.", "The amino acid sequence of an antibody heavy chain constant region or an antibody Fc domain includes, but is not limited to, an amino acid sequence of a human IgG-derived constant region.", "Meanwhile, the above third polypeptide having an antigen-binding activity and fourth polypeptide having an antigen-binding activity may comprise an amino acid sequence of an antibody light chain constant region.", "Furthermore, the polypeptides having an antigen-binding activity may comprise an amino acid sequence of an antibody variable region (for example, amino acid sequences of CDR1, CDR2, CDR3, FR1, FR2, FR3, and FR4).", "The above first polypeptide having an antigen-binding activity and second polypeptide having an antigen-binding activity or no antigen-binding activity may comprise an amino acid sequence of an antibody heavy chain, or an amino acid sequence comprising an antibody light chain variable region and an antibody heavy chain constant region.", "The above third polypeptide having an antigen-binding activity and fourth polypeptide having an antigen-binding activity may comprise an amino acid sequence of an antibody light chain, or an amino acid sequence comprising an antibody heavy chain variable region and an antibody light chain constant region.", "A polypeptide multimer of the present invention can be a multispecific antibody.", "Multispecific antibodies of the present invention include, but are not limited to, bispecific antibodies capable of specifically binding to two types of antigens.", "In a polypeptide multimer of the present invention, one or more amino acid residues have been modified so that there is a (larger) difference of protein A-binding ability between the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity.", "As described above, the modification sites include, but are not limited to, for example, the following amino acid residues: TLMISR at positions 250-255, VLHQDWLNGK at positions 308-317, EALHNHY at positions 430-436, preferably TLMIS at positions 250-254, LHQD at positions 309-312, LN at positions 314-315, E at position 430, LHNHY at positions 432-436, more preferably LMIS at positions 251-254, LHQ at positions 309-311, L at position 314, LHNH at positions 432-435, and particularly LMIS at positions 252-254, L at position 309, Q at position 311, and NHY at positions 434-436 (EU numbering) in an antibody Fc domain or a heavy chain constant region.", "Meanwhile, as for amino acid modifications of an antibody heavy chain variable region, preferred modification sites include FR1, CDR2, and FR3.More specifically, the polypeptide multimers of the present invention include, but are not limited to, polypeptide multimers in which the amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody Fc domain or antibody heavy chain constant region is histidine or arginine in either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, while the other polypeptide has a different amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody Fc domain or antibody heavy chain constant region.", "Furthermore, the polypeptide multimers of the present invention include, but are not limited to, polypeptide multimers in which the amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region is histidine in either one of the first polypeptide having an antigen-binding activity and the second polypeptide having an antigen-binding activity or no antigen-binding activity, while the amino acid residue at position 435 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region is arginine in the other polypeptide.", "Furthermore, the polypeptide multimers of the present invention comprising the first and second polypeptides include, but are not limited to the examples below.", "(1) Polypeptide multimers that comprise the first or second polypeptide comprising an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to histidine (His) and tyrosine (Tyr), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first or second polypeptide comprising the amino acid sequence of SEQ ID NO: 9, 11, 13, or 15.", "(2) Polypeptide multimers that comprise the first or second polypeptide comprising an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to arginine (Arg) and phenylalanine (Phe), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first or second polypeptide comprising the amino acid sequence of SEQ ID NO: 10 or 12.", "(3) Polypeptide multimers that comprise the first or second polypeptide comprising an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to arginine (Arg) and tyrosine (Tyr), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first or second polypeptide comprising the amino acid sequence of SEQ ID NO: 14.", "(4) Polypeptide multimers that comprise the first and second polypeptides, wherein either one of the polypeptides comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to histidine (His) and tyrosine (Tyr), respectively; and the other polypeptide comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region have been modified to arginine (Arg) and phenylalanine (Phe), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first polypeptide comprising the amino acid sequence of SEQ ID NO: 9, 11, 13, or 15 and the second polypeptide comprising the amino acid sequence of SEQ ID NO: 10 or 12.", "(5) Polypeptide multimers that comprise the first and second polypeptides, wherein either one of the polypeptides comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to histidine (His) and tyrosine (Tyr), respectively; and the other polypeptide comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region have been modified to arginine (Arg) and tyrosine (Tyr), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first polypeptide comprising the amino acid sequence of SEQ ID NO: 9, 11, 13, or 15 and the second polypeptide comprising the amino acid sequence of SEQ ID NO: 14.", "(6) Polypeptide multimers that comprise the first and second polypeptides, wherein either one of the polypeptides comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region derived from a human IgG have been modified to arginine (Arg) and phenylalanine (Phe), respectively; and the other polypeptide comprises an amino acid sequence in which the amino acid residues at positions 435 and 436 (EU numbering) in the amino acid sequence of an antibody heavy chain constant region have been modified to arginine (Arg) and tyrosine (Tyr), respectively.", "Such polypeptide multimers include, but are not limited to, for example, polypeptide multimers that comprise the first polypeptide comprising the amino acid sequence of SEQ ID NO: 10 or 12 and the second polypeptide comprising the amino acid sequence of SEQ ID NO: 14.The above first and second polypeptides may additionally comprise an antibody heavy chain variable region.", "The polypeptide multimers of (1) to (6) above may also comprise the third polypeptide and/or the fourth polypeptide.", "Furthermore, the present invention provides polypeptide variants that comprise a polypeptide comprising a mutation in the amino acid residue at either position 435 or 436 (EU numbering).", "Such polypeptide variants include, but are not limited to, polypeptide variants comprising a polypeptide described in the Examples.", "Furthermore, the present invention provides nucleic acids encoding a polypeptide (polypeptide having an antigen-binding activity) that constitutes a polypeptide multimer of the present invention.", "The present invention also provides vectors carrying such nucleic acids.", "The present invention also provides host cells comprising the above nucleic acids or vectors.", "There is no particular limitation on the host cells, and they include, for example, E. coli and various plant and animal cells.", "The host cells may be used, for example, as a production system for producing and expressing the polypeptide multimers or polypeptides of the present invention.", "There are in vitro and in vivo production systems for producing the polypeptide multimers or polypeptides.", "In vitro production systems include those using eukaryotic cells and prokaryotic cells.", "Eukaryotic cells that can be used as host cells include, for example, animal cells, plant cells, and fungal cells.", "Animal cells include: mammalian cells, for example, CHO (J. Exp.", "Med.", "(1995) 108, 945), COS, HEK293, 3T3, myeloma, BHK (baby hamster kidney), HeLa, and Vero; amphibian cells such as Xenopus laevis oocytes (Valle, et al., Nature (1981) 291: 338-340); and insect cells such as Sf9, Sf21, and Tn5.For expressing the polypeptide multimers or polypeptides of the present invention, CHO-DG44, CHO-DX11B, COS7 cells, HEK293 cells, and BHK cells can be suitably used.", "Of the animal cells, CHO cells are particularly preferable for large-scale expression.", "Vectors can be introduced into a host cell by, for example, calcium phosphate methods, DEAE-dextran methods, methods using cationic liposome DOTAP (Boehringer-Mannheim), electroporation methods, or lipofection methods.", "It is known that plant cells such as Nicotiana tabacum-derived cells and Lemna minor cells are protein production systems, and these cells can be used to produce polypeptide multimers or polypeptides of the present invention by methods that culture calluses from these cells.", "Protein expression systems that use fungal cells including yeast cells, for example, cells of the genus Saccharomyces (Saccharomyces cerevisiae, Saccharomyces pombe, etc.", "), and cells of filamentous fungi, for example, the genus Aspergillus (Aspergillus niger, etc.)", "are known, and these cells can be used as a host to produce polypeptide multimers or polypeptides of the present invention.", "When prokaryotic cells are used, production systems that use bacterial cells are available.", "Production systems that use bacterial cells including Bacillus subtilis as well as E. coli described above are known, and they can be used to produce polypeptide multimers or polypeptides of the present invention.", "When a polypeptide multimer or polypeptide is produced using a host cell of the present invention, a polynucleotide encoding the polypeptide multimer or polypeptide of the present invention may be expressed by culturing the host cell transformed with an expression vector comprising the polynucleotide.", "Culturing can be performed according to known methods.", "For example, when animal cells are used as a host, DMEM, MEM, RPMI 1640, or IMDM may be used as the culture medium.", "The culture medium may be used with serum supplement solutions such as FBS or fetal calf serum (FCS).", "Alternatively, cells can be cultured in serum-free cultures.", "The preferred pH is about 6 to 8 during the course of culturing.", "Incubation is carried out typically at about 30 to 40° C. for about 15 to 200 hours.", "Medium is exchanged, aerated, or agitated, as necessary.", "On the other hand, systems for producing polypeptides in vivo include, for example, those using animals and those using plants.", "A polynucleotide of interest is introduced into an animal or plant to produce the polypeptide in the body of the animal or the plant, and then the polypeptide is collected.", "The “host” of the present invention includes such animals and plants.", "When animals are used, production systems that use mammals or insects are available.", "Mammals such as goat, pig, sheep, mouse, and cattle may be used (Vicki Glaser, SPECTRUM Biotechnology Applications (1993)).", "When mammals are used, transgenic animals may be used.", "For example, a polynucleotide encoding a polypeptide multimer or polypeptide of the present invention may be prepared as a fusion gene with a gene encoding a polypeptide specifically produced in milk, such as goat β-casein.", "Next, polynucleotide fragments containing this fusion gene are injected into goat embryos, which are then introduced back into female goats.", "The antibody of interest can be obtained from milk produced by the transgenic goats, which are born from the goats that received the embryos, or by their offspring.", "Appropriate hormones may be administered to the transgenic goats to increase the volume of milk containing the antibody produced by the transgenic goats (Ebert et al., Bio/Technology (1994) 12: 699-702).", "Insects such as silkworms may be used for producing polypeptide multimers or polypeptides of the present invention.", "When silkworms are used, baculoviruses carrying a polynucleotide encoding a polypeptide multimer or polypeptide of interest can be used to infect silkworms, so that the polypeptide multimer or polypeptide of interest can be obtained from the body fluids of these silkworms (Susumu et al., Nature (1985) 315:592-594).", "Plants used for producing polypeptide multimers or polypeptides of the present invention include, for example, tobacco.", "When tobacco is used, a polynucleotide encoding a polypeptide multimer or polypeptide of interest is inserted into a plant expression vector, for example, pMON 530, and then the vector is introduced into a bacterium such as Agrobacterium tumefaciens.", "The bacteria are then used to infect tobacco such as Nicotiana tabacum, and the desired polypeptide multimer or polypeptide can be obtained from the leaves of the tobacco (Ma et al., Eur.", "J. Immunol.", "(1994) 24: 131-138).", "Alternatively, the same bacteria can be used to infect Lemna minor, and after cloning, the desired polypeptide multimer or polypeptide can be obtained from the cells of Lemna minor (Cox K. M. et al., Nat.", "Biotechnol.", "2006 December; 24(12):1591-1597).", "The polypeptide multimer or polypeptide thus obtained may be isolated from the inside or outside (such as the medium and milk) of host cells, and purified as a substantially pure and homogenous polypeptide multimer or polypeptide.", "Methods used for separating and purifying a polypeptide multimer or polypeptide are not limited, and methods used in standard polypeptide purification may be applied.", "Antibodies may be isolated and purified by selecting an appropriate combination of, for example, chromatographic columns, filtration, ultrafiltration, salting-out, solvent precipitation, solvent extraction, distillation, immunoprecipitation, SDS-polyacrylamide gel electrophoresis, isoelectric focusing, dialysis, recrystallization, and such.", "Chromatographies include, for example, affinity chromatography, ion exchange chromatography, hydrophobic chromatography, gel filtration, reverse-phase chromatography, and adsorption chromatography (Strategies for Protein Purification and Characterization: A Laboratory Course Manual.", "Ed Daniel R. Marshak et al., (1996) Cold Spring Harbor Laboratory Press).", "These chromatographies can be carried out using liquid phase chromatography such as HPLC and FPLC.", "Examples of columns for affinity chromatography include protein A columns and protein G columns.", "Examples of the columns that use protein A include, but are not limited to, Hyper D, POROS, and Sepharose F. F. (Pharmacia).", "As necessary, modifications can be added and peptides can be deleted from a polypeptide multimer or polypeptide arbitrarily by treatment with an appropriate protein modification enzyme before or after purification of the polypeptide multimer or polypeptide.", "Such protein modification enzymes include, for example, trypsin, chymotrypsin, lysyl endopeptidase, protein kinase, and glucosidase.", "Another preferred embodiment of the present invention includes a method for producing a polypeptide multimer or polypeptide of the present invention, which comprises the steps of culturing the host cells of the present invention as described above and collecting the polypeptide from the cell culture.", "Furthermore, the present invention relates to pharmaceutical compositions (agents) comprising a polypeptide multimer or polypeptide of the present invention and a pharmaceutically acceptable carrier.", "In the present invention, “pharmaceutical compositions” generally refers to agents for treating or preventing, or testing and diagnosing diseases.", "The pharmaceutical compositions of the present invention can be formulated by methods known to those skilled in the art.", "For example, such pharmaceutical compositions can be used parenterally in the form of injections, which are sterile solutions or suspensions prepared with water or another pharmaceutically acceptable liquid.", "For example, such compositions may be formulated by appropriately combining with a pharmaceutically acceptable carrier or medium, specifically, sterile water, physiological saline, vegetable oil, emulsifier, suspension, surfactant, stabilizer, flavoring agent, excipient, vehicle, preservative, binder, or such, and mixed in a unit dose form that meets the generally accepted requirements for preparation of pharmaceuticals.", "In such preparations, the amount of active ingredient is adjusted such that a suitable amount within a specified range is obtained.", "Sterile compositions for injection can be formulated using vehicles such as distilled water for injection, according to standard protocols for formulation.", "Aqueous solutions for injection include, for example, physiological saline and isotonic solutions containing glucose or other adjuvants (for example, D-sorbitol, D-mannose, D-mannitol, and sodium chloride).", "Appropriate solubilizers, for example, alcohols (ethanol and such), polyalcohols (propylene glycol, polyethylene glycol, and such), non-ionic surfactants (polysorbate 80™, HCO-50, and such) may be used in combination.", "Oils include sesame and soybean oils.", "Benzyl benzoate and/or benzyl alcohol can be used as solubilizers in combination.", "Buffers (for example, phosphate buffer and sodium acetate buffer), soothing agents (for example, procaine hydrochloride), stabilizers (for example, benzyl alcohol and phenol), and/or antioxidants can also be combined.", "Prepared injections are generally filled into appropriate ampules.", "The pharmaceutical compositions of the present invention are preferably administered parenterally.", "For example, the compositions may be in the form of injections, transnasal agents, transpulmonary agents, or transdermal agents.", "For example, such compositions can be administered systemically or locally by intravenous injection, intramuscular injection, intraperitoneal injection, subcutaneous injection, or such.", "The administration methods can be appropriately selected in consideration of a patient's age and symptoms.", "The dosage of a pharmaceutical composition comprising a polypeptide multimer or polypeptide or a polynucleotide encoding a polypeptide multimer or polypeptide may be set, for example, within the range of 0.0001 to 1000 mg/kg weight for each administration.", "Alternatively, the dosage may be, for example, from 0.001 to 100,000 mg per patient.", "However, in the present invention, the dosage is not necessarily limited to the ranges described above.", "Although the dosage and administration method vary depending on a patient's weight, age, symptoms, and such, those skilled in the art can select appropriate dosage and administration methods in consideration of these factors.", "The multispecific antibodies of the present invention can be formulated by combining them with other pharmaceutical components as necessary.", "All prior art references cited herein are incorporated by reference into this specification.", "EXAMPLES Hereinbelow, the present invention will be specifically described with reference to the Examples, but it is not to be construed as being limited thereto.", "[Example 1] Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The antibody H chain variable regions used were: Q153 (the H chain variable region of an anti-human F.IX antibody, SEQ ID NO: 1), Q407 (the H chain variable region of an anti-human F.IX antibody, SEQ ID NO: 2), J142 (the H chain variable region of an anti-human F.X antibody, SEQ ID NO: 3), J300 (the H chain variable region of an anti-human F.X antibody, SEQ ID NO: 4), and MRA-VH (the H chain variable region of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 5).", "The antibody L chain variable regions used were: L180-k (an L chain common to an anti-human F.IX antibody and an anti-human F.X antibody, SEQ ID NO: 6), L210-k (an L chain common to an anti-human F.IX antibody/anti-human F.X antibody, SEQ ID NO: 7), and MRA-k (the L chain of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 8).", "The antibody H chain constant regions used were: G4d (SEQ ID NO: 9), which was constructed from IgG4 by introducing a substitution mutation of Pro for Ser at position 228 (EU numbering) and deleting the C-terminal Gly and Lys; z72 (SEQ ID NO: 10), which was constructed from G4d by introducing the following mutations: a substitution mutation of Arg for His at position 435 (EU numbering); a substitution mutation of Phe for Tyr at position 436 (EU numbering); and a substitution mutation of Pro for Leu at position 445 (EU numbering); z7 (SEQ ID NO: 11), which was constructed from G4d by introducing a substitution mutation of Lys for Glu at position 356 (EU numbering); z73 (SEQ ID NO: 12), which was constructed from z72 by introducing a substitution mutation of Glu for Lys at position 439 (EU numbering); z106 (SEQ ID NO: 13), which was constructed from z7 by introducing the following mutations: a substitution mutation of Gln for Lys at position 196 (EU numbering); a substitution mutation of Tyr for Phe at position 296 (EU numbering); and a substitution mutation of Lys for Arg at position 409 (EU numbering); z107 (SEQ ID NO: 14), which was constructed from z73 by introducing the following mutations: a substitution mutation of Gln for Lys at position 196 (EU numbering); a substitution mutation of Tyr for Phe at position 296 (EU numbering); a substitution mutation of Lys for Arg at position 409 (EU numbering); and a substitution mutation of Tyr for Phe at position 436 (EU numbering); and Gld (SEQ ID NO: 15), which was constructed by deleting the C-terminal Gly and Lys from IgG1.Substitution mutations of Lys for Glu at position 356 (EU numbering) and Glu for Lys at position 439 (EU numbering) were introduced for efficient formation of heteromeric molecules from the respective H chains in the production of heteromeric antibodies ((WO 2006/106905) PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY).", "The anti-human F.IX antibody H chain genes Q153-G4d and Q153-z7 were constructed by linking respectively G4d and z7 downstream of Q153.The anti-human F.IX antibody H chain gene Q407-z106 was constructed by linking z106 downstream of Q407.The anti-human F.X antibody H chain genes J142-G4d, J142-z72, and J142-z73 were constructed by linking respectively G4d, z72, and z73 downstream of J142.The anti-human F.X antibody H chain gene J300-z107 was constructed by linking z107 downstream of J300.The anti-human interleukin-6 receptor antibody H chain genes MRA-G1d, MRA-z106, and MRA-z107 were constructed by linking respectively G1d, z106, and z107 downstream of MRA-VH.", "The respective antibody genes (Q153-G4d, Q153-z7, Q407-z106, J142-G4d, J142-z72, J142-z73, J300-z106, MRA-G1d, MRA-z106, MRA-z107, L180-k, L210-k, and MRA-k) were inserted into animal cell expression vectors.", "The following antibodies were expressed transiently in FreeStyle293 cells (Invitrogen) by transfection using the constructed expression vectors.", "As shown below, antibodies were named using the combinations of transfected antibody genes.", "MRA-G1d/MRA-k MRA-z106/MRA-z107/MRA-k Q153-G4d/J142-G4d/L180-k Q153-G4d/J142-z72/L180-k Q153-z7/J142-z73/L180-k Q407-z106/J300-z107/L210-k [Example 2] Assessment of the Elution Conditions for Protein a Affinity Chromatography Q153-G4d/J142-G4d/L180-k and Q153-G4d/J142-z72/L180-k were expressed transiently, and the medium of the resulting FreeStyle293 cell culture (hereinafter abbreviated as CM) was used as a sample for assessing the elution conditions for protein A affinity chromatography.", "The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto an rProtein A Sepharose Fast Flow column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elutions 1 to 5 in a stepwise manner as shown in Table 1.The volume of CM to be loaded onto the column was adjusted to 20 mg antibody/ml resin.", "Fractions eluted under each condition were collected, and the respective eluted fractions were analyzed by cation exchange chromatography to identify their components.", "To prepare controls, each CM was loaded onto rProtein G Sepharose Fast Flow resin (GE Healthcare).", "Samples purified by batchwise elution were used as controls.", "Since protein G binds to the Fab domain of an antibody, all antibody species (a bispecific antibody of interest in which two types of H chains are associated in a heteromeric manner (heteromeric antibody) and as an impurity monospecific homomeric antibodies in which single-type H chains are homomerically associated) in CM can be purified by using protein G, regardless of their protein A-binding affinity.", "TABLE 1 Equilibration D-PBS Wash 1 400 mM Arg-HCl/D-PBS Wash 2 20 mM NaCitrate, pH 5.0 Elution 1 20 mM NaCitrate, pH 4.0 Elution 2 20 mM NaCitrate, pH 3.8 Elution 3 20 mM NaCitrate, pH 3.6 Elution 4 20 mM NaCitrate, pH 3.4 Elution 5 20 mM NaCitrate, pH 3.2 CM in which Q153-G4d/J142-G4d/L180-k or Q153-G4d/J142-z72/L180-k had been expressed was eluted from a protein A column (elution 1 to 5), and the respective eluted fractions were analyzed by cation exchange chromatography.", "As for Q153-G4d/J142-G4d/L180-k, the analysis revealed that as the elution condition was altered from 1 to 5, i.e., as the pH of the elution buffer was reduced, the antibody composition of the eluted fractions changed gradually in the order from the homomeric antibody J142-G4d/L180-k to the heteromeric antibody Q153-G4d/J142-G4d/L180-k, and then to the homomeric antibody Q153-G4d/L180-k.", "The order of elution is understood to be in accordance with the binding ability for protein A.", "This implies that the homomeric antibody Q153-G4d/L180-k, which remained bound until exposed to low pH, has a greater binding ability for protein A than the homomeric species J142-G4d/L180-k (a homomeric antibody against FX) eluted at a high pH.", "It is known that the variable region J142 is a sequence incapable of binding to protein A.", "Specifically, the homomeric species J142-G4d/L180-k (a homomeric antibody against FX) has two protein A-binding sites; the heteromeric antibody Q153-G4d/J142-G4d/L180-k has three; and the homomeric antibody Q153-G4d/L180-k (homomeric antibody against FX) has four protein A-binding sites.", "Thus, it was revealed that more protein A-binding sites resulted in stronger protein A binding, and thus a lower pH was required for elution.", "Meanwhile, as for Q153-G4d/J142-z72/L180-k, it was revealed that as the elution condition was altered from 1 to 5, the antibody composition in the eluted fraction changed from the heteromeric antibody Q153-G4d/J142-z72/L180-k to the homomeric antibody Q153-G4d/L180-k.", "The homomeric antibody J142-z72/L180-k (a homomeric antibody against FX) was almost undetectable in any eluted fractions.", "This suggests that J142-z72/L180-k has no protein A-binding ability.", "It is thought that the lack of protein A-binding ability of J142-z72 might be due to the introduced substitution mutation of Arg for His at position 435 (EU numbering).", "The homomeric antibody J142-z72/L180-k (a homomeric antibody against FX) has no protein A-binding site, while the heteromeric antibody Q153-G4d/J142-z72/L180-k has two protein A-binding sites and the homomeric antibody Q153-G4d/L180-k (a homomeric antibody against FIX) has four.", "The homomeric antibody J142-z72/L180-k (a homomeric antibody against FX) passes through the column because it does not bind to protein A.", "This is the reason why J142-z72/L180-k was undetectable in any eluted fractions.", "Furthermore, in both cases of Q153-G4d/J142-G4d/L180-k and Q153-G4d/J142-z72/L180-k, it was suggested that the heteromeric antibody and homomeric antibody Q153-G4d/L180-k (a homomeric antibody against FIX) were separable from each other at pH 3.6 or a lower pH.", "[Example 3] Isolation and Purification of Heteromeric Antibodies by Protein a Chromatography CM samples containing the following antibodies were used: Q153-G4d/J142-G4d/L180-k Q153-G4d/J142-z72/L180-k Q153-z7/J142-z73/L180-k Q407-z106/J300-z107/L210-k The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto an rProtein A Sepharose Fast Flow column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elutions 1 and 2 as shown in Table 2 (except that Q407-z106/J300-z107/L210-k was subjected to elution 1 only).", "The elution conditions were determined based on the result described in Example 2.The volume of CM to be loaded onto the column was adjusted to 20 mg antibody/ml resin.", "Respective fractions eluted under each condition were collected and analyzed by cation exchange chromatography to identify their components.", "To prepare controls, each CM was loaded onto rProtein G Sepharose Fast Flow resin (GE Healthcare) in the same manner as described in Example 2.Samples purified by batchwise elution were used as controls.", "TABLE 2 Equilibration D-PBS Wash 1 400 mM Arg-HCl/D-PBS Wash 2 20 mM NaCitrate, pH 5.0 Elution 1 20 mM NaCitrate, pH 3.6 Elution 2 20 mM NaCitrate, pH 2.7 The result of cation exchange chromatography analysis for each eluted fraction is shown in Table 3 below.", "The values represent the area of elution peak expressed in percentage.", "Except for the Q153-G4d/J142-G4d/L180-k antibody, homomeric antibodies against FX were almost undetectable in any fractions eluted.", "Thus, it was revealed that not only the homomeric antibody J142-z72 (a homomeric antibody against FX) described in Example 2 but also the homomeric antibodies J142-z73 and J300-z107 (a homomeric antibody against FX) were incapable of binding to protein A.", "It is thought that the lack of protein A-binding ability in the homomeric antibody against FX was due to the substitution mutation of Arg for His at position 435 (EU numbering), which was introduced into the H chain constant region of the antibody against FX.", "The heteromeric antibody, which is a bispecific antibody of interest, was detected mostly in the fraction of elution 1.Meanwhile, the majority of homomeric antibodies against FIX were eluted by elution 2, although they were also detected at a very low level in the fraction of elution 1.As compared to Q153-G4d/J142-z72/L180-k, in the cases of Q153-z7/J142-z73/L180-k and Q407-z106/J300-z107/L210-k, the proportion of the heteromeric antibody (bispecific antibody of interest) was considerably increased in the fraction eluted at pH 3.6.Thus, it was demonstrated that when the substitution mutations of Lys for Glu at position 356 (EU numbering) and of Glu for Lys at position 439 (EU numbering) for efficient formation of heteromeric molecules from the respective H chains were introduced in combination with the substitution mutation of Arg for His at position 435 (EU numbering), the heteromeric antibody (bispecific antibody of interest) could be purified to a purity of 98% or higher through the protein A-based purification step alone.", "As described above, the present inventors revealed that based on differences in the number of protein A-binding sites between the heteromeric antibody and homomeric antibodies, the heteromeric antibody could be isolated and purified to high purity through the protein A chromatography step alone.", "TABLE 3 Q153-G4d/J142-G4d/L180-k Fraction eluted Fraction eluted Peak area (%) Control at pH 3.6 at pH 2.7 J142-G4d/L180-k 17.6 27.5 — Q153-G4d/J142-G4d/L180-k 48.3 58.4 9.0 Q153-G4d/L180-k 34.1 14.1 91.0 TABLE 4 Q153-G4d/J142-z72/L180-k Fraction Fraction eluted eluted Peak area (%) Control at pH 3.6 at pH 2.7 J142-z72/L180-k 8.4 0.9 — Q153-G4d/J142-z72/L180-k 50.8 81.0 2.2 Q153-G4d/L180-k 40.8 18.1 97.8 TABLE 5 Q153-z7/J142-z73/L180-k Fraction Fraction eluted eluted Peak area (%) Control at pH 3.6 at pH 2.7 J142-z73/L180-k 3.2 — — Q153-z7/J142-z73/L180-k 90.7 98.1 2.7 Q153-z7/L180-k 6.1 1.9 97.3 [Example 4] Assessment of Pharmacokinetics in Human FcRn Transgenic Mice As described in Example 3 above, the present inventors demonstrated that by using z106 (SEQ ID NO: 13) and z107 (SEQ ID NO: 14) for the respective H chain constant regions of the bispecific antibody, the heteromeric antibody (bispecific antibody of interest) could be purified to a purity of 98% or higher through the protein A step alone.", "Meanwhile, the loss of protein A-binding affinity probably results in loss of human FcRn-binding activity because protein A and human FcRn recognize the same site in an IgG antibody (J Immunol.", "2000, 164(10):5313-8).", "Actually, there is a reported method for purifying a bispecific antibody to a purity of 95% using protein A.", "The method uses a rat IgG2b H chain which does not bind to protein A. Catumaxomab (a bispecific antibody) purified by this method has a half-life of about 2.1 days in human.", "Its half-life is significantly shorter than the half-life of a normal human IgG1 which is 2 to 3 weeks (Non-patent Document 2).", "In this context, antibodies that have z106 (SEQ ID NO: 13) and z107 (SEQ ID NO: 14) described in Example 3 as constant regions were assessed for their pharmacokinetics.", "In a pharmacokinetic experiment for calculating the half-life in human, the pharmacokinetics in human FcRn transgenic mice (B6.mFcRn−/−.hFcRn Tg line 276+/+mice, Jackson Laboratories) was assessed by the following procedure.", "MRA-G1d/MRA-k (hereinafter abbreviated as MRA-IgG1) having the IgG1 constant region and MRA-z106/MRA-z107/MRA-k (hereinafter abbreviated as MRA-z106/z107) that has z106/z107 as constant region was each intravenously administered once at a dose of 1 mg/kg to mice, and blood was collected at appropriate time points.", "The collected blood was immediately centrifuged at 15,000 rpm and 4° C. for 15 minutes to obtain blood plasma.", "The separated plasma was stored in a freezer at −20° C. or below until use.", "The plasma concentration was determined by ELISA.", "MRA-IgG1 and MRA-z106/z107k were assessed for their plasma retention in human FcRn transgenic mice.", "As shown in FIG.", "1, the result indicates that the retention of MRA-z106/z107 in plasma was comparable to or longer than that of MRA-IgG1.As described above, z106/z107, a constant region that allows for efficient production or purification of the heteromeric antibody to high purity by the protein A-based purification step alone, was demonstrated to be comparable or superior to human IgG1 in terms of plasma retention.", "[Example 5] Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The antibody H chain variable regions used were: Q499 (the H chain variable region of an anti-human F.IX antibody, SEQ ID NO: 16).", "J339 (the H chain variable region of an anti-human F.X antibody, SEQ ID NO: 17).", "The antibody L chain used was: L377-k (the L chain common to an anti-human F.IX antibody and an anti-human F.X antibody, SEQ ID NO: 18).", "The antibody H chain constant regions used were: z118 (SEQ ID NO: 19), which was constructed from z106 described in Example 1, by introducing a substitution mutation of Phe for Leu at position 405 (EU numbering); z121 (SEQ ID NO: 20), which was constructed from z118 by introducing a substitution mutation of Arg for His at position 435 (EU numbering); and z119 (SEQ ID NO: 21), which was constructed from z118 by introducing substitution mutations of Glu for Lys at position 356 (EU numbering) and Lys for Glu at position 439 (EU numbering).", "The anti-human F.IX antibody H chain genes Q499-z118 and Q499-z121 were constructed by linking respectively z118 and z121 downstream of Q499.The anti-human F. X antibody H chain gene J339-z119 was constructed by linking z119 downstream of J339.Each of the antibody genes (Q499-z118, Q499-z121, J339-z119, and L377-k) was inserted into an animal cell expression vector.", "The following antibodies were expressed transiently in FreeStyle293 cells (Invitrogen) by transfection using the constructed expression vectors.", "As shown below, antibodies were named using the combinations of transfected antibody genes.", "Q499-z118/J339-z119/L377-k Q499-z121/J339-z119/L377-k The above two antibodies are only different at the amino acid of position 435 in the EU numbering system in the H chain of the anti-human F.IX antibody.", "z118 has His at position 435 and it has protein A-binding affinity.", "Meanwhile, z121 has Arg at position 435, and it is predicted to have no protein A-binding activity based on the finding described in Example 2.Q499 is predicted to bind to protein A based on its sequence.", "Thus, as for Q499-z118/J339-z119/L377-k, the homomeric species J339-z119/L377-k (a homomeric antibody against FX) has two protein A-binding sites; the heteromeric antibody Q499-z118/J339-z119/L377-k has three; and the homomeric antibody Q499-z118/L377-k (homomeric antibody against FIX) has four protein A-binding sites.", "Meanwhile, as for Q499-z121/J339-z119/L377-k introduced with a modification that leads to loss of protein A-binding affinity, the homomeric species J339-z119/L377-k has two protein A-binding sites; the heteromeric antibody Q499-z121/J339-z119/L377-k has two; and the homomeric antibody Q499-z121/L377-k has two.", "Specifically, even if a modification that leads to loss of protein A-binding affinity (for example, a modification that substitutes Arg for the amino acid at position 435, EU numbering) was introduced into only the H chain which binds to protein A through its variable region, it would not produce the effect that allows for efficient isolation/purification of the heteromeric antibody to high purity by the protein A-based purification step alone.", "However, the modification that leads to the loss of protein A-binding ability can produce the effect when MabSelct SuRe (GE Healthcare) is used.", "MabSelect SuRe is a modified protein A incapable of binding to Q499 and a chromatographic carrier for use in the purification of antibodies.", "The carrier was developed to meet industrial requirements.", "The ligand is a recombinant protein A that has been modified by genetic engineering to be resistant to alkaline conditions.", "The great pH stability enables efficient and low-cost NaOH wash.", "Furthermore, the carrier is characteristic in that it does not bind to the heavy chain variable region of the VH3 subclass, such as Q499.With respect to Q499-z118/J339-z119/L377-k, the homomeric species J339-z119/L377-k has two MabSelect SuRe-binding sites; the heteromeric antibody Q499-z118/J339-z119/L377-k has two; and the homomeric antibody Q499-z118/L377-k has two.", "Meanwhile, as for Q499-z121/J339-z119/L377-k, the homomeric species J339-z119/L377-k has two MabSelect SuRe-binding sites; the heteromeric antibody Q499-z121/J339-z119/L377-k has a single site; and the homomeric antibody Q499-z121/L377-k does not have any MabSelect SuRe-binding site.", "Specifically, it is understood that by combining a modified protein A incapable of binding to the antibody variable region, such as MabSelect SuRe, with a modification that leads to loss of protein A-binding affinity, the heteromeric antibody can be efficiently isolated and purified to high purity by the protein A-based purification step alone regardless of the protein A-binding activity of the heavy chain variable region.", "[Example 6] Isolation and Purification of Heteromeric Antibodies by Affinity Chromatography Using Modified Protein A CM in which Q499-z118/J339-z119/L377-k or Q499-z121/J339-z119/L377-k had been expressed was subjected to chromatography using modified protein A.", "The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto a Mab Select SuRe column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elution as shown in Table 7.Recombinant protein A consists of five domains (A to E) which have IgG-binding activity.", "In Mab Select SuRe, domain B has been modified by genetic engineering to have a tetrameric structure.", "Mab Select SuRe lacks affinity for the antibody variable region, and is advantageous in that it allows for antibody elution even under milder conditions as compared to conventional recombinant protein A.", "In addition, the resin has improved alkaline resistance and enables for cleaning in place using 0.1 to 0.5 M NaOH, and is thus more suitable for production.", "In the experiment described in this Example as shown in Table 7, 50 mM acetic acid (the pH was not adjusted and the measured pH was around 3.0) was used for the elution instead of the stepwise elution at pH3.6 and pH 2.7 described in Example 3.The respective eluted fractions were collected and analyzed by cation exchange chromatography to identify their components.", "To prepare controls, each CM was loaded onto rProtein G Sepharose Fast Flow resin (GE Healthcare) in the same manner as described in Example 2.Samples purified by batchwise elution were used as controls.", "Next, the fractions eluted from protein A were subjected to ion exchange chromatography.", "An SP Sepharose High Performance column (GE Healthcare) was equilibrated with an equilibration buffer (20 mM sodium phosphate buffer, pH 6.0).", "Then, the fractions eluted from protein A were neutralized with 1.5 M Tris-HCl, (pH7.4), and diluted three times with equilibration buffer, and loaded.", "Antibodies bound to the column were eluted with 25 column volumes (CV) of an NaCl concentration gradient of 50 to 350 mM.", "The eluted fractions containing the heteromeric antibody were purified by gel filtration chromatography using superdex200.The resulting monomer fractions were collected, and used in the assessment of pharmacokinetics in human FcRn transgenic mice described in Example 7.TABLE 7 Equilibration D-PBS Wash 1 400 mM Arg-HCl/D-PBS Wash 2 50 mM NaAcetate buffer, pH 6.0 Elution 50 mM Acetic acid The result of cation exchange chromatography analysis of each eluted fraction is shown in Tables 8 and 9.As shown in Table 8, with respect to Q499-z118/J339-z119/L377-k, the component ratio of each eluted fraction is not much different from that of the control.", "The reason is probably that all three species J339-z119/L377-k (a homomeric antibody against F.X), Q499-z118/L377-k (a homomeric antibody against F.IX), and Q499-z118/J339-z119/L377-k (a heteromeric antibody) had two binding sites for the modified protein, and thus there was no difference in terms of the association/dissociation during the protein A-based purification step.", "Meanwhile, in the case of Q499-z121/J339-z119/L377-k, the ratio of Q499-z121/L377-k (a homomeric antibody against F.IX) in the eluted fraction was significantly reduced as compared to the control as shown in Table 9.In contrast, the ratios of J339-z119/L377-k (a homomeric antibody against F.X) and Q499-z121/J339-z119/L377-k (a heteromeric antibody) in the eluted fraction were relatively increased as compared to the control along with a decrease of Q499-z121/L377-k.", "It was believed that this is because J339-z119/L377-k (a homomeric antibody against F.X) has two binding sites for the modified protein A and Q499-z121/J339-z119/L377-k (a heteromeric antibody) has one.", "However, Q499-z121/L377-k (a homomeric antibody against F.IX) has no binding site, and accordingly the majority of Q499-z121/L377-k passed through the column without binding to the modified protein A.", "As described above, the present invention also demonstrates that with respect to antibodies whose variable regions have protein A-binding activity, when the modified protein A is combined with a modification that leads to loss of protein A-binding affinity, one of the homomeric antibodies can be significantly decreased, and as a result the purity of the heteromeric antibody is increased by the protein A-based purification step alone.", "TABLE 8 Q499-z118/J339-z119/L377-k Eluted Peak area (%) Control fraction J339-z119/L377-k 2.3 4.2 Q499-z118/J339-z119/L377-k 75.5 79.1 Q499-z118/L377-k 22.3 16.7 TABLE 9 Q499-z121/J339-z119/L377-k Eluted Peak area (%) Control fraction J339-z119/L377-k 3.2 5.9 Q499-z121/J339-z119/L377-k 76.6 91.6 Q499-z121/L377-k 20.2 2.5 [Example 7] Assessment of Pharmacokinetics in Human FcRn Transgenic Mice Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k prepared as described in Example 6 were assessed for their pharmacokinetics.", "It is likely to be difficult to adjust the protein A-binding activity without loss of the human FcRn binding, because protein A and human FcRn recognize the same site in an antibody IgG (J Immunol.", "2000 164 (10):5313-8) as shown in FIG.", "2.To retain the binding affinity for human FcRn is very important for the long plasma retention (long half-life) in human, which is characteristic of IgG-type antibodies.", "In this context, pharmacokinetics was compared between Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k prepared as described in Example 6.In a pharmacokinetic experiment to predict the half-life in human, the pharmacokinetics in human FcRn transgenic mice (B6.mFcRn−/−.hFcRn Tg line 276+/+mice, Jackson Laboratories) was assessed by the following procedure.", "Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k were each intravenously administered once at a dose of 5 mg/kg to mice, and blood was collected at appropriate time points.", "The collected blood was immediately centrifuged at 15,000 rpm and 4° C. for 15 minutes to obtain blood plasma.", "The separated plasma was stored in a freezer at −20° C. or below until use.", "The blood concentration was determined by ELISA.", "As shown in FIG.", "3, the result indicates that Q499-z118/J339-z119/L377-k and Q499-z121/J339-z119/L377-k were comparable to each other in terms of plasma retention.", "Thus, z121/z119, a constant region in which either of the H chains is introduced with a modification that leads to loss of protein A-binding ability was demonstrated to be comparable in terms of plasma retention to z118/z119 which does not have the modification that leads to loss of protein A-binding affinity.", "As described above, the present inventors revealed a modification (for example, a substitution mutation of Arg for the amino acid at position 435, EU numbering) that leads to loss of protein A-binding ability but has no influence on the pharmacokinetics, and which allows for efficient isolation/purification of the heteromeric antibody to high purity through the protein A-based purification step alone regardless of the variable region.", "[Example 8] Introduction of Mutations into the CH3 Domain of GC33-IgG1-CD3-scFv and Preparation of Designed Molecules Through the Protein A-Based Purification Step Alone Introduction of Mutations for Protein A-Based Purification of the GC33-IgG1-CD3-scFv Molecule The inventors designed an anti-GPC3 IgG antibody molecule in which an anti-CD3 scFv antibody is linked to one of the two H chains (FIG.", "4).", "This molecule was expected to be capable of killing cancer cells by recruiting T cells to cancer cells through divalent binding to glypican-3 (GPC3), a cancer-specific antigen, and monovalent binding to CD3, a T-cell antigen.", "An anti-CD3 scFv antibody must be linked to only one of the two H chains to achieve the monovalent binding to CD3.In this case, it is necessary to purify the molecule formed via heteromeric association of the two types of H chains.", "Thus, using the same method described in Example 3, a substitution mutation of Arg for His at position 435 (EU numbering) was introduced into one of the H chains.", "Furthermore, the above mutation was combined with the mutations (a substitution of Lys for Asp at position 356, EU numbering, is introduced into one H chain and a substitution of Glu for Lys at position 439, EU numbering, is introduced into the other H chain) described in WO 2006/106905 (PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY) as a modification to enhance the heteromeric association of the two H chains.", "The present inventors tested whether it was possible with the combined mutations to purify the molecule of interest by protein A chromatography alone.", "Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The gene encoding GPC3 (anti-human Glypican-3 antibody H chain variable region, SEQ ID NO: 22) as an antibody H chain variable region was constructed by a method known to those skilled in the art.", "Furthermore, the gene encoding GC33-k0 (anti-human Glypican-3 antibody L chain, SEQ ID NO: 23) as an antibody L chain was constructed by a method known to those skilled in the art.", "In addition, the genes described below were constructed as an antibody H chain constant region by a method known to those skilled in the art.", "LALA-G1d (SEQ ID NO: 24), which was constructed from IgG1 by substituting Ala for Leu at positions 234 and 235 (EU numbering), and Ala for Asn at position 297 (EU numbering), and deleting the C-terminal Gly and Lys LALA-G1d-CD3 (SEQ ID NO: 25), which was constructed from LALA-Gld by linking an anti-CD3 scFv (in which the anti-human CD3 antibody H chain variable region is linked via a peptide linker to the C terminus of the anti-human CD3 antibody L chain variable region) LALA-G3S3E-G1d (SEQ ID NO: 26), which was constructed from LALA-Gld by substituting Arg for His at position 435 (EU numbering) and Glu for Lys at position 439 (EU numbering); and LALA-S3K-G1d-CD3 (SEQ ID NO: 27), which was constructed from LALA-G1d-CD3 by substituting Lys for Asp at position 356 (EU numbering).", "Anti-human GPC3 antibody H chain genes NTA1L and NTA1R were constructed by linking respectively LALA-G1d-CD3 (in which an anti-CD3 scFv antibody is linked to the H chain constant region) and LALA-Gld (an H chain constant region) downstream of GPC3, which is the H chain variable region of an anti-human Glypican-3 antibody.", "Furthermore, anti-human GPC3 antibody H chain genes NTA2L and NTA2R were constructed by linking an anti-CD3 scFv antibody downstream of GPC3 as an H chain constant region, and linking LALA-S3K-G1d-CD3 introduced with a substitution mutation of Lys for Asp at position 356 (EU numbering) or LALA-G3S3E-G1d introduced with substitution mutations of Arg for His at position 435 (EU numbering) and of Glu for Lys at position 439 (EU numbering).", "The constructed genes were listed below.", "H chain NTA1L: GPC3-LALA-G1d-CD3 NTA1R: GPC3-LALA-G1d NTA2L: GPC3-LALA-S3K-G1d-CD3 NTA2R: GPC3-LALA-G3S3E-G1d L chain GC33-k0 Each of the antibody genes (H chains: NTA1L, NTA1R, NTA2L, and NTA2R; L chain: GC33-k0) was inserted into an animal cell expression vector.", "Using a method known to those skilled in the art, the antibodies listed below were expressed transiently in FreeStyle293 cells (Invitrogen) by transfecting the cells with the constructed expression vectors.", "As shown below, antibodies were named using the combinations of transfected antibody genes (first H chain/second H chain/L chain).", "NTA1L/NTA1R/GC33-k0 NTA2L/NTA2R/GC33-k0 Protein Purification of the Expressed Samples and Assessment of Heterodimer Yield Culture supernatants of FreeStyle293 cells (CM) containing the following antibodies were used as a sample.", "NTA1L/NTA1R/GC33-k0 NTA2L/NTA2R/GC33-k0 The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto an rProtein A Sepharose Fast Flow column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elution 1 as shown in Table 10.The volume of CM to be loaded onto the column was adjusted to 20 mg antibody/ml resin.", "Respective fractions eluted under each condition were collected and analyzed by size exclusion chromatography to identify their components.", "TABLE 10 Equilibration D-PBS Wash 1 1 mM sodium acetate, 150 mM NaCl, pH 6.5 Wash 2 0.3 mM HCl, 150 mM NaCl, pH 3.7 Elution 1 2 mM HCl, pH 2.7 The result of size exclusion chromatography of each eluted fraction is shown in FIG.", "5 and Table 11 below.", "The values represent the area of elution peak expressed in percentage.", "For NTA1L/NTA1R/GC33-k0 and NTA2L/NTA2R/GC33-k0, the homomeric antibodies (antibodies with homomeric NTA1L or homomeric NTA2L) that have the anti-CD3 scFv antibody in both chains were almost undetectable.", "This is thought to be caused by the extremely low expression level of the H chains containing the anti-CD3 scFv antibody because the expression level of an scFv molecule is generally low.", "As for homomeric antibodies that do not contain the anti-CD3 scFv antibody in its two chains, about 76% of the NTA1R homomeric antibody was observed in the case of NTA1L/NTA1R/GC33-k0, while only about 2% of the homomeric NTA2R antibody was observed in the case of NTA2L/NTA2R/GC33-k0.Thus, the present invention demonstrated that when the substitution mutations of Lys for Glu at position 356 (EU numbering) and of Glu for Lys at position 439 (EU numbering) for efficient formation of heteromeric molecules from the respective H chains, was combined with the substitution mutation of Arg for His at position 435 (EU numbering), the heteromeric antibody (bispecific antibody of interest) could be efficiently purified to a purity of 98% or higher through the protein A-based purification step alone.", "TABLE 11 NTA1R NTA1L/NTA1R NTA1R homodimer heterodimer homodimer NTA1L/NTA1R/GC33-k0 0.7 23.5 75.8 NTA2L/NTA2R/GC33-k0 1.8 98.2 — [Example 9] Introduction of Mutations into the CH3 Domain of Monovalent Antibodies and Preparation of Designed Molecules Through the Protein A-Based Purification Step Alone Introduction of Mutations for the Purification of Monovalent Antibody Molecules Using Protein A An ordinary anti-GPC3 IgG antibody binds divalently via the two H chains to glypican-3 (GPC3), a cancer-specific antigen.", "In the experiment described in this Example, the inventors designed and assessed an anti-GPC3 IgG antibody molecule (FIG.", "6) that monovalently binds to glypican-3.It is thought that when compared to ordinary divalent antibodies, the monovalent binding of the molecule to glypican-3 (GPC3), a cancer-specific antigen, was based on affinity and not avidity.", "Thus, it was expected that the molecule was capable of binding to the antigen without crosslinking.", "To achieve the monovalent binding of the two H chains to glypican-3 (GPC3), one has to be an H chain consisting of a hinge-Fc domain that lacks the variable region and CH1 domain, while the other is an ordinary H chain.", "In this case, it is necessary to purify the molecule that results from heteromeric association of the two types of H chains.", "Thus, using the same method as described in Example 3, a substitution mutation of Arg for His at position 435 (EU numbering) was introduced into one of the H chains.", "Furthermore, the above mutation was combined with the mutations (a substitution of Lys for Asp at position 356, EU numbering, is introduced into one H chain and a substitution of Glu for Lys at position 439, EU numbering, is introduced into the other H chain) described in WO 2006/106905 (PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY) as a modification to enhance the heteromeric association of the two H chains.", "The present inventors assessed whether it was possible with the combined mutations to purify the molecule of interest by protein A chromatography alone.", "Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The antibody H chain variable region used was: GPC3 (the H chain variable region of an anti-human Glypican-3 antibody, SEQ ID NO: 22).", "The antibody L chain used was: GC33-k0 (the L chain of an anti-human Glypican-3 antibody, SEQ ID NO: 23).", "The antibody H chain constant regions used were: LALA-Gld (SEQ ID NO: 24), which was constructed from IgG1 by introducing substitution mutations of Ala for Leu at positions 234 and 235 (EU numbering), and of Ala for Asn at position 297 (EU numbering), and deleting the C-terminal Gly and Lys; LALA-G3-G1d (SEQ ID NO: 28), which was constructed from LALA-Gld by introducing a substitution mutation of Arg for His at position 435 (EU numbering); LALA-G3S3E-G1d (SEQ ID NO: 26), which was constructed from LALA-G3-G1d by introducing a substitution mutation of Glu for Lys at position 439 (EU numbering); LALA-G1Fc (SEQ ID NO: 29), which was constructed from LALA-Gld by deleting the region of positions 1 to 215 (EU numbering); and LALA-G1Fc-S3K (SEQ ID NO: 30), which was constructed from G1Fc by introducing a substitution mutation of Lys for Asp at position 356 (EU numbering).", "Anti-human GPC3 antibody H chain genes NTA4L-cont, NTL4L-G3, and NTA4L were constructed by linking downstream of GPC3 (the H chain variable region of an anti-human Glypican-3 antibody), respectively, LALA-Gld (an H chain constant region), LALA-G3-Gld introduced with a substitution mutation of Arg for His at position 435 (EU numbering), and LALA-G3S3E-Gld introduced with substitution mutations of Arg for His at position 435 (EU numbering) and of Glu for Lys at position 439 (EU numbering).", "Furthermore, Fc genes NTA4R-cont and NTA4R were constructed by using LALA-G1Fc (an anti-human hinge Fc domain) and LALA-G1Fc-S3K (a hinge Fc domain introduced with a substitution mutation of Lys for Asp at position 356, EU numbering).", "The constructed genes are: H chain NTA4L-cont: GPC3-LALA-G1 d NTA4L-G3: GPC3-LALA-G3-Gld NTA4L: GPC3-LALA-G3S3E-Gld NTA4R-cont: LALA-G1Fc NTA4R: LALA-G1Fc-S3K L chain GC33-k0 The antibody genes (NTA4L, NTA4L-cont, NTA4L-G3, NTA4R, NTA4R-cont, and GC33-k0) were each inserted into an animal cell expression vector.", "The following antibodies were expressed transiently in FreeStyle293 cells (Invitrogen) by transfection using the constructed expression vectors.", "As shown below, antibodies were named using the combinations of transfected antibody genes.", "NTA4L-cont/NTA4R-cont/GC33-k0 NTA4L-G3/NTA4R-cont/GC33-k0 NTA4L/NTA4R/GC33-k0 Protein Purification of Expressed Samples and Assessment of Heterodimer Yield CM containing the following antibody was used as a sample: NTA4L-cont/NTA4R-cont/GC33-k0 NTA4L-G3/NTA4R-cont/GC33-k0 NTA4L/NTA4R/GC33-k0 The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto an rProtein A Sepharose Fast Flow column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elution 1 as shown in Table 12.The volume of CM to be loaded onto the column was adjusted to 20 mg antibody/ml resin.", "Respective fractions eluted under each condition were collected and analyzed by size exclusion chromatography to identify their components.", "TABLE 12 Equilibration D-PBS Wash 1 1 mM sodium acetate, 150 mM NaCl, pH 6.5 Wash 2 0.3 mM HCl, 150 mM NaCl, pH 3.7 Elution 1 2 mM HCl, pH 2.7 The result of size exclusion chromatography analysis of each eluted fraction is shown in FIG.", "7 and Table 13 below.", "The values represent the area of elution peak expressed in percentage.", "As for NTA4L-cont/NTA4R-cont/GC33-k0, the homomeric antibody that divalently binds to GPC3 (homomeric antibody NTA4L-cont) and the homomeric molecule that has no GPC3-binding domain (homomeric antibody NTA4R-cont) were eluted, while the heteromeric antibody of interest, NTA4L-cont/NTA4R-cont, accounted for only 46.5%.", "In the case of NTA4L-G3/NTA4R-cont/GC33-k0, the homomeric antibody that divalently binds to GPC3 (homomeric antibody NTA4L-G3) was almost undetectable, while the homomeric molecule having no GPC3-binding domain (homomeric antibody NTA4R-cont) was abundant.", "The heteromeric antibody of interest, NTA4L-G3/NTA4R-cont, accounted for 66.7%.", "In the case of NTA4L/NTA4R/GC33-k0, the homomeric antibody that divalently binds to GPC3 (homomeric antibody NTA4L) was almost undetectable, and the proportion of the homomeric molecule having no GPC3-binding domain (NTA4R) was considerably reduced, resulting in a significant increase of up to 93.0% in the proportion of the heteromeric antibody of interest, NTA4L/NTA4R.", "Thus, the present invention demonstrated that when the substitution mutations of Lys for Asp at position 356 (EU numbering) and of Glu for Lys at position 439 (EU numbering) for efficient formation of heteromeric molecules from the respective H chains were introduced in combination with the substitution mutation of Arg for His at position 435 (EU numbering), the heteromeric antibody (a bispecific antibody of interest) could be efficiently purified to a purity of 93% or higher through the protein A-based purification step alone.", "TABLE 13 Homomeric Hetero- Homomeric anti-GPC3 meric Fc antibody antibody molecule NTA4L-cont/NTA4R-cont/GC33-k0 30.0 46.5 23.5 NTA4L-63/NTA4R-cont/GC33-k0 — 66.7 33.3 NTA4L/NTA4R/GC33-k0 — 93.0 7.0 [Example 10] Preparation of Heteromeric Antibodies Through a Purification Step by Protein A Column Chromatography Using pH Gradient Elution As described in Example 9, the present inventors demonstrated that in the case of an antibody having the variable region only at one arm, the heteromeric antibody could be efficiently purified through the protein A-based purification step alone by combining the substitution mutation of Arg for His at position 435 (EU numbering) with the mutations (a substitution of Lys for Asp at position 356, EU numbering, is introduced into one H chain and a substitution of Glu for Lys at position 439, EU numbering, is introduced into the other H chain) described in WO 2006/106905 (PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY).", "However, the heteromeric antibody is not purified to a sufficiently high purity with elution 1 (elution buffer: 2 mM HCl, pH 2.7) alone.", "An additional purification step is needed.", "Then, in this Example, the present inventors assessed whether the heteromeric antibody can be isolated and purified to high purity by protein A column chromatography using elution with a pH gradient.", "This was based on the assumption that more protein A-binding sites lead to stronger binding of the heteromeric antibody to protein A, and as a result lower pH is required for elution.", "Purification can be achieved more efficiently at a lower cost when the purity of the heteromeric antibody can be increased to almost 100% by using such pH gradient elution.", "CM samples containing the following antibodies were used: NTA4L-cont/NTA4R-cont/GC33-k0 NTA4L-G3/NTA4R-cont/GC33-k0 NTA4L/NTA4R/GC33-k0 The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto a HiTrap protein A HP column (GE Healthcare) equilibrated with D-PBS.", "The column was sequentially subjected to washes 1 and 2, and then elution with a pH gradient using elution A and B as shown in Table 14.The pH gradient elution was achieved with the following linear gradient: elution A/elution B=(100:0)→(30:70) for 35 minutes.", "Eluted fractions were collected and analyzed by size exclusion chromatography analysis to identify their components.", "TABLE 14 Equilibration D-PBS Wash 1 D-PBS Wash 2 20 mM NaCitrate, pH 5.0 Elution A 20 mM NaCitrate, pH 5.0 Elution B 20 mM NaCitrate, pH 2.7 NTA4L-cont/NTA4R-cont/GC33-k0, NTA4L-G3/NTA4R-cont/GC33-k0, and NTA4L/NTA4R/GC33-k0 were purified by protein A column chromatography under the pH gradient elution condition.", "The resulting chromatograms are shown in FIG.", "8.The elution of NTA4L-cont/NTA4R-cont/GC33-k0 resulted in a broad peak.", "Meanwhile, the pH gradient elution of NTA4L-G3/NTA4R-cont/GC33-k0 gave two elution peaks.", "The peaks of high and low pHs were labeled as “elution 1” and “elution 2”, respectively.", "The result for NTA4L/NTA4R/GC33-k0 was roughly the same as that for NTA4L-G3/NTA4R-cont/GC33-k0, except that the peak area of elution 2 was smaller.", "The result of size exclusion chromatography analysis of each peak is shown in Table 15.NTA4L-cont/NTA4R-cont/GC33-k0 gave three components eluted in this order: a homomeric antibody that divalently binds to GPC3 (homomeric antibody NTA4L-cont), a heteromeric antibody that monovalently binds to GPC3 (heteromeric antibody NTA4L-cont/NTA4R-conc), and a homomeric molecule having no GPC3-binding domain (homomeric antibody NTA4R-cont).", "It is thought that the reason why these components were not separated by pH gradient elution is that they have the same number (two) of protein A-binding sites.", "Meanwhile, it was revealed that in elution 1 of NTA4L-G3/NTA4R-cont/GC33-k0, the levels of homomeric antibody that divalently binds to GPC3 (homomeric antibody NTA4L-G3) and homomeric molecule having no GPC3-binding domain (homomeric antibody NTA4R-cont) were below the detection limit, while the heteromeric antibody that monovalently binds to GPC3 (NTA4L-G3/NTA4R-conc heteromeric antibody) accounted for 99.6%.", "In elution 2, the homomeric molecule having no GPC3-binding domain (homomeric antibody NTA4R-cont) was found to account for 98.8%.", "The homomeric antibody NTA4L-G3 passes through the protein A column because it cannot bind to protein A due to the substitution mutation of Arg for His at position 435 (EU numbering).", "Meanwhile, the heteromeric antibody NTA4L-G3/NTA4R-conc has a single protein A-binding site, while the homomeric antibody NTA4R-cont has two.", "More protein A-binding sites means stronger protein A binding, and as a result lower pH was required for elution.", "This is thought to be the reason why homomeric antibody NTA4R-cont was eluted at a lower pH than heteromeric antibody NTA4L-G3/NTA4R-conc.", "Almost the same result was obtained for NTA4L/NTA4R/GC33-k0.The result of size exclusion chromatography analysis shows that the component ratio was comparable to that of NTA4L-G3/NTA4R-cont/GC33-k0.There was a difference between the protein A chromatograms, and the peak area ratio of elution 2 to elution 1 was smaller in NTA4L/NTA4R/GC33-k0.The expression ratio of the homomeric antibody NTA4R-cont, which is the major component of elution 2, was reduced due to the mutations introduced for efficient generation of the heteromeric antibody NTA4L-G3/NTA4R-conc.", "The amino acid mutations described above improved the purification yield of the heteromeric antibody and the robustness of purification by protein A column chromatography with pH gradient elution.", "As described above, the present inventors demonstrated that the heteromeric antibody could be efficiently isolated and purified to high purity through the purification step using protein A column chromatography alone with pH gradient elution.", "TABLE 15 Homomeric Homomeric antibody Heteromeric molecule that antibody that having no divalently monovalently GPC3- binds to binds to binding Peak area (%) GPC3 GPC3 domain NTA4L-cont/NTA4R-cont/GC33-k0 Elution 25.4 54.4 20.2 NTA4L-G3/NTA4R-cont/GC33-k0 Elution 1 ND 99.6 ND Elution 2 — 1.2 98.8 NTA4L/NTA4R/GC33-k0 Elution 1 ND 99.6 ND Elution 2 — 1.4 98.6 [Example 11] Introduction of Mutation into the CH3 Domain of Monovalent Fcalpha Receptor-Fc Fusion Protein and Preparation of Designed Molecules Through the Protein A-Based Purification Step Alone Introduction of Mutation into CH3 Domain and Preparation of Monovalent Fcalpha Receptor-Fc Fusion Protein Through the Protein A-Based Purification Step Conventional Fc receptor-Fc fusion proteins such as Eternercept and Abatacept are homodimers that can divalently bind to ligands.", "In the experiment described in this Example, the inventors designed and assessed an Fc receptor-Fc fusion protein that monovalently binds to IgA as a ligand (FIG.", "9).", "To achieve the monovalent binding of the Fcalpha receptor to IgA, one of the two Fc receptor-Fc fusion protein H chains must be the whole H chain having the hinge-Fc domain.", "In this case, it is necessary to purify the molecule that results from heteromeric association of the two types of H chains Thus, using the same method described in Example 6, a substitution mutation of Arg for His at position 435 (EU numbering) was introduced into one of the two H chains.", "Furthermore, the above mutation was combined with the mutations (a substitution of Lys for Asp at position 356, EU numbering, is introduced into one H chain and a substitution of Glu for Lys at position 439, EU numbering is introduced into the other H chain) described in WO 2006/106905 (PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY) as a modification to enhance the heteromeric association of the two types of H chains.", "The present inventors assessed whether it was possible with the combined mutations to purify the molecule of interest by protein A chromatography alone.", "Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The Fc receptor used was FcalphaR (human IgA1 receptor, SEQ ID NO: 31).", "The fusion H chain constant regions used were: G1Fc (SEQ ID NO: 32), which is a human hinge-Fc domain constructed from IgG1 by deleting the C-terminal Gly and Lys, and residues of positions 1 to 223 (EU numbering); G1Fc-G3S3K (SEQ ID NO: 33), which was constructed from G1Fc by introducing substitution mutations of Lys for Asp at position 356 (EU numbering) and of Arg for His at position 435 (EU numbering); and G1Fc-S3E (SEQ ID NO: 34), which was constructed from G1Fc by introducing a substitution mutation of Glu for Lys at position 439 (EU numbering).", "FcalphaR-Fc fusion proteins IAL-cont and IAL were constructed by linking downstream of FcalphaR via a polypeptide linker (SEQ ID NO: 35), G1Fc (an H chain constant region) and G1Fc-G3S3K introduced with substitution mutations of Lys for Asp at position 356 (EU numbering) and of Arg for His at position 435 (EU numbering).", "Furthermore, Fc genes IAR-cont and IAR were constructed to encode G1Fc (a human hinge-Fc domain) and G1Fc-S3E (a hinge Fc domain introduced with a substitution mutation of Glu for Lys at position 439, EU numbering), respectively.", "The constructed genes were: H chain IAL-cont: FcalphaR-G1Fc IAL: FcalphaR-G1Fc-G3S3K IAR-cont: G1Fc IAR: G1Fc-S3E The antibody genes (IAL-cont, IAL, IAR-cont, and IAR) were each inserted into an animal cell expression vector.", "The following antibodies were expressed transiently in FreeStyle293 cells (Invitrogen) by transfection using the constructed expression vectors.", "As shown below, antibodies were named using the combinations of transfected antibody genes.", "IAL-cont/IAR-cont IAL/IAR Protein Purification of Expressed Sample and Assessment of Heterodimer Yield CM samples containing the following antibody were used: IAL-cont/IAR-cont IAL/IAR The CM samples were filtered through a filter with a pore size of 0.22 μm, and loaded onto an rProtein A Sepharose Fast Flow column (GE Healthcare) equilibrated with D-PBS.", "The column was subjected to washes 1 and 2 and elution 1 as shown in Table 16.The volume of CM to be loaded onto the column was adjusted to 20 mg antibody/ml resin.", "Respective fractions eluted under each condition were collected and analyzed by size exclusion chromatography to identify their components.", "TABLE 16 Equilibration D-PBS Wash 1 1 mM sodium acetate, 150 mM NaCl, pH 6.5 Wash 2 0.3 mM HCl, 150 mM NaCl, pH 3.7 Elution 1 2 mM HCl, pH 2.7 The result of size exclusion chromatography analysis of each eluted fraction is shown in FIG.", "10 and Table 17 below.", "The values represent the area of elution peak expressed in percentage.", "As for IAL-cont/IAR-cont, a homomeric antibody that divalently binds to IgA (homomeric antibody IAL-cont) and a homomeric molecule having no IgA-binding site (homomeric antibody IAR-cont) were eluted, while the heteromeric antibody IAL-cont/IAR-cont of interest accounted for only 30%.", "In the case of IAL/IAR, the homomeric antibody that divalently binds to IgA (homomeric antibody IAL) was not detectable, and the proportion of the homomeric molecule having no IgA-binding site (homomeric antibody IAR) was considerable reduced; thus, the heteromeric antibody IAL/IAR of interest was significantly increased up to about 96%.", "Thus, the present invention demonstrated that when the substitution mutations of Lys for Asp at position 356 (EU numbering) and of Glu for Lys at position 439 (EU numbering) for efficient formation of heteromeric molecules from the respective H chains were introduced in combination with the substitution mutation of Arg for His at position 435 (EU numbering), the heteromeric antibody, a bispecific antibody of interest, could be efficiently purified to a purity of 95% or higher through the protein A-based purification step alone.", "TABLE 17 Homomeric Heteromeric Homomeric IgA antibody antibody Fc molecule IAL-cont/IAR-cont 66.2% 30.0% 3.8% IAL/IAR — 95.8% 4.2% [Example 12] Construction of a Bispecific Antibody of the Four-Chain IgG Type Construction of Expression Vectors for Antibody Genes and Expression of Respective Antibodies The bispecific antibody against human F.IX and human F.X, which was designed as described in Example 1, consists of a common L chain and two types of H chains that each recognizes a different antigen.", "Obtaining a bispecific antibody with such a common L chain is not easy, because it is difficult for a common L chain sequence to recognize two different types of antigens.", "As described above, obtaining such a common L chain is extremely difficult.", "Thus, one may suspect that a more preferred option is a bispecific antibody consisting of two types of H chains and two types of L chains that recognize two types of antigens.", "If two types of H chains and two types of L chains are expressed, they form ten types of H2L2 IgG molecules in random combinations.", "It is very difficult to purify the bispecific antibody of interest from the ten types of antibodies.", "In the experiment described in this Example, the present inventors prepared and assessed bispecific antibodies consisting of two types of H chains and two types of L chains against human IL-6 receptor and human glypican-3 (GPC3).", "To efficiently prepare bispecific antibodies consisting of two types of H chains and two types of L chains, it is necessary to enhance the association of H chains and L chains against the same antigen as well as the heteromeric association of two types of H chains.", "In addition, it is essential that the bispecific antibody with the right combination can be purified from the obtained expression products.", "To enhance the association between H chains and L chains against the same antigen, the variable region (VH) of H chain (GC33-VH-CH1-hinge-CH2-CH3) and the variable region (VL) of L chain (GC33-VL-CL) of GC33 (an anti-GPC3 antibody) were swapped with each other to produce H chain GC33-VL-CH1-hinge-CH2-CH3 and L chain (GC33-VH-CL) (the VH domain and VL domain were exchanged with each other).", "GC33-VL-CH1-hinge-CH2-CH3 is associated with GC33-VH-CL; however, its association with the L chain (MRA-VL-CL) of the anti-IL-6 receptor antibody is inhibited due to the instability of VL/VL interaction.", "Likewise, the H chain (MRA-VH-CH1-hinge-CH2-CH3) of the anti-IL-6 receptor antibody is associated with MRA-VL-CL; however, its association with the L chain (GC33-VH-CL) of the anti-GPC3 antibody is inhibited due to the instability of VH/VH interaction.", "As described above, it is possible to enhance the association between H chains and L chains against the same antigen.", "However, the VH/VH interaction and VL/VL interaction also occur although they are less stable than the VH/VL interaction (for VH/VH, see: FEBS Lett.", "2003 Nov. 20, 554(3):323-9; J Mol Biol.", "2003 Oct. 17, 333(2):355-65; for VL/VL, see: J Struct Biol.", "2002 June, 138(3):171-86; Proc Natl Acad Sci USA.", "1985 July, 82(14):4592-6), and thus although infrequently, unfavorable self association of H chains and L chains also occurs.", "Hence, although the percentage of the bispecific antibody of interest is increased by simply swapping the VH domain and VL domain with each other, the expressed products still contain about ten types of combinations.", "In general, it is extremely difficult to purify the bispecific antibody of interest from the ten types.", "However, it is possible to improve the separation of the ten types of components in ion exchange chromatography by introducing a modification so that the ten types of components each have a different isoelectric point.", "In this context, MRA-VH, which is the H chain variable region of an anti-IL-6 receptor antibody, was modified to lower the isoelectric point, and this yielded H54-VH with a lower isoelectric point.", "In the same manner, MRA-VL, which is the L chain variable region of an anti-IL-6 receptor antibody, was modified to lower the isoelectric point, and this yielded L28-VL with a lower isoelectric point.", "Furthermore, GC33-VH, which is the H chain variable region of an anti-GPC3 antibody, was modified to increase the isoelectric point.", "This yielded Hu22-VH with an increased isoelectric point.", "The combination of the H and L chains of interest was improved by swapping the VH and VL between the H chains and L chains of an anti-GPC3 antibody.", "However, although infrequently, the unfavorable H chain/L chain association occurs because it is impossible to completely suppress the H54-VH/Hu22-VH interaction and L28-VL/GC33-VL interaction.", "An ordinary antibody sequence has glutamine at position 39 in VH.", "In VH/VH interaction, glutamines are believed to form hydrogen bonds at the VH/VH interface.", "Then, lysine was substituted for the glutamine at position 39 (Kabat numbering) to impair the H54-VH/Hu22-VH interaction.", "The VH/VH interaction was thus expected to be significantly impaired due to the electrostatic repulsion between two lysines at the VH/VH interface.", "Next, H54-VH-Q39K and Hu22-VH-Q39K were constructed by substituting lysine for the glutamine at position 39 (Kabat numbering) in the sequences of H54-VH and Hu22-VH.", "Likewise, an ordinary antibody sequence has glutamine at position 38 in VL.", "In the VL/VL interaction, glutamines are expected to form hydrogen bonds at the VL/VL interface.", "Then, glutamic acid was substituted for the glutamine at position 38 (Kabat numbering) to impair the L28-VL/GC33-VL interaction.", "The VL/VL interaction was thus expected to be significantly impaired due to the electrostatic repulsion between two glutamic acids at the VL/VL interface.", "Next, L28-VL-Q38E and GC33-VL-Q38E were constructed by substituting glutamic acid for the glutamine at position 39 (Kabat numbering) in the sequences of L28-VL and GC33-VL.", "To further improve the efficiency of expression/purification of the bispecific antibody of interest, a substitution mutation of Arg for His at position 435 (EU numbering) was introduced into one H chain using the same method described in Example 3.Furthermore, the above mutation was combined with the mutations (a substitution of Lys for Asp at position 356, EU numbering, is introduced into one H chain and a substitution of Glu for Lys at position 439, EU numbering, is introduced into the other H chain) described in WO 2006/106905 (PROCESS FOR PRODUCTION OF POLYPEPTIDE BY REGULATION OF ASSEMBLY) as a modification to enhance the heteromeric association of the two types of H chains.", "The combined mutations enable purification of the molecule resulting from heteromeric association of the two types of H chains by protein A chromatography alone.", "Specifically, the antibody H chain variable regions used were: MRA-VH (the H chain variable region of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 36); GC33-VH (the H chain variable region of an anti-GPC3 antibody, SEQ ID NO: 37); H54-VH (the H chain variable region of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 38) with an isoelectric point lower than that of MRA-VH; Hu22-VH (the H chain variable region of an anti-GPC3 antibody, SEQ ID NO: 39) with an isoelectric point higher than that of GC33-VH; H54-VH-Q39K (SEQ ID NO: 40) where Lys is substituted for Gln at position 39 (Kabat numbering) in the sequence of H54-VH; and Hu22-VH-Q39K (SEQ ID NO: 41) where Lys is substituted for Gln at position 39 in the sequence of Hu22-VH.", "The following antibody H chain constant regions were also used: IgG1-LALA-N297A-CH (SEQ ID NO: 42) where Ala is substituted for Leu at positions 234 and 235 (EU numbering), and Ala is substituted for Asn at position 297 (EU numbering), and the C-terminal Gly and Lys is deleted in the sequence of the H chain constant region of IgG1; IgG1-LALA-N297A-CHr (SEQ ID NO: 43) where the sequence of IgG1-LALA-N297A-CH has extra two residues of Ser at the N terminus; IgG1-LALA-N297A-s3-CH (SEQ ID NO: 44) where Glu is substituted for Lys at position 439 (EU numbering) in the sequence of IgG1-LALA-N297A-CH; and IgG1-LALA-N297A-G3s3-CHr (SEQ ID NO: 45) where Lys is substituted for Asp at position 356 (EU numbering) and Arg is substituted for His at position 435 (EU numbering) in the sequence of IgG1-LALA-N297A-CHr.", "Meanwhile, the antibody L chain variable regions used were: MRA-VL (the L chain variable region of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 46); GC33-VL (the L chain variable region of an anti-GPC3 antibody, SEQ ID NO: 47); L28-VL (the L chain variable region of an anti-human interleukin-6 receptor antibody, SEQ ID NO: 48) with an isoelectric point lower than that of MRA-VL; L28-VL-Q38E (SEQ ID NO: 49) where Glu is substituted for Gln at position 38 (Kabat numbering) in the sequence of L28-VL; and GC33-VL-Q38E (SEQ ID NO: 50) where Glu is substituted for Gln at position 38 (Kabat numbering) in the sequence of GC33-VL.", "The following antibody L chain constant regions were also used.", "IgG1-CL (the L chain constant region of IgG1, SEQ ID NO: 51).", "IgG1-CLr (SEQ ID NO: 52), which was constructed by substituting Arg and Thr for the C-terminal Ala and Ser, respectively, in the sequence of IgG1-CL.", "Gene no1-Mh-H was constructed by linking IgG1-LALA-N297A-CH downstream of MRA-VH.", "Gene no1-Mh-L was constructed by linking IgG1-CL downstream of MRA-VL.", "Gene no1-Gh-H was constructed by linking IgG1-LALA-N297A-CH downstream of GC33-VH.", "Gene no1-Gh-L was constructed by linking IgG1-CL downstream of GC33-VL.", "Gene no2-Gh-H was constructed by linking IgG1-LALA-N297A-CHr downstream of GC33-VL.", "Gene no2-Gh-L was constructed by linking IgG1-CLr downstream of GC33-VH.", "Gene no3-Ml-H was constructed by linking IgG1-LALA-N297A-CH downstream of H54-VH.", "Gene no3-Ml-L was constructed by linking IgG1-CL downstream of L28-VL.", "Gene no3-Ghh-L was constructed by linking IgG1-CLr downstream of Hu22-VH.", "Gene no5-Ml-H was constructed by linking IgG1-LALA-N297A-s3-CH downstream of H54-VH.", "Gene no5-Gh-H was constructed by linking IgG1-LALA-N297A-G3s3-CHr downstream of GC33-VL.", "Gene no6-Ml-H was constructed by linking IgG1-LALA-N297A-s3-CH downstream of H54-VH-Q39K.", "Gene no6-Ml-L was constructed by linking IgG1-CL downstream of L28-VL-Q38E.", "Gene no6-Gh-H was constructed by linking IgG1-LALA-N297A-G3s3-CHr downstream of GC33-VL-Q38E.", "Gene no6-Ghh-L was constructed by linking IgG1-CLr downstream of Hu22-VH-Q39K.", "Respective genes (no1-Mh-H, no1-Mh-L, no1-Gh-H, no1-Gh-L, no2-Gh-H, no2-Gh-L, no3-Ml-H, no3-Ml-L, no3-Ghh-L, no5-Ml-H, no5-Gh-H, no6-Ml-H, no6-Ml-L, no6-Gh-H, and no6-Ghh-L) were inserted into animal cell expression vectors.", "The following combinations of expression vectors were introduced into FreeStyle293-F cells to transiently express each designed molecule.", "A.", "Designed Molecule: No1 (FIG.", "11) Description: natural anti-IL-6 receptor/anti-GPC3 bispecific antibody.", "Polypeptides encoded by polynucleotides inserted into the expression vector: no1-Mh-H (SEQ ID NO: 53), no1-Mh-L (SEQ ID NO: 54), no1-Gh-H (SEQ ID NO: 55), and no1-Gh-L (SEQ ID NO: 56).", "B.", "Designed Molecule: No2 (FIG.", "12) Description: constructed from no1 by swapping the VH and VL domains of the anti-GPC3 antibody.", "Polypeptides encoded by polynucleotides inserted into the expression vector: no1-Mh-H, no1-Mh-L, no2-Gh-H (SEQ ID NO: 57), and no2-Gh-L (SEQ ID NO: 58).", "C. Designed Molecule: No3 (FIG.", "13) Description: constructed from no2 by introducing modifications to each chain to alter its isoelectric point.", "Polypeptides encoded by polynucleotides inserted into the expression vector: no3-Ml-H (SEQ ID NO: 59), no3-Ml-L (SEQ ID NO: 60), and no2-Gh-H, and no3-Ghh-L (SEQ ID NO: 61).", "D. Designed Molecule: No5 (FIG.", "14) Description: constructed from no3 by introducing a modification to enhance heteromeric H chain association and a modification that enables protein A-based purification of antibody generated via heteromeric association.", "Polypeptides encoded by polynucleotides inserted into the expression vector: no5-Ml-H (SEQ ID NO: 62), no3-Ml-L, no5-Gh-H (SEQ ID NO: 63), and no3-Ghh-L. E. Designed Molecule: No6 (FIG.", "15) Description: constructed from no5 by introducing a modification to enhance the association between an H chain of interest and an L chain of interest.", "Polypeptides encoded by polynucleotides inserted into the expression vector: no6-Ml-H (SEQ ID NO: 64), no6-Ml-L (SEQ ID NO: 65), no6-Gh-H (SEQ ID NO: 66), and no6-Ghh-L (SEQ ID NO: 67).", "Culture supernatants filtered through a filter with a pore size of 0.22 μm were loaded onto rProtein A Sepharose Fast Flow resin (GE Healthcare) equilibrated with the medium.", "The resin was eluted in a batchwise manner to purify the molecules.", "Since protein G binds to the Fab domain of an antibody, all antibody species in CM can be purified with protein G regardless of the affinity for protein A.", "The designed antibodies (no1, no2, no3, no5, and no6) were assessed for their expression by cation exchange chromatography (IEC) using a ProPac WCX-10 column (Dionex), an analytical column.", "Cation exchange chromatography was performed at a flow rate of 0.5 ml/min with an adequate gradient using mobile phase A (20 mM MES-NaOH, pH 6.1) and mobile phase B (20 mM MES-NaOH, 250 mM NaCl, pH 6.1).", "The result of IEC assessment of each antibody is shown in FIG.", "16.Natural anti-IL-6 receptor/anti-GPC3 bispecific antibody no1 gave a number of peaks in close proximity to each other.", "It was impossible to determine which peak corresponds to the bispecific antibody of interest.", "The same applied to no2 which results from swapping the VH domain and VL domain of the anti-GPC3 antibody in no1.The peak for the bispecific antibody of interest could be isolated for the first time in no3 which was modified from no2 by introducing a modification to alter the isoelectric point of each chain of no2.The proportion of the peak corresponding to the bispecific antibody of interest was significantly increased in no5 which was constructed from no3 by introducing a modification to enhance the H-chain heteromeric association and a modification that allows for protein A-based purification of the antibody generated via heteromeric association.", "The proportion of the peak corresponding to the bispecific antibody of interest was further increased in no6 which was constructed from no5 by introducing a modification that enhances the association between the H chain and L chain of interest.", "Then, the present inventors assessed whether the bispecific antibody of interest could be purified from no6 CM to high purity using a purification column.", "CM samples were filtered through a filter with a pore size of 0.22 μm and loaded onto a HiTrap protein A HP column (GE Healthcare) equilibrated with D-PBS.", "The column was sequentially subjected to washes 1 and 2 and elution with a pH gradient using elution A and B as shown in Table 18.The pH gradient during elution was achieved with the following linear gradient: elution A/elution B=(100:0)->(35:65) for 40 minutes.", "TABLE 18 Equilibration D-PBS Wash 1 D-PBS Wash 2 20 mM NaCitrate, pH 5.0 Elution A 20 mM NaCitrate, pH 5.0 Elution B 20 mM NaCitrate, pH 2.7 The result of pH gradient elution of No6 is shown in FIG.", "17.The homomeric antibody having the H chain of the anti-GPC3 antibody which was incapable of binding to protein A passed through protein A; the first elution peak corresponded to the heteromeric antibody having the H chain of the anti-GPC3 antibody and the H chain of the anti-IL-6 receptor antibody; and the second elution peak corresponded to the homomeric antibody having the H chains of the anti-IL-6 receptor antibody.", "Thus, the present inventors demonstrated that by substituting Arg for His at position 435 (EU numbering), the heteromeric antibody having the H chain of the anti-GPC3 antibody and the H chain of the anti-IL-6 receptor antibody could be purified by the protein A-based purification step alone.", "The first elution fraction was loaded onto a HiTrap SP Sepharose HP column (GE Healthcare) equilibrated with 20 mM sodium acetate buffer (pH 5.5).", "After washing with the same buffer, the column was eluted with a NaCl concentration gradient of 0 to 500 mM.", "The resulting main peak was analyzed by cation exchange chromatography in the same manner as described above.", "The result is shown in FIG.", "18.The bispecific antibody of interest was demonstrated to be purified to a very high purity.", "INDUSTRIAL APPLICABILITY The present invention provides efficient methods based on alteration of the protein A-binding ability, for producing or purifying to a high purity polypeptide multimers (multispecific antibodies) having the activity of binding to two or more types of antigens through the protein A-based purification step alone.", "By using the methods of the present invention, polypeptide multimers of interest can be efficiently produced or purified to high purity without loss of other effects produced by amino acid mutations of interest.", "In particular, when the methods are combined with a method for controlling the association between two types of protein domains, polypeptide multimers of interest can be more efficiently produced or purified to a higher purity." ] ]
Patent_15875847
[ [ "KISSPEPTIN-54 DETECTION BY TANDEM MASS SPECTROMETRY", "Methods are described for measuring the amount of a kisspeptin-54-derived peptides in a sample.", "More specifically, mass spectrometric methods are described for detecting and quantifying a kisspeptin-54 derived peptides in a sample utilizing on-line extraction methods coupled with tandem mass spectrometric techniques." ], [ "1.A method for determining by mass spectrometry the amount in a sample of one or more kisspeptin-54-derived peptides, said method comprising: (a) subjecting the sample to acidification; (b) ionizing the enriched sample to produce one or more kisspeptin-54-derived peptide ions detectable by mass spectrometry; (c) determining the amount of one or more ions by mass spectrometry, wherein the amount of the ions is used to determine the amounts of the corresponding one or more kisspeptin-54-derived peptides in the sample.", "2.The method in claim 1, wherein one or more kisspeptin-54-derived peptides is selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "3.The method in claim 1, wherein the sample comprises two or more kisspeptin-54-derived peptides selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "4.The method of claim 1, wherein said one or more ions are selected from the group of ions with a charge consisting of 4+, 5+, 6+, and 7+ from each of said one or more kisspeptin-54-derived peptides.", "5.The method of claim 1, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54, and said ions are selected from the group of ions with m/z of 1172.4±0.5, 977.2±0.5, and 837.7±0.5.6.The method of claim 1, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54(R14P), and said ions are selected from the group of ions with m/z of 1450.5±0.5, 1160.6±0.5, and 967.3±0.5.7.The method of claim 1, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-53 and wherein said ions are selected from the group of ions with m/z of 1143.2±0.5, 952.7±0.5, and 816.9±0.5.8.The method of claim 1, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-52, and said ions are selected from the group of ions with m/z of 1112.0±0.5, and 926.6±0.5.9.The method of claim 1, wherein said mass spectrometry is tandem mass spectrometry, and said one or more ions are fragmented into kisspeptin-54-derived peptide fragment ions.", "10.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54, said ions comprise an ion with m/z of 977.2±0.5, and said fragment ions comprise one or more ions selected from the group consisting of ions with m/z of 1083.6±0.5 and 903.1±0.5.11.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54, said ions comprise an ion with m/z of 837.7±0.5, and said fragment ions comprise an ion with m/z of 902.8±0.5.12.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54(R14P), said ions comprise an ion with m/z of 1160.6±0.5, and said fragment ions comprise an ion with m/z of 1071.6±0.5.13.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-54(R14P), said ions comprise an ion with m/z of 967.3±0.5, and said fragment ions comprise an ion with m/z of 1071.6±0.5.14.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-53, said ions comprise an ion with m/z of 1143.2±0.5, and said fragment ions comprise an ion with m/z of 1053.9±0.5.15.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-53, said ions comprise an ion with m/z of 952.7±0.5, and said fragment ions comprise one or more ions selected from the group consisting of ions with m/z of 1054.1±0.5 and 878.5±0.5.16.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-53, said ions comprise an ion with m/z of 816.7±0.5, and said fragment ions comprise an ion with m/z of 878.3±0.5.17.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-52, said ions comprise an ion with m/z of 1112.0±0.5, and said fragment ions comprise one or more ions selected from the group consisting of ions with m/z of 1278.2±0.5 and 1022.9±0.5.18.The method of claim 9, wherein said one or more kisspeptin-54-derived peptides comprise kisspeptin-52, said ions comprise an ion with m/z of 926.8±0.5, and said fragment ions comprise one or more ions selected from the group consisting of ions with m/z of 1022.9±0.5.19.The method of claim 1, further comprising adding one or more isotopically labeled kisspeptin-54-derived peptide to the sample before the ionization step.", "20.The method of claim 1, wherein acidifying said body fluid sample comprises acidifying with aqueous formic acid.", "21.The method of claim 1, further comprising subjecting the sample to precipitation with methanol.", "22.The method of claim 1, further comprising purifying said sample with solid phase extraction (SPE) or high performance liquid chromatography (HPLC).", "23.The method of claim 1, wherein the sample comprises plasma or serum.", "24.The method of claim 1, further comprising determining the total amount of kisspeptin-54 in the sample before kisspeptin-54 is degraded in the sample by summing the determined amounts of kisspeptin-54-derived peptides in the sample." ], [ "<SOH> BACKGROUND OF THE INVENTION <EOH>The following description of the background of the invention is provided simply as an aid in understanding the invention and is not admitted to describe or constitute prior art to the invention.", "The kisspeptin-54 peptide is essential for sexual reproduction and it suppresses cancer metastasis.", "It is also known as metastin, kisspeptin 1-54, KP-54, and KiSS-1 (68-121).", "Kisspeptin-54 is the carboxamide fragment of residues 68-121 of the protein KISS-1, which in turn is encoded by the KiSS-1 gene.", "Kisspeptin-54 potently and selectively stimulates the G-protein-coupled receptor 54 (GPR54) to signal secretion of gonadotropin-releasing hormone (GnRH).", "Rhythmical secretions of GnRH initiate puberty, coordinate ovulation, and maintain overall reproductive function.", "Kisspeptin-54 is known to suppress the progression of melanomas and breast cancers.", "It is postulated that kisspeptin-54 suppresses metastasis by inhibiting chemotaxis and invasion of cancer cells.", "Human kisspeptin-54 (CAS No: [374683-24-6]) consists of 54 amino acids with a molecular weight of about 5857.5 g/mol and a monoisotopic mass of about 5854.0 g/mol.", "It is a peptide amide cleavage product containing the amino acid residues from 68 to 121 of the 138 amino acid KiSS-1 protein.", "Other bioactive peptides that result from cleavage of KiSS-1 are kisspeptin-14 (KP-14, 41-54), kisspeptin-13 (KP-13, residues 42-54), and kisspeptin-10 (KP-10; residues 45-54).", "Kisspeptin-54 has been identified using tandem mass spectrometry.", "See e.g., Kotani, M., et al., J. Biol.", "Chem.", "2001, 276(37), 34631-6 (isolated); Dhillo, W., et al., J. Clin Endocrinol.", "Metab.", "2005, 90(12), 6609-15 (synthetic).", "Kisspeptin-54 has been quantitated using immunoassays.", "See e.g., Jayasena et al., J. Endocrinol.", "Metab.", "2009, 94(11), 4315-23 (measured immunoreactivity of antibody with kisspeptin-54).", "Among the kisspeptin peptides, only kisspeptin-10 has been reported to be purified for and subjected to quantitative mass spectrometry.", "Chan et al., J. Clin.", "Endocrinol.", "Metab., 2011, 96(6), E908-15." ], [ "<SOH> SUMMARY OF THE INVENTION <EOH>In one aspect, the present invention provides methods of determining by mass spectrometry the amount in a sample of one or more kisspeptin-54-derived peptides selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "These methods include subjecting the sample to ionization under conditions suitable to produce one or more multiply charged kisspeptin-54-derived peptide ions detectable by mass spectrometry; determining by mass spectrometry the amount of one or more ions from each of the one or more kisspeptin-54-derived peptides; and using the amount of the determined ions to determine the amounts of the corresponding one or more kisspeptin-54-derived peptides in the sample.", "In one aspect, the methods further include, prior to ionization, enriching the concentration of the kisspeptin-54-derived peptides with an antibody specific for the N-terminal portion of kisspeptin-54.In addition or alternatively, the methods further includes adding an organic solvent, such as methanol, to the sample in an amount sufficient to precipitate one or more components from said sample, so as to enrich the concentration of the kisspeptin-54-derived peptides.", "In some embodiments, ions are determined from kisspeptin-54, kisspeptin-53, and kisspeptin-52.In some embodiments, ions are determined from kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "In some embodiments, kisspeptin-54-derived peptides from the sample are chemically modified prior to ionization.", "In some embodiments, at least one of the multiply charged ions generated from the kisspeptin-54-derived peptides is selected from the group of ions with a charge consisting of 4+, 5+, 6+, or 7+.", "In some embodiments, the determined kisspeptin-54-derived peptides are selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-54, multiply charged kisspeptin-54 ions may be selected from the group of ions with m/z of 1172.4±0.5, 977.2±0.5, and 837.7±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-54(R14P), multiply charged kisspeptin-54(R14P) ions may be selected from the group of ions with m/z of 1450.5±0.5, 1160.6±0.5, and 967.3±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-53, multiply charged kisspeptin-53 ions may be selected from the group of ions with m/z of 1143.2±0.5, 952.7±0.5, and 816.9±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-52, multiply charged kisspeptin-52 ions may be selected from the group of ions with m/z of 1112.0±0.5, and 926.6±0.5.In some embodiments, mass spectrometry is tandem mass spectrometry.", "Embodiments utilizing tandem mass spectrometry comprise fragmenting one or more of the multiply charged kisspeptin-54-derived peptide ions into kisspeptin-54-derived peptide fragment ions.", "In embodiments where kisspeptin-54 is subjected to tandem mass spectrometry, multiply charged kisspeptin-54 ions with m/z of 977.2±0.5 may be fragmented into one or more ions selected from the group consisting of ions with m/z of 1083.6±0.5 and 903.1±0.5; multiply charged kisspeptin-54 ions with m/z of 837.7±0.5 may be fragmented into an ion with m/z of 977.2±0.5.In embodiments where kisspeptin-54(R14P) is subjected to tandem mass spectrometry, multiply charged kisspeptin-54(R14P) ions with m/z of 1160.6±0.5 may be fragmented into an ion with m/z of 1071.6±0.5; multiply charged kisspeptin-54(R14P) ions with m/z of 967.3±0.5 may be fragmented into an ion with m/z of 1071.6±0.5.In embodiments where kisspeptin-53 is subjected to tandem mass spectrometry, multiply charged kisspeptin-53 ions with m/z of 1143.2±0.5 may be fragmented into an ion with m/z of 1053.9±0.5; multiply charged kisspeptin-53 ions with m/z of 952.7±0.5 may be fragmented into one or more ions selected from the group of ions with m/z of 1054.1±0.5 and 878.5±0.5; and multiply charged kisspeptin-53 ions with m/z of 816.7±0.5 may be fragmented into an ion with m/z of 878.3±0.5.In embodiments where kisspeptin-52 is subjected to tandem mass spectrometry, multiply charged kisspeptin-52 ions with m/z of 1112.0±0.5 may be fragmented into one or more ions selected from the group consisting of ions with m/z of 1278.2±0.5 and 1022.9; multiply charged kisspeptin-52 ions with m/z of 926.8±0.5 may be fragmented into an ion with m/z of 1022.9±0.5.In some embodiments, a sample is subjected to one or more processing steps prior to ionization.", "In some embodiments, the processing steps include one or more purification steps.", "In some embodiments, the processing steps include adding aqueous formic acid to the sample.", "In some embodiments, the processing steps include adding an organic solvent, such as methanol, to the sample in an amount sufficient to precipitate one or more components from said sample; centrifuging the organic solvent-sample mixture, wherein a portion of kisspeptin-54-derived peptides from the sample remain in the supernatant; and collecting the supernatant for further processing or ionization.", "In some embodiments, the processing steps include applying the sample to an anion or cation exchange column under conditions suitable to retain kisspeptin-54-derived peptides on the column; eluting kisspeptin-54-derived peptides from the column; and collecting the eluted kisspeptin-54-derived peptides for further processing or ionization.", "In some embodiments, the processing steps include immunopurification.", "In some related embodiments, immunopurification comprises capture and extraction of one or more of kisspeptin-54-derived peptides using anti-kisspeptin-54-derived peptides antibodies specific for the N-terminus of kisspeptin-54.In some embodiments, the processing steps comprise solid phase extraction (SPE).", "In some embodiments, the processing steps comprise high performance liquid chromatography (HPLC).", "In a second aspect, the invention provides methods of reducing the degradation of kisspeptin-54-derived peptides in body fluid sample from a patient.", "These methods include acidifying a body fluid sample, treating a body fluid sample with an agent under conditions sufficient to precipitate proteins from said body fluid sample, or both.", "In some embodiments, acidifying said body fluid sample comprises acidifying with aqueous formic acid.", "In some embodiments, the protein precipitation agent comprises an organic solvent, such as methanol.", "In some related embodiments, the ratio of the volume of the organic solvent to the volume of the biological sample is about 3:1.In a third aspect, the invention provides methods of measuring degradation of kisspeptin-54 in a biological fluid sample from a patient.", "These methods include subjecting a body fluid sample from a patient to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry from kisspeptin-54 and one or more kisspeptin-54 derivation products selected from the group consisting of kisspeptin-53 and kisspeptin-52; determining by mass spectrometry the amount of one or more ions of kisspeptin-54 and one or more kisspeptin-54 derivation products selected from the group consisting of kisspeptin-53 and kisspeptin-52; and using the amounts of ions determined in step b to determine the amounts of kisspeptin-54 and kisspeptin-54 derivation products in the sample.", "Similar methods are provided for measuring degradation of kisspeptin-54(R14P) in a biological fluid sample from a patient.", "These methods include subjecting a biological fluid sample from a patient to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry from kisspeptin-54(R14P) and one or more kisspeptin-54(R14P) derivation products selected from the group consisting of kisspeptin-53(R14P) and kisspeptin-52(R14P); determining by mass spectrometry the amount of one or more ions of kisspeptin-54(R14P) and one or more kisspeptin-54(R14P) derivation products selected from the group consisting of kisspeptin-53(R14P) and kisspeptin-52(R14P); and using the amounts of ions determined in step b to determine the amounts of kisspeptin-54(R14P) and kisspeptin-54(R14P) derivation products in the sample.", "In another aspect, the invention provides methods of diagnosing or predicting risk of developing preeclampsia.", "These methods include providing a body fluid or tissue sample obtained from a patient; subjecting the body fluid or tissue sample to one or more processing steps to generate a processed sample comprising one or more kisspeptin-54-derived peptides selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P); subjecting the processed sample to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry; determining by mass spectrometry the amount of one or more ions from one or more kisspeptin-54-derived peptides; and using the determined ion amounts to determine the amount of kisspeptin-54 or kisspeptin-54(R14P) in the sample.", "In these methods, elevated kisspeptin-54 or kisspeptin-54(R14P) levels in the sample relative to normal indicate the presence of or risk of developing preeclampsia.", "In another aspect, the invention provides one or more substantially isolated polypeptides with amino acid sequences consisting of the sequences identified as SEQ ID No.", ":2 and SEQ ID No.", ":3, or proline variants thereof.", "In any of the methods provided herein which utilize tandem mass spectrometry, tandem mass spectrometry may be conducted by any method known in the art, including for example, multiple reaction monitoring, precursor ion scanning, or product ion scanning.", "In any of the methods provided herein which utilize two or more of an extraction column, an analytical column, and an ionization source, two or more of these components may be connected in an on-line fashion to allow for automated sample processing and analysis.", "In any of the methods provided herein which require ionization of a kisspeptin-54-derived peptide, mass spectrometry is preferably performed in positive ion mode.", "Alternatively, mass spectrometry is performed in negative ion mode.", "Various ionization sources, including for example atmospheric pressure chemical ionization (APCI), electrospray ionization (ESI), and heated ESI, may be used in embodiments of the present invention.", "In certain preferred embodiments, kisspeptin-54-derived peptides are ionized using heated ESI in positive ion mode.", "In preferred embodiments of methods presented herein which determine the amount of one or more kisspeptin-54-derived peptides in a sample, a separately detectable internal standard is provided in the sample, the amount of which is also determined in the sample.", "In these embodiments, all or a portion of both the analyte(s) of interest and the internal standard present in the sample is ionized to produce a plurality of ions detectable in a mass spectrometer, and one or more ions produced from each are detected by mass spectrometry.", "In these embodiments, the presence or amount of ions generated from the analyte of interest may be related to the presence of amount of analyte of interest in the sample.", "In other embodiments, the amount of one or more kisspeptin-54-derived peptides in a sample may be determined by comparison to one or more external reference standards.", "Exemplary external reference standards include blank plasma or serum spiked with a kisspeptin-54-derived peptide or an isotopically labeled variant thereof (such as isotopically labeled kisspeptin-54 and isotopically labeled kisspeptin-54(R14P)).", "As used herein, unless otherwise stated, the singular forms “a,” “an,” and “the” include plural reference.", "Thus, for example, a reference to “a protein” includes a plurality of protein molecules.", "As used herein, the term “kisspeptin-54-derived peptide” refers to intact kisspeptin-54 and peptide fragments whose amino acid sequence is found within the amino acid sequence of kisspeptin-54, and all polymorphs thereof.", "One known polymorph of kisspeptin-54 includes a proline replacement for the arginine at position 14.This polymorph is described herein as kisspeptin-54 (proline variant) or kisspeptin-54(R14P).", "Kisspeptin-54-derived peptides may possess one or more of the following attributes: naturally occurring, chemically synthesized, isotopically labeled, and chemically modified.", "Isotopic labeling and/or chemical modification may be conducted by any of several techniques known by those of skill in the art.", "Other exemplary kisspeptin-54 derived peptides include kisspeptin-53, kisspeptin-52, and their protein variants.", "As used herein, the term “kisspeptin-54” refers to a 54 amino acid peptide with the sequence shown as SEQ ID No.", ":1 in FIG.", "1A .", "As used herein, the term “kisspeptin-53” refers to a 53 amino acid peptide with the sequence shown as SEQ ID No.", ":2 in FIG.", "1B .", "As used herein, the term “kisspeptin-52” refers to a 52 amino acid peptide with the sequence shown as SEQ ID No.", ":3 in FIG.", "1C .", "As used herein, the term “isolated” as applied to a polypeptide means a polypeptide that has been separated from components that naturally accompany it.", "Typically, the polypeptide is substantially isolated when it is at least 60%, by weight, free from the proteins and naturally occurring organic molecules with which it is naturally associated.", "The definition also extends to a polypeptide separated from its flanking amino acids (e.g., for an amino acid sequence, isolated refers to a sequence that is free from the flanking amino acids with which the sequence is naturally associated in a polypeptide).", "Preferably, the polypeptide is at least 75%, more preferably at least 90%, and most preferably at least 99%, by weight, isolated.", "An isolated polypeptide may be obtained by standard techniques, for example, by extraction from a natural source (e.g., purification from a cell or body fluid), by expression of a recombinant nucleic acid encoding the peptide; or fusion protein thereof, by chemically synthesizing the polypeptide.", "Purity can be measured by any appropriate method, e.g., by column chromatography, polyacrylamide gel electrophoresis, or HPLC analysis.", "As used herein, the term “purification” or “purifying” does not refer to removing all materials from the sample other than the analyte(s) of interest.", "Instead, purification refers to a procedure that enriches the amount of one or more analytes of interest relative to other components in the sample that may interfere with detection of the analyte of interest.", "Purification of the sample by various means may allow relative reduction of one or more interfering substances, e.g., one or more substances that may or may not interfere with the detection of selected parent or daughter ions by mass spectrometry.", "Relative reduction as this term is used does not require that any substance, present with the analyte of interest in the material to be purified, is entirely removed by purification.", "As used herein, the term “immunopurification” or “immunopurify” refers to a purification procedure that utilizes antibodies, including polyclonal or monoclonal antibodies, to enrich the one or more analytes of interest.", "Immunopurification can be performed using any of the immunopurification methods well known in the art.", "Often the immunopurification procedure utilizes antibodies bound, conjugated or otherwise attached to a solid support, for example a column, well, tube, gel, capsule, particle or the like.", "Immunopurification as used herein includes without limitation procedures often referred to in the art as immunoprecipitation, as well as procedures often referred to in the art as affinity chromatography.", "As used herein, the term “immunoparticle” refers to a capsule, bead, gel particle or the like that has antibodies bound, conjugated or otherwise attached to its surface (either on and/or in the particle).", "In certain preferred embodiments, immunoparticles are sepharose or agarose beads.", "In alternative preferred embodiments, immunoparticles are glass, plastic or silica beads, or silica gel.", "As used herein, the term “anti-kisspeptin-54-derived peptide antibody” refers to any polyclonal or monoclonal antibody that has an affinity for a kisspeptin-54-derived peptide.", "In various embodiments the specificity of kisspeptin-54-derived peptide antibodies to chemical species other than the kisspeptin-54-derived peptide may vary; for example in certain preferred embodiments the anti-kisspeptin-54-derived peptide antibodies are specific for the kisspeptin-54-derived peptide and thus have little or no affinity for chemical species other than the kisspeptin-54-derived peptide, whereas in other preferred embodiments the anti-kisspeptin-54-derived peptide antibodies are non-specific and thus bind certain chemical species other than the kisspeptin-54-derived peptide.", "As used herein, the term “sample” refers to any sample that may contain an analyte of interest.", "As used herein, the term “body fluid” means any fluid that can be isolated from the body of an individual.", "For example, “body fluid” may include blood, plasma, serum, bile, saliva, urine, tears, perspiration, and the like.", "In some preferred embodiments, the sample comprises a body fluid sample; preferably plasma or serum, from a patient.", "As used herein, the term “solid phase extraction” or “SPE” refers to a process in which a chemical mixture is separated into components as a result of the affinity of components dissolved or suspended in a solution (i.e., mobile phase) for a solid through or around which the solution is passed (i.e., solid phase).", "In some instances, as the mobile phase passes through or around the solid phase, undesired components of the mobile phase may be retained by the solid phase resulting in a purification of the analyte in the mobile phase.", "In other instances, the analyte may be retained by the solid phase, allowing undesired components of the mobile phase to pass through or around the solid phase.", "In these instances, a second mobile phase is then used to elute the retained analyte off of the solid phase for further processing or analysis.", "SPE, including TFLC, may operate via a unitary or mixed mode mechanism.", "Mixed mode mechanisms utilize ion exchange and hydrophobic retention in the same column; for example, the solid phase of a mixed-mode SPE column may exhibit strong anion exchange and hydrophobic retention; or may exhibit column exhibit strong cation exchange and hydrophobic retention.", "As used herein, the term “chromatography” refers to a process in which a chemical mixture carried by a liquid or gas is separated into components as a result of differential distribution of the chemical entities as they flow around or over a stationary liquid or solid phase.", "As used herein, the term “liquid chromatography” or “LC” means a process of selective retardation of one or more components of a fluid solution as the fluid uniformly percolates through a column of a finely divided substance, or through capillary passageways.", "The retardation results from the distribution of the components of the mixture between one or more stationary phases and the bulk fluid, (i.e., mobile phase), as this fluid moves relative to the stationary phase(s).", "Examples of “liquid chromatography” include normal phase liquid chromatography (NPLC), reverse phase liquid chromatography (RPLC), high performance liquid chromatography (HPLC), ultra high performance liquid chromatography (UHPLC), and turbulent flow liquid chromatography (TFLC) (sometimes known as high turbulence liquid chromatography (HTLC) or high throughput liquid chromatography).", "As used herein, the term “high performance liquid chromatography” or “HPLC” (sometimes known as “high pressure liquid chromatography”) refers to liquid chromatography in which the degree of separation is increased by forcing the mobile phase under pressure through a stationary phase, typically a densely packed column.", "As used herein, the term “ultra high performance liquid chromatography” or “UHPLC” (sometimes known as “ultra high pressure liquid chromatography”) refers to liquid chromatography in which the degree of separation is increased by forcing the mobile phase under high pressure through a stationary phase, typically a densely packed column with a stationary phase comprising packing particles that have an average diameter of than 2 μM.", "As used herein, the term “turbulent flow liquid chromatography” or “TFLC” (sometimes known as high turbulence liquid chromatography or high throughput liquid chromatography) refers to a form of chromatography that utilizes turbulent flow of the material being assayed through the column packing as the basis for performing the separation.", "TFLC has been applied in the preparation of samples containing two unnamed drugs prior to analysis by mass spectrometry.", "See, e.g., Zimmer et al., J Chromatogr A 854: 23-35 (1999); see also, U.S. Pat.", "Nos.", "5,968,367, 5,919,368, 5,795,469, and 5,772,874, which further explain TFLC.", "Persons of ordinary skill in the art understand “turbulent flow”.", "When fluid flows slowly and smoothly, the flow is called “laminar flow”.", "For example, fluid moving through an HPLC column at low flow rates is laminar.", "In laminar flow the motion of the particles of fluid is orderly with particles moving generally in straight lines.", "At faster velocities, the inertia of the water overcomes fluid frictional forces and turbulent flow results.", "Fluid not in contact with the irregular boundary “outruns” that which is slowed by friction or deflected by an uneven surface.", "When a fluid is flowing turbulently, it flows in eddies and whirls (or vortices), with more “drag” than when the flow is laminar.", "Many references are available for assisting in determining when fluid flow is laminar or turbulent (e.g., Turbulent Flow Analysis: Measurement and Prediction , P. S. Bernard & J. M. Wallace, John Wiley & Sons, Inc., (2000); An Introduction to Turbulent Flow , Jean Mathieu & Julian Scott, Cambridge University Press (2001)).", "As used herein, the term “gas chromatography” or “GC” refers to chromatography in which the sample mixture is vaporized and injected into a stream of carrier gas (as nitrogen or helium) moving through a column containing a stationary phase composed of a liquid or a particulate solid and is separated into its component compounds according to the affinity of the compounds for the stationary phase.", "As used herein, the term “large particle column” or “extraction column” refers to a chromatography column containing an average particle diameter greater than about 50 μm.", "As used in this context, the term “about” means±10%.", "As used herein, the term “analytical column” refers to a chromatography column having sufficient chromatographic plates to effect a separation of materials in a sample that elute from the column sufficient to allow a determination of the presence or amount of an analyte.", "Such columns are often distinguished from “extraction columns”, which have the general purpose of separating or extracting retained material from non-retained materials in order to obtain a purified sample for further analysis.", "As used in this context, the term “about” means±10%.", "In a preferred embodiment the analytical column contains particles of about 5 μm in diameter.", "As used herein, the terms “on-line” and “inline”, for example as used in “on-line automated fashion” or “on-line extraction” refers to a procedure performed without the need for operator intervention.", "In contrast, the term “off-line” as used herein refers to a procedure requiring manual intervention of an operator.", "Thus, if samples are subjected to precipitation, and the supernatants are then manually loaded into an autosampler, the precipitation and loading steps are off-line from the subsequent steps.", "In various embodiments of the methods, one or more steps may be performed in an on-line automated fashion.", "As used herein, the term “mass spectrometry” or “MS” refers to an analytical technique to identify compounds by their mass.", "MS refers to methods of filtering, detecting, and measuring ions based on their mass-to-charge ratio, or “m/z”.", "MS technology generally includes (1) ionizing the compounds to form charged compounds; and (2) detecting the molecular weight of the charged compounds and calculating a mass-to-charge ratio.", "The compounds may be ionized and detected by any suitable means.", "A “mass spectrometer” generally includes an ionizer and an ion detector.", "In general, one or more molecules of interest are ionized, and the ions are subsequently introduced into a mass spectrometric instrument where, due to a combination of magnetic and electric fields, the ions follow a path in space that is dependent upon mass (“m”) and charge (“z”).", "See, e.g., U.S. Pat.", "No.", "6,204,500, entitled “Mass Spectrometry From Surfaces;” U.S. Pat.", "No.", "6,107,623, entitled “Methods and Apparatus for Tandem Mass Spectrometry;” U.S. Pat.", "No.", "6,268,144, entitled “DNA Diagnostics Based On Mass Spectrometry;” U.S. Pat.", "No.", "6,124,137, entitled “Surface-Enhanced Photolabile Attachment And Release For Desorption And Detection Of Analytes;” Wright et al., Prostate Cancer and Prostatic Diseases 1999, 2: 264-76; and Merchant and Weinberger, Electrophoresis 2000, 21: 1164-67.As used herein, the term “operating in negative ion mode” refers to those mass spectrometry methods where negative ions are generated and detected.", "The term “operating in positive ion mode” as used herein, refers to those mass spectrometry methods where positive ions are generated and detected.", "As used herein, the term “ionization” or “ionizing” refers to the process of generating an analyte ion having a net electrical charge equal to one or more electron units.", "Negative ions are those having a net negative charge of one or more electron units, while positive ions are those having a net positive charge of one or more electron units.", "As used herein, the term “electron ionization” or “EI” refers to methods in which an analyte of interest in a gaseous or vapor phase interacts with a flow of electrons.", "Impact of the electrons with the analyte produces analyte ions, which may then be subjected to a mass spectrometry technique.", "As used herein, the term “chemical ionization” or “CI” refers to methods in which a reagent gas (e g ammonia) is subjected to electron impact, and analyte ions are formed by the interaction of reagent gas ions and analyte molecules.", "As used herein, the term “fast atom bombardment” or “FAB” refers to methods in which a beam of high energy atoms (often Xe or Ar) impacts a non-volatile sample, desorbing and ionizing molecules contained in the sample.", "Test samples are dissolved in a viscous liquid matrix such as glycerol, thioglycerol, m-nitrobenzyl alcohol, 18-crown-6 crown ether, 2-nitrophenyloctyl ether, sulfolane, diethanolamine, and triethanolamine.", "The choice of an appropriate matrix for a compound or sample is an empirical process.", "As used herein, the term “matrix-assisted laser desorption ionization” or “MALDI” refers to methods in which a non-volatile sample is exposed to laser irradiation, which desorbs and ionizes analytes in the sample by various ionization pathways, including photoionization, protonation, deprotonation, and cluster decay.", "For MALDI, the sample is mixed with an energy-absorbing matrix, which facilitates desorption of analyte molecules.", "As used herein, the term “surface enhanced laser desorption ionization” or “SELDI” refers to another method in which a non-volatile sample is exposed to laser irradiation, which desorbs and ionizes analytes in the sample by various ionization pathways, including photoionization, protonation, deprotonation, and cluster decay.", "For SELDI, the sample is typically bound to a surface that preferentially retains one or more analytes of interest.", "As in MALDI, this process may also employ an energy-absorbing material to facilitate ionization.", "As used herein, the term “electrospray ionization” or “ESI,” refers to methods in which a solution is passed along a short length of capillary tube, to the end of which is applied a high positive or negative electric potential.", "Solution reaching the end of the tube is vaporized (nebulized) into a jet or spray of very small droplets of solution in solvent vapor.", "This mist of droplets flows through an evaporation chamber.", "As the droplets get smaller the electrical surface charge density increases until such time that the natural repulsion between like charges causes ions as well as neutral molecules to be released.", "Heated ESI is similar, but includes a heat source for heating the sample while in the capillary tube.", "As used herein, the term “atmospheric pressure chemical ionization” or “APCI,” refers to mass spectrometry methods that are similar to ESI; however, APCI produces ions by ion-molecule reactions that occur within a plasma at atmospheric pressure.", "The plasma is maintained by an electric discharge between the spray capillary and a counter electrode.", "Then ions are typically extracted into the mass analyzer by use of a set of differentially pumped skimmer stages.", "A counterflow of dry and preheated N 2 gas may be used to improve removal of solvent.", "The gas-phase ionization in APCI can be more effective than ESI for analyzing less-polar species.", "The term “atmospheric pressure photoionization” or “APPI” as used herein refers to the form of mass spectrometry where the mechanism for the photoionization of molecule M is photon absorption and electron ejection to form the molecular ion M+.", "Because the photon energy typically is just above the ionization potential, the molecular ion is less susceptible to dissociation.", "In many cases it may be possible to analyze samples without the need for chromatography, thus saving significant time and expense.", "In the presence of water vapor or protic solvents, the molecular ion can extract H to form MH+.", "This tends to occur if M has a high proton affinity.", "This does not affect quantitation accuracy because the sum of M+ and MH+ is constant.", "Drug compounds in protic solvents are usually observed as MH+, whereas nonpolar compounds such as naphthalene or testosterone usually form M+.", "See, e.g., Robb et al., Anal.", "Chem.", "2000, 72(15): 3653-3659.As used herein, the term “inductively coupled plasma” or “ICP” refers to methods in which a sample interacts with a partially ionized gas at a sufficiently high temperature such that most elements are atomized and ionized.", "As used herein, the term “field desorption” refers to methods in which a non-volatile test sample is placed on an ionization surface, and an intense electric field is used to generate analyte ions.", "As used herein, the term “desorption” refers to the removal of an analyte from a surface and/or the entry of an analyte into a gaseous phase.", "Laser desorption thermal desorption is a technique wherein a sample containing the analyte is thermally desorbed into the gas phase by a laser pulse.", "The laser hits the back of a specially made 96-well plate with a metal base.", "The laser pulse heats the base and the heat causes the sample to transfer into the gas phase.", "The gas phase sample is then drawn into the mass spectrometer.", "As used herein, the term “selective ion monitoring” is a detection mode for a mass spectrometric instrument in which only ions within a relatively narrow mass range, typically about one mass unit, are detected.", "As used herein, “multiple reaction mode,” sometimes known as “selected reaction monitoring,” is a detection mode for a mass spectrometric instrument in which a precursor ion and one or more fragment ions are selectively detected.", "As used herein, the term “lower limit of quantification”, “lower limit of quantitation” or “LLOQ” refers to the point where measurements become quantitatively meaningful.", "The analyte response at this LOQ is identifiable, discrete and reproducible with a relative standard deviation (RSD %) of less than 20% and an accuracy of 85% to 115%.", "As used herein, the term “limit of detection” or “LOD” is the point at which the measured value is larger than the uncertainty associated with it.", "The LOD is the point at which a value is beyond the uncertainty associated with its measurement and is defined as three times the RSD of the mean at the zero concentration.", "As used herein, an “amount” of an analyte in a body fluid sample refers generally to an absolute value reflecting the mass of the analyte detectable in volume of sample.", "However, an amount also contemplates a relative amount in comparison to another analyte amount.", "For example, an amount of an analyte in a sample can be an amount which is greater than a control or normal level of the analyte normally present in the sample.", "The term “about” as used herein in reference to quantitative measurements not including the measurement of the mass of an ion, refers to the indicated value plus or minus 10%.", "Mass spectrometry instruments can vary slightly in determining the mass of a given analyte.", "The term “about” in the context of the mass of an ion or the mass/charge ratio of an ion refers to +/−0.5 atomic mass unit.", "The summary of the invention described above is non-limiting and other features and advantages of the invention will be apparent from the following detailed description of the invention, and from the claims." ], [ "CROSS REFERENCE TO RELATED APPLICATIONS This application is a continuation application of U.S. application Ser.", "No.", "15/443,645, filed Feb. 27, 2017, which is a continuation application of U.S. application Ser.", "No.", "14/565,805, filed Dec. 10, 2014, now U.S. Pat.", "No.", "9,583,322, which is a continuation application of U.S. application Ser.", "No.", "13/682,304, filed Nov. 20, 2012, now U.S. Pat.", "No.", "8,916,680, which claims the benefit under 35 U.S.C.", "§ 119(e) of U.S.", "Provisional Application Ser.", "No.", "61/563,435, filed Nov. 23, 2011, each of which is incorporated by reference in its entirety into the present disclosure.", "FIELD OF THE INVENTION The invention relates to the quantitative measurement of kisspeptin-54 and kisspeptin-54-derived peptides.", "In a particular aspect, the invention relates to methods for quantitative measurement of kisspeptin-54 and kisspeptin-54-derived peptides by HPLC-tandem mass spectrometry.", "BACKGROUND OF THE INVENTION The following description of the background of the invention is provided simply as an aid in understanding the invention and is not admitted to describe or constitute prior art to the invention.", "The kisspeptin-54 peptide is essential for sexual reproduction and it suppresses cancer metastasis.", "It is also known as metastin, kisspeptin 1-54, KP-54, and KiSS-1 (68-121).", "Kisspeptin-54 is the carboxamide fragment of residues 68-121 of the protein KISS-1, which in turn is encoded by the KiSS-1 gene.", "Kisspeptin-54 potently and selectively stimulates the G-protein-coupled receptor 54 (GPR54) to signal secretion of gonadotropin-releasing hormone (GnRH).", "Rhythmical secretions of GnRH initiate puberty, coordinate ovulation, and maintain overall reproductive function.", "Kisspeptin-54 is known to suppress the progression of melanomas and breast cancers.", "It is postulated that kisspeptin-54 suppresses metastasis by inhibiting chemotaxis and invasion of cancer cells.", "Human kisspeptin-54 (CAS No: [374683-24-6]) consists of 54 amino acids with a molecular weight of about 5857.5 g/mol and a monoisotopic mass of about 5854.0 g/mol.", "It is a peptide amide cleavage product containing the amino acid residues from 68 to 121 of the 138 amino acid KiSS-1 protein.", "Other bioactive peptides that result from cleavage of KiSS-1 are kisspeptin-14 (KP-14, 41-54), kisspeptin-13 (KP-13, residues 42-54), and kisspeptin-10 (KP-10; residues 45-54).", "Kisspeptin-54 has been identified using tandem mass spectrometry.", "See e.g., Kotani, M., et al., J. Biol.", "Chem.", "2001, 276(37), 34631-6 (isolated); Dhillo, W., et al., J. Clin Endocrinol.", "Metab.", "2005, 90(12), 6609-15 (synthetic).", "Kisspeptin-54 has been quantitated using immunoassays.", "See e.g., Jayasena et al., J. Endocrinol.", "Metab.", "2009, 94(11), 4315-23 (measured immunoreactivity of antibody with kisspeptin-54).", "Among the kisspeptin peptides, only kisspeptin-10 has been reported to be purified for and subjected to quantitative mass spectrometry.", "Chan et al., J. Clin.", "Endocrinol.", "Metab., 2011, 96(6), E908-15.SUMMARY OF THE INVENTION In one aspect, the present invention provides methods of determining by mass spectrometry the amount in a sample of one or more kisspeptin-54-derived peptides selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "These methods include subjecting the sample to ionization under conditions suitable to produce one or more multiply charged kisspeptin-54-derived peptide ions detectable by mass spectrometry; determining by mass spectrometry the amount of one or more ions from each of the one or more kisspeptin-54-derived peptides; and using the amount of the determined ions to determine the amounts of the corresponding one or more kisspeptin-54-derived peptides in the sample.", "In one aspect, the methods further include, prior to ionization, enriching the concentration of the kisspeptin-54-derived peptides with an antibody specific for the N-terminal portion of kisspeptin-54.In addition or alternatively, the methods further includes adding an organic solvent, such as methanol, to the sample in an amount sufficient to precipitate one or more components from said sample, so as to enrich the concentration of the kisspeptin-54-derived peptides.", "In some embodiments, ions are determined from kisspeptin-54, kisspeptin-53, and kisspeptin-52.In some embodiments, ions are determined from kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P).", "In some embodiments, kisspeptin-54-derived peptides from the sample are chemically modified prior to ionization.", "In some embodiments, at least one of the multiply charged ions generated from the kisspeptin-54-derived peptides is selected from the group of ions with a charge consisting of 4+, 5+, 6+, or 7+.", "In some embodiments, the determined kisspeptin-54-derived peptides are selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-54, multiply charged kisspeptin-54 ions may be selected from the group of ions with m/z of 1172.4±0.5, 977.2±0.5, and 837.7±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-54(R14P), multiply charged kisspeptin-54(R14P) ions may be selected from the group of ions with m/z of 1450.5±0.5, 1160.6±0.5, and 967.3±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-53, multiply charged kisspeptin-53 ions may be selected from the group of ions with m/z of 1143.2±0.5, 952.7±0.5, and 816.9±0.5.In embodiments where the one or more kisspeptin-54-derived peptides comprise kisspeptin-52, multiply charged kisspeptin-52 ions may be selected from the group of ions with m/z of 1112.0±0.5, and 926.6±0.5.In some embodiments, mass spectrometry is tandem mass spectrometry.", "Embodiments utilizing tandem mass spectrometry comprise fragmenting one or more of the multiply charged kisspeptin-54-derived peptide ions into kisspeptin-54-derived peptide fragment ions.", "In embodiments where kisspeptin-54 is subjected to tandem mass spectrometry, multiply charged kisspeptin-54 ions with m/z of 977.2±0.5 may be fragmented into one or more ions selected from the group consisting of ions with m/z of 1083.6±0.5 and 903.1±0.5; multiply charged kisspeptin-54 ions with m/z of 837.7±0.5 may be fragmented into an ion with m/z of 977.2±0.5.In embodiments where kisspeptin-54(R14P) is subjected to tandem mass spectrometry, multiply charged kisspeptin-54(R14P) ions with m/z of 1160.6±0.5 may be fragmented into an ion with m/z of 1071.6±0.5; multiply charged kisspeptin-54(R14P) ions with m/z of 967.3±0.5 may be fragmented into an ion with m/z of 1071.6±0.5.In embodiments where kisspeptin-53 is subjected to tandem mass spectrometry, multiply charged kisspeptin-53 ions with m/z of 1143.2±0.5 may be fragmented into an ion with m/z of 1053.9±0.5; multiply charged kisspeptin-53 ions with m/z of 952.7±0.5 may be fragmented into one or more ions selected from the group of ions with m/z of 1054.1±0.5 and 878.5±0.5; and multiply charged kisspeptin-53 ions with m/z of 816.7±0.5 may be fragmented into an ion with m/z of 878.3±0.5.In embodiments where kisspeptin-52 is subjected to tandem mass spectrometry, multiply charged kisspeptin-52 ions with m/z of 1112.0±0.5 may be fragmented into one or more ions selected from the group consisting of ions with m/z of 1278.2±0.5 and 1022.9; multiply charged kisspeptin-52 ions with m/z of 926.8±0.5 may be fragmented into an ion with m/z of 1022.9±0.5.In some embodiments, a sample is subjected to one or more processing steps prior to ionization.", "In some embodiments, the processing steps include one or more purification steps.", "In some embodiments, the processing steps include adding aqueous formic acid to the sample.", "In some embodiments, the processing steps include adding an organic solvent, such as methanol, to the sample in an amount sufficient to precipitate one or more components from said sample; centrifuging the organic solvent-sample mixture, wherein a portion of kisspeptin-54-derived peptides from the sample remain in the supernatant; and collecting the supernatant for further processing or ionization.", "In some embodiments, the processing steps include applying the sample to an anion or cation exchange column under conditions suitable to retain kisspeptin-54-derived peptides on the column; eluting kisspeptin-54-derived peptides from the column; and collecting the eluted kisspeptin-54-derived peptides for further processing or ionization.", "In some embodiments, the processing steps include immunopurification.", "In some related embodiments, immunopurification comprises capture and extraction of one or more of kisspeptin-54-derived peptides using anti-kisspeptin-54-derived peptides antibodies specific for the N-terminus of kisspeptin-54.In some embodiments, the processing steps comprise solid phase extraction (SPE).", "In some embodiments, the processing steps comprise high performance liquid chromatography (HPLC).", "In a second aspect, the invention provides methods of reducing the degradation of kisspeptin-54-derived peptides in body fluid sample from a patient.", "These methods include acidifying a body fluid sample, treating a body fluid sample with an agent under conditions sufficient to precipitate proteins from said body fluid sample, or both.", "In some embodiments, acidifying said body fluid sample comprises acidifying with aqueous formic acid.", "In some embodiments, the protein precipitation agent comprises an organic solvent, such as methanol.", "In some related embodiments, the ratio of the volume of the organic solvent to the volume of the biological sample is about 3:1.In a third aspect, the invention provides methods of measuring degradation of kisspeptin-54 in a biological fluid sample from a patient.", "These methods include subjecting a body fluid sample from a patient to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry from kisspeptin-54 and one or more kisspeptin-54 derivation products selected from the group consisting of kisspeptin-53 and kisspeptin-52; determining by mass spectrometry the amount of one or more ions of kisspeptin-54 and one or more kisspeptin-54 derivation products selected from the group consisting of kisspeptin-53 and kisspeptin-52; and using the amounts of ions determined in step b to determine the amounts of kisspeptin-54 and kisspeptin-54 derivation products in the sample.", "Similar methods are provided for measuring degradation of kisspeptin-54(R14P) in a biological fluid sample from a patient.", "These methods include subjecting a biological fluid sample from a patient to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry from kisspeptin-54(R14P) and one or more kisspeptin-54(R14P) derivation products selected from the group consisting of kisspeptin-53(R14P) and kisspeptin-52(R14P); determining by mass spectrometry the amount of one or more ions of kisspeptin-54(R14P) and one or more kisspeptin-54(R14P) derivation products selected from the group consisting of kisspeptin-53(R14P) and kisspeptin-52(R14P); and using the amounts of ions determined in step b to determine the amounts of kisspeptin-54(R14P) and kisspeptin-54(R14P) derivation products in the sample.", "In another aspect, the invention provides methods of diagnosing or predicting risk of developing preeclampsia.", "These methods include providing a body fluid or tissue sample obtained from a patient; subjecting the body fluid or tissue sample to one or more processing steps to generate a processed sample comprising one or more kisspeptin-54-derived peptides selected from the group consisting of kisspeptin-54, kisspeptin-53, kisspeptin-52, kisspeptin-54(R14P), kisspeptin-53(R14P), and kisspeptin-52(R14P); subjecting the processed sample to ionization under conditions suitable to produce one or more multiply charged ions detectable by mass spectrometry; determining by mass spectrometry the amount of one or more ions from one or more kisspeptin-54-derived peptides; and using the determined ion amounts to determine the amount of kisspeptin-54 or kisspeptin-54(R14P) in the sample.", "In these methods, elevated kisspeptin-54 or kisspeptin-54(R14P) levels in the sample relative to normal indicate the presence of or risk of developing preeclampsia.", "In another aspect, the invention provides one or more substantially isolated polypeptides with amino acid sequences consisting of the sequences identified as SEQ ID No.", ":2 and SEQ ID No.", ":3, or proline variants thereof.", "In any of the methods provided herein which utilize tandem mass spectrometry, tandem mass spectrometry may be conducted by any method known in the art, including for example, multiple reaction monitoring, precursor ion scanning, or product ion scanning.", "In any of the methods provided herein which utilize two or more of an extraction column, an analytical column, and an ionization source, two or more of these components may be connected in an on-line fashion to allow for automated sample processing and analysis.", "In any of the methods provided herein which require ionization of a kisspeptin-54-derived peptide, mass spectrometry is preferably performed in positive ion mode.", "Alternatively, mass spectrometry is performed in negative ion mode.", "Various ionization sources, including for example atmospheric pressure chemical ionization (APCI), electrospray ionization (ESI), and heated ESI, may be used in embodiments of the present invention.", "In certain preferred embodiments, kisspeptin-54-derived peptides are ionized using heated ESI in positive ion mode.", "In preferred embodiments of methods presented herein which determine the amount of one or more kisspeptin-54-derived peptides in a sample, a separately detectable internal standard is provided in the sample, the amount of which is also determined in the sample.", "In these embodiments, all or a portion of both the analyte(s) of interest and the internal standard present in the sample is ionized to produce a plurality of ions detectable in a mass spectrometer, and one or more ions produced from each are detected by mass spectrometry.", "In these embodiments, the presence or amount of ions generated from the analyte of interest may be related to the presence of amount of analyte of interest in the sample.", "In other embodiments, the amount of one or more kisspeptin-54-derived peptides in a sample may be determined by comparison to one or more external reference standards.", "Exemplary external reference standards include blank plasma or serum spiked with a kisspeptin-54-derived peptide or an isotopically labeled variant thereof (such as isotopically labeled kisspeptin-54 and isotopically labeled kisspeptin-54(R14P)).", "As used herein, unless otherwise stated, the singular forms “a,” “an,” and “the” include plural reference.", "Thus, for example, a reference to “a protein” includes a plurality of protein molecules.", "As used herein, the term “kisspeptin-54-derived peptide” refers to intact kisspeptin-54 and peptide fragments whose amino acid sequence is found within the amino acid sequence of kisspeptin-54, and all polymorphs thereof.", "One known polymorph of kisspeptin-54 includes a proline replacement for the arginine at position 14.This polymorph is described herein as kisspeptin-54 (proline variant) or kisspeptin-54(R14P).", "Kisspeptin-54-derived peptides may possess one or more of the following attributes: naturally occurring, chemically synthesized, isotopically labeled, and chemically modified.", "Isotopic labeling and/or chemical modification may be conducted by any of several techniques known by those of skill in the art.", "Other exemplary kisspeptin-54 derived peptides include kisspeptin-53, kisspeptin-52, and their protein variants.", "As used herein, the term “kisspeptin-54” refers to a 54 amino acid peptide with the sequence shown as SEQ ID No.", ":1 in FIG.", "1A.", "As used herein, the term “kisspeptin-53” refers to a 53 amino acid peptide with the sequence shown as SEQ ID No.", ":2 in FIG.", "1B.", "As used herein, the term “kisspeptin-52” refers to a 52 amino acid peptide with the sequence shown as SEQ ID No.", ":3 in FIG.", "1C.", "As used herein, the term “isolated” as applied to a polypeptide means a polypeptide that has been separated from components that naturally accompany it.", "Typically, the polypeptide is substantially isolated when it is at least 60%, by weight, free from the proteins and naturally occurring organic molecules with which it is naturally associated.", "The definition also extends to a polypeptide separated from its flanking amino acids (e.g., for an amino acid sequence, isolated refers to a sequence that is free from the flanking amino acids with which the sequence is naturally associated in a polypeptide).", "Preferably, the polypeptide is at least 75%, more preferably at least 90%, and most preferably at least 99%, by weight, isolated.", "An isolated polypeptide may be obtained by standard techniques, for example, by extraction from a natural source (e.g., purification from a cell or body fluid), by expression of a recombinant nucleic acid encoding the peptide; or fusion protein thereof, by chemically synthesizing the polypeptide.", "Purity can be measured by any appropriate method, e.g., by column chromatography, polyacrylamide gel electrophoresis, or HPLC analysis.", "As used herein, the term “purification” or “purifying” does not refer to removing all materials from the sample other than the analyte(s) of interest.", "Instead, purification refers to a procedure that enriches the amount of one or more analytes of interest relative to other components in the sample that may interfere with detection of the analyte of interest.", "Purification of the sample by various means may allow relative reduction of one or more interfering substances, e.g., one or more substances that may or may not interfere with the detection of selected parent or daughter ions by mass spectrometry.", "Relative reduction as this term is used does not require that any substance, present with the analyte of interest in the material to be purified, is entirely removed by purification.", "As used herein, the term “immunopurification” or “immunopurify” refers to a purification procedure that utilizes antibodies, including polyclonal or monoclonal antibodies, to enrich the one or more analytes of interest.", "Immunopurification can be performed using any of the immunopurification methods well known in the art.", "Often the immunopurification procedure utilizes antibodies bound, conjugated or otherwise attached to a solid support, for example a column, well, tube, gel, capsule, particle or the like.", "Immunopurification as used herein includes without limitation procedures often referred to in the art as immunoprecipitation, as well as procedures often referred to in the art as affinity chromatography.", "As used herein, the term “immunoparticle” refers to a capsule, bead, gel particle or the like that has antibodies bound, conjugated or otherwise attached to its surface (either on and/or in the particle).", "In certain preferred embodiments, immunoparticles are sepharose or agarose beads.", "In alternative preferred embodiments, immunoparticles are glass, plastic or silica beads, or silica gel.", "As used herein, the term “anti-kisspeptin-54-derived peptide antibody” refers to any polyclonal or monoclonal antibody that has an affinity for a kisspeptin-54-derived peptide.", "In various embodiments the specificity of kisspeptin-54-derived peptide antibodies to chemical species other than the kisspeptin-54-derived peptide may vary; for example in certain preferred embodiments the anti-kisspeptin-54-derived peptide antibodies are specific for the kisspeptin-54-derived peptide and thus have little or no affinity for chemical species other than the kisspeptin-54-derived peptide, whereas in other preferred embodiments the anti-kisspeptin-54-derived peptide antibodies are non-specific and thus bind certain chemical species other than the kisspeptin-54-derived peptide.", "As used herein, the term “sample” refers to any sample that may contain an analyte of interest.", "As used herein, the term “body fluid” means any fluid that can be isolated from the body of an individual.", "For example, “body fluid” may include blood, plasma, serum, bile, saliva, urine, tears, perspiration, and the like.", "In some preferred embodiments, the sample comprises a body fluid sample; preferably plasma or serum, from a patient.", "As used herein, the term “solid phase extraction” or “SPE” refers to a process in which a chemical mixture is separated into components as a result of the affinity of components dissolved or suspended in a solution (i.e., mobile phase) for a solid through or around which the solution is passed (i.e., solid phase).", "In some instances, as the mobile phase passes through or around the solid phase, undesired components of the mobile phase may be retained by the solid phase resulting in a purification of the analyte in the mobile phase.", "In other instances, the analyte may be retained by the solid phase, allowing undesired components of the mobile phase to pass through or around the solid phase.", "In these instances, a second mobile phase is then used to elute the retained analyte off of the solid phase for further processing or analysis.", "SPE, including TFLC, may operate via a unitary or mixed mode mechanism.", "Mixed mode mechanisms utilize ion exchange and hydrophobic retention in the same column; for example, the solid phase of a mixed-mode SPE column may exhibit strong anion exchange and hydrophobic retention; or may exhibit column exhibit strong cation exchange and hydrophobic retention.", "As used herein, the term “chromatography” refers to a process in which a chemical mixture carried by a liquid or gas is separated into components as a result of differential distribution of the chemical entities as they flow around or over a stationary liquid or solid phase.", "As used herein, the term “liquid chromatography” or “LC” means a process of selective retardation of one or more components of a fluid solution as the fluid uniformly percolates through a column of a finely divided substance, or through capillary passageways.", "The retardation results from the distribution of the components of the mixture between one or more stationary phases and the bulk fluid, (i.e., mobile phase), as this fluid moves relative to the stationary phase(s).", "Examples of “liquid chromatography” include normal phase liquid chromatography (NPLC), reverse phase liquid chromatography (RPLC), high performance liquid chromatography (HPLC), ultra high performance liquid chromatography (UHPLC), and turbulent flow liquid chromatography (TFLC) (sometimes known as high turbulence liquid chromatography (HTLC) or high throughput liquid chromatography).", "As used herein, the term “high performance liquid chromatography” or “HPLC” (sometimes known as “high pressure liquid chromatography”) refers to liquid chromatography in which the degree of separation is increased by forcing the mobile phase under pressure through a stationary phase, typically a densely packed column.", "As used herein, the term “ultra high performance liquid chromatography” or “UHPLC” (sometimes known as “ultra high pressure liquid chromatography”) refers to liquid chromatography in which the degree of separation is increased by forcing the mobile phase under high pressure through a stationary phase, typically a densely packed column with a stationary phase comprising packing particles that have an average diameter of than 2 μM.", "As used herein, the term “turbulent flow liquid chromatography” or “TFLC” (sometimes known as high turbulence liquid chromatography or high throughput liquid chromatography) refers to a form of chromatography that utilizes turbulent flow of the material being assayed through the column packing as the basis for performing the separation.", "TFLC has been applied in the preparation of samples containing two unnamed drugs prior to analysis by mass spectrometry.", "See, e.g., Zimmer et al., J Chromatogr A 854: 23-35 (1999); see also, U.S. Pat.", "Nos.", "5,968,367, 5,919,368, 5,795,469, and 5,772,874, which further explain TFLC.", "Persons of ordinary skill in the art understand “turbulent flow”.", "When fluid flows slowly and smoothly, the flow is called “laminar flow”.", "For example, fluid moving through an HPLC column at low flow rates is laminar.", "In laminar flow the motion of the particles of fluid is orderly with particles moving generally in straight lines.", "At faster velocities, the inertia of the water overcomes fluid frictional forces and turbulent flow results.", "Fluid not in contact with the irregular boundary “outruns” that which is slowed by friction or deflected by an uneven surface.", "When a fluid is flowing turbulently, it flows in eddies and whirls (or vortices), with more “drag” than when the flow is laminar.", "Many references are available for assisting in determining when fluid flow is laminar or turbulent (e.g., Turbulent Flow Analysis: Measurement and Prediction, P. S. Bernard & J. M. Wallace, John Wiley & Sons, Inc., (2000); An Introduction to Turbulent Flow, Jean Mathieu & Julian Scott, Cambridge University Press (2001)).", "As used herein, the term “gas chromatography” or “GC” refers to chromatography in which the sample mixture is vaporized and injected into a stream of carrier gas (as nitrogen or helium) moving through a column containing a stationary phase composed of a liquid or a particulate solid and is separated into its component compounds according to the affinity of the compounds for the stationary phase.", "As used herein, the term “large particle column” or “extraction column” refers to a chromatography column containing an average particle diameter greater than about 50 μm.", "As used in this context, the term “about” means±10%.", "As used herein, the term “analytical column” refers to a chromatography column having sufficient chromatographic plates to effect a separation of materials in a sample that elute from the column sufficient to allow a determination of the presence or amount of an analyte.", "Such columns are often distinguished from “extraction columns”, which have the general purpose of separating or extracting retained material from non-retained materials in order to obtain a purified sample for further analysis.", "As used in this context, the term “about” means±10%.", "In a preferred embodiment the analytical column contains particles of about 5 μm in diameter.", "As used herein, the terms “on-line” and “inline”, for example as used in “on-line automated fashion” or “on-line extraction” refers to a procedure performed without the need for operator intervention.", "In contrast, the term “off-line” as used herein refers to a procedure requiring manual intervention of an operator.", "Thus, if samples are subjected to precipitation, and the supernatants are then manually loaded into an autosampler, the precipitation and loading steps are off-line from the subsequent steps.", "In various embodiments of the methods, one or more steps may be performed in an on-line automated fashion.", "As used herein, the term “mass spectrometry” or “MS” refers to an analytical technique to identify compounds by their mass.", "MS refers to methods of filtering, detecting, and measuring ions based on their mass-to-charge ratio, or “m/z”.", "MS technology generally includes (1) ionizing the compounds to form charged compounds; and (2) detecting the molecular weight of the charged compounds and calculating a mass-to-charge ratio.", "The compounds may be ionized and detected by any suitable means.", "A “mass spectrometer” generally includes an ionizer and an ion detector.", "In general, one or more molecules of interest are ionized, and the ions are subsequently introduced into a mass spectrometric instrument where, due to a combination of magnetic and electric fields, the ions follow a path in space that is dependent upon mass (“m”) and charge (“z”).", "See, e.g., U.S. Pat.", "No.", "6,204,500, entitled “Mass Spectrometry From Surfaces;” U.S. Pat.", "No.", "6,107,623, entitled “Methods and Apparatus for Tandem Mass Spectrometry;” U.S. Pat.", "No.", "6,268,144, entitled “DNA Diagnostics Based On Mass Spectrometry;” U.S. Pat.", "No.", "6,124,137, entitled “Surface-Enhanced Photolabile Attachment And Release For Desorption And Detection Of Analytes;” Wright et al., Prostate Cancer and Prostatic Diseases 1999, 2: 264-76; and Merchant and Weinberger, Electrophoresis 2000, 21: 1164-67.As used herein, the term “operating in negative ion mode” refers to those mass spectrometry methods where negative ions are generated and detected.", "The term “operating in positive ion mode” as used herein, refers to those mass spectrometry methods where positive ions are generated and detected.", "As used herein, the term “ionization” or “ionizing” refers to the process of generating an analyte ion having a net electrical charge equal to one or more electron units.", "Negative ions are those having a net negative charge of one or more electron units, while positive ions are those having a net positive charge of one or more electron units.", "As used herein, the term “electron ionization” or “EI” refers to methods in which an analyte of interest in a gaseous or vapor phase interacts with a flow of electrons.", "Impact of the electrons with the analyte produces analyte ions, which may then be subjected to a mass spectrometry technique.", "As used herein, the term “chemical ionization” or “CI” refers to methods in which a reagent gas (e g ammonia) is subjected to electron impact, and analyte ions are formed by the interaction of reagent gas ions and analyte molecules.", "As used herein, the term “fast atom bombardment” or “FAB” refers to methods in which a beam of high energy atoms (often Xe or Ar) impacts a non-volatile sample, desorbing and ionizing molecules contained in the sample.", "Test samples are dissolved in a viscous liquid matrix such as glycerol, thioglycerol, m-nitrobenzyl alcohol, 18-crown-6 crown ether, 2-nitrophenyloctyl ether, sulfolane, diethanolamine, and triethanolamine.", "The choice of an appropriate matrix for a compound or sample is an empirical process.", "As used herein, the term “matrix-assisted laser desorption ionization” or “MALDI” refers to methods in which a non-volatile sample is exposed to laser irradiation, which desorbs and ionizes analytes in the sample by various ionization pathways, including photoionization, protonation, deprotonation, and cluster decay.", "For MALDI, the sample is mixed with an energy-absorbing matrix, which facilitates desorption of analyte molecules.", "As used herein, the term “surface enhanced laser desorption ionization” or “SELDI” refers to another method in which a non-volatile sample is exposed to laser irradiation, which desorbs and ionizes analytes in the sample by various ionization pathways, including photoionization, protonation, deprotonation, and cluster decay.", "For SELDI, the sample is typically bound to a surface that preferentially retains one or more analytes of interest.", "As in MALDI, this process may also employ an energy-absorbing material to facilitate ionization.", "As used herein, the term “electrospray ionization” or “ESI,” refers to methods in which a solution is passed along a short length of capillary tube, to the end of which is applied a high positive or negative electric potential.", "Solution reaching the end of the tube is vaporized (nebulized) into a jet or spray of very small droplets of solution in solvent vapor.", "This mist of droplets flows through an evaporation chamber.", "As the droplets get smaller the electrical surface charge density increases until such time that the natural repulsion between like charges causes ions as well as neutral molecules to be released.", "Heated ESI is similar, but includes a heat source for heating the sample while in the capillary tube.", "As used herein, the term “atmospheric pressure chemical ionization” or “APCI,” refers to mass spectrometry methods that are similar to ESI; however, APCI produces ions by ion-molecule reactions that occur within a plasma at atmospheric pressure.", "The plasma is maintained by an electric discharge between the spray capillary and a counter electrode.", "Then ions are typically extracted into the mass analyzer by use of a set of differentially pumped skimmer stages.", "A counterflow of dry and preheated N2 gas may be used to improve removal of solvent.", "The gas-phase ionization in APCI can be more effective than ESI for analyzing less-polar species.", "The term “atmospheric pressure photoionization” or “APPI” as used herein refers to the form of mass spectrometry where the mechanism for the photoionization of molecule M is photon absorption and electron ejection to form the molecular ion M+.", "Because the photon energy typically is just above the ionization potential, the molecular ion is less susceptible to dissociation.", "In many cases it may be possible to analyze samples without the need for chromatography, thus saving significant time and expense.", "In the presence of water vapor or protic solvents, the molecular ion can extract H to form MH+.", "This tends to occur if M has a high proton affinity.", "This does not affect quantitation accuracy because the sum of M+ and MH+ is constant.", "Drug compounds in protic solvents are usually observed as MH+, whereas nonpolar compounds such as naphthalene or testosterone usually form M+.", "See, e.g., Robb et al., Anal.", "Chem.", "2000, 72(15): 3653-3659.As used herein, the term “inductively coupled plasma” or “ICP” refers to methods in which a sample interacts with a partially ionized gas at a sufficiently high temperature such that most elements are atomized and ionized.", "As used herein, the term “field desorption” refers to methods in which a non-volatile test sample is placed on an ionization surface, and an intense electric field is used to generate analyte ions.", "As used herein, the term “desorption” refers to the removal of an analyte from a surface and/or the entry of an analyte into a gaseous phase.", "Laser desorption thermal desorption is a technique wherein a sample containing the analyte is thermally desorbed into the gas phase by a laser pulse.", "The laser hits the back of a specially made 96-well plate with a metal base.", "The laser pulse heats the base and the heat causes the sample to transfer into the gas phase.", "The gas phase sample is then drawn into the mass spectrometer.", "As used herein, the term “selective ion monitoring” is a detection mode for a mass spectrometric instrument in which only ions within a relatively narrow mass range, typically about one mass unit, are detected.", "As used herein, “multiple reaction mode,” sometimes known as “selected reaction monitoring,” is a detection mode for a mass spectrometric instrument in which a precursor ion and one or more fragment ions are selectively detected.", "As used herein, the term “lower limit of quantification”, “lower limit of quantitation” or “LLOQ” refers to the point where measurements become quantitatively meaningful.", "The analyte response at this LOQ is identifiable, discrete and reproducible with a relative standard deviation (RSD %) of less than 20% and an accuracy of 85% to 115%.", "As used herein, the term “limit of detection” or “LOD” is the point at which the measured value is larger than the uncertainty associated with it.", "The LOD is the point at which a value is beyond the uncertainty associated with its measurement and is defined as three times the RSD of the mean at the zero concentration.", "As used herein, an “amount” of an analyte in a body fluid sample refers generally to an absolute value reflecting the mass of the analyte detectable in volume of sample.", "However, an amount also contemplates a relative amount in comparison to another analyte amount.", "For example, an amount of an analyte in a sample can be an amount which is greater than a control or normal level of the analyte normally present in the sample.", "The term “about” as used herein in reference to quantitative measurements not including the measurement of the mass of an ion, refers to the indicated value plus or minus 10%.", "Mass spectrometry instruments can vary slightly in determining the mass of a given analyte.", "The term “about” in the context of the mass of an ion or the mass/charge ratio of an ion refers to +/−0.5 atomic mass unit.", "The summary of the invention described above is non-limiting and other features and advantages of the invention will be apparent from the following detailed description of the invention, and from the claims.", "BRIEF DESCRIPTION OF THE DRAWINGS FIGS.", "1A-C show the amino acid sequences of kisspeptin-54 (SEQ ID NO.", ":1), kisspeptin-53 (SEQ ID NO.", ":2), and kisspeptin-52 (SEQ ID NO.", ":3), respectively, including their respective proline variants (i.e., each having the R14P substitution).", "FIG.", "2 shows a full scan spectrum of Kisspeptin-54.This spectrum shows generation of KP-54 [M+7H]+7 and [M+6H]+6 ions with m/z of 837.5±0.5 and 977.0±0.5, respectively.", "Details are discussed in Example 3.FIG.", "3 shows an exemplary fragmentation spectrum of KP-54 [M+6H]+6 ion with m/z of 977.0±0.5.Exemplary fragment ions are observed at m/z of 1083.6±0.5 and 903.1±0.5.Details are discussed in Example 3.FIG.", "4 shows an exemplary fragmentation spectrum of KP-54 [M+7H]+7 ion with m/z of 837.7±0.5.Exemplary fragment ions are observed at m/z of 902.8±0.5.Details are discussed in Example 3.FIG.", "5 shows a full scan spectrum of Kisspeptin-54(R14P).", "This spectrum shows generation of KP-54(R14P) [M+6H]+6, [M+5H]+5, and [M+4H]+4 ions with m/z of 967.3±0.5, 1160.6±0.5, and 1450.5±0.5, respectively.", "Details are discussed in Example 3.FIG.", "6 shows an exemplary fragmentation spectrum of KP-54(R14P) [M+5H]+ ion with m/z of 1160.6±0.5.Exemplary fragment ions are observed at m/z of 1071.6±0.5.Details are discussed in Example 3.FIG.", "7 shows an exemplary fragmentation spectrum of KP-54(R14P) [M+6H]+6 ion with m/z of 967.3±0.5.Exemplary fragment ions are observed at m/z of 1071.6±0.5.Details are discussed in Example 3.FIG.", "8 shows a full scan spectrum of Kisspeptin-53.This spectrum shows generation of KP-53 [M+7H]+7, [M+6H]+6, and [M+5H]+5 ions with m/z of 816.9±0.5, 952.7±0.5, and 1143.2±0.5, respectively.", "Details are discussed in Example 3.FIG.", "9 shows an exemplary fragmentation spectrum of KP-53 [M+5H]+5 ion with m/z of 1143.2±0.5.Exemplary fragment ions are observed at m/z of 1053.9±0.5.Details are discussed in Example 3.FIG.", "10 shows an exemplary fragmentation spectrum of KP-53 [M+6H]+6 ion with m/z of 952.7±0.5.Exemplary fragment ions are observed at m/z of 1054.1±0.5 and 878.3±0.5.Details are discussed in Example 3.FIG.", "11 shows an exemplary fragmentation spectrum of KP-53 [M+7H]+7 ion with m/z of 816.7±0.5.Exemplary fragment ions are observed at m/z of 878.3±0.5.Details are discussed in Example 3.FIG.", "12 shows a full scan spectrum of Kisspeptin-52.This spectrum shows generation of KP-52 [M+6H]+6, [M+5H]+5, and [M+4H]+4 ions with m/z of 926.6±0.5, 1112.0±0.5, and 1389.5±0.5, respectively.", "Details are discussed in Example 3.FIG.", "13 shows an exemplary fragmentation spectrum of KP-52 [M+5H]+5 ion with m/z of 1111.9±0.5±0.5.Exemplary fragment ions are observed at m/z of 1278.2±0.5 and 1022.9±0.5.Details are discussed in Example 3.FIG.", "14 shows an exemplary fragmentation spectrum of KP-52 [M+6H]+6 ion with m/z of 926.8±0.5.Exemplary fragment ions are observed at m/z of 1022.9±0.5.Details are discussed in Example 3.FIG.", "15 shows the detection of KP-52, KP-53, KP-54, and KP-54(IS) in serum from pregnant woman #1 (28 years old, 25 weeks gestation).", "Details are discussed in Example 4.FIG.", "16 shows the detection of KP-52, KP-53, KP-54, and KP-54(IS) in EDTA plasma from pregnant woman #1 (28 years old, 25 weeks gestation).", "Details are discussed in Example 4.FIG.", "17 shows the detection of KP-52, KP-53, KP-54, and KP-54(IS) in serum from pregnant woman #2 (21 years old, 28 weeks gestation).", "Details are discussed in Example 4.FIG.", "18 shows the detection of KP-52, KP-53, KP-54, and KP-54(IS) in EDTA plasma from pregnant woman #2 (21 years old, 28 weeks gestation).", "Details are discussed in Example 4.DETAILED DESCRIPTION OF THE INVENTION Methods are described for measuring the amount of one or more kisspeptin-54-derived peptides in a sample.", "More specifically, mass spectrometric methods are described for detecting and quantifying one or more kisspeptin-54-derived peptides in a sample.", "The methods may utilize solid phase extraction and/or liquid chromatography, to perform a purification of selected analytes, combined with methods of mass spectrometry (MS), thereby providing a high-throughput assay system for detecting and quantifying kisspeptin-54-derived peptides in a sample.", "The preferred embodiments are particularly well suited for application in large clinical laboratories for automated kisspeptin-54-derived peptide quantification assay.", "Suitable test samples for use in methods of the present invention include any test sample that may contain the analyte of interest.", "In some preferred embodiments, a sample is a biological sample; that is, a sample obtained from any biological source, such as an animal, a cell culture, an organ culture, etc.", "In certain preferred embodiments, samples are obtained from a mammalian animal, such as a dog, cat, horse, etc.", "Particularly preferred mammalian animals are primates, most preferably male or female humans.", "Preferred samples comprise bodily fluids such as blood, plasma, serum, saliva, cerebrospinal fluid, or tissue samples; preferably plasma and serum.", "Such samples may be obtained, for example, from a patient; that is, a living person, male or female, presenting oneself in a clinical setting for diagnosis, prognosis, or treatment of a disease or condition, such as preeclampsia.", "In embodiments where the sample comprises a biological sample, the methods may be used to determine the amount of one or more kisspeptin-54-derived peptides in the sample when the sample was obtained from the biological source (i.e., the amount of one or more endogenous kisspeptin-54-derived peptides in the sample).", "Kisspeptin-54-derived peptides may be quantitated in patient samples from a pregnant female subject in order to diagnose or predict risk of developing preeclampsia.", "In diagnosing or predicting risk of preeclampsia, levels of kisspeptin-54-derived peptides from the patient sample are compared to normal levels in pregnant female samples.", "Elevated levels of kisspeptin-54-derived peptides in a patient sample relative to normal levels indicates the presence of or risk of developing preeclampsia.", "The present invention also contemplates kits for a kisspeptin-54-derived peptide quantitation assay.", "A kit for a kisspeptin-54-derived peptide quantitation assay may include a kit comprising the compositions provided herein.", "For example, a kit may include packaging material and measured amounts of an isotopically labeled internal standard, in amounts sufficient for at least one assay.", "Typically, the kits will also include instructions recorded in a tangible form (e.g., contained on paper or an electronic medium) for using the packaged reagents for use in a kisspeptin-54-derived peptide quantitation assay.", "Calibration and QC pools for use in embodiments of the present invention are preferably prepared using a matrix similar to the intended sample matrix, provided that the kisspeptin-54-derived peptide is essentially absent.", "Sample Preparation for Mass Spectrometric Analysis In some embodiments, samples are acidified prior to analysis.", "Internal standard may be added to the samples prior or subsequent to acidification.", "In preparation for mass spectrometric analysis, kisspeptin-54-derived peptides may be enriched relative to one or more other components in the sample (e.g.", "protein) by various methods known in the art, including for example, liquid chromatography, filtration, centrifugation, thin layer chromatography (TLC), electrophoresis including capillary electrophoresis, affinity separations including immunoaffinity separations, extraction methods including ethyl acetate or methanol extraction, and the use of chaotropic agents or any combination of the above or the like.", "Protein precipitation is one method of preparing a test sample, especially a biological test sample, such as serum or plasma.", "Protein purification methods are well known in the art, for example, Polson et al., Journal of Chromatography B 2003, 785:263-275, describes protein precipitation techniques suitable for use in methods of the present invention.", "Protein precipitation may be used to remove most of the protein from the sample leaving kisspeptin-54-derived peptides in the supernatant.", "The samples may be centrifuged to separate the liquid supernatant from the precipitated proteins; alternatively the samples may be filtered to remove precipitated proteins.", "The resultant supernatant or filtrate may then be applied directly to mass spectrometry analysis; or alternatively to further purification steps, such as liquid chromatography, and subsequent mass spectrometry analysis.", "In certain embodiments, the use of protein precipitation such as, for example, formic acid protein precipitation, may obviate the need for TFLC or other on-line extraction prior to mass spectrometry or HPLC and mass spectrometry.", "Another method of sample purification that may be used prior to mass spectrometry is liquid chromatography (LC).", "Certain methods of liquid chromatography, including HPLC, rely on relatively slow, laminar flow technology.", "Traditional HPLC analysis relies on column packing in which laminar flow of the sample through the column is the basis for separation of the analyte of interest from the sample.", "The skilled artisan will understand that separation in such columns is a partition process and may select LC, including HPLC, instruments and columns that are suitable for use with kisspeptin-54-derived peptides.", "The chromatographic column typically includes a medium (i.e., a packing material) to facilitate separation of chemical moieties (i.e., fractionation).", "The medium may include minute particles.", "The particles typically include a bonded surface that interacts with the various chemical moieties to facilitate separation of the chemical moieties.", "One suitable bonded surface is a hydrophobic bonded surface such as an alkyl bonded or a cyano bonded surface.", "Alkyl bonded surfaces may include C-4, C-8, C-12, or C-18 bonded alkyl groups.", "In preferred embodiments, the column is a C-18 column.", "The chromatographic column includes an inlet port for receiving a sample and an outlet port for discharging an effluent that includes the fractionated sample.", "The sample may be supplied to the inlet port directly, or from a SPE column, such as an on-line extraction column or a TFLC column.", "In some embodiments, an on-line filter may be used ahead of the SPE column and or HPLC column to remove particulates and phospholipids in the samples prior to the samples reaching the SPE and/or HPLC columns.", "In preferred embodiments, a 0.2 μm or 0.45 μm micro-spin cellulose acetate delipidation filter is used as such an off-line filter.", "In one embodiment, the sample may be applied to the LC column at the inlet port, eluted with a solvent or solvent mixture, and discharged at the outlet port.", "Different solvent modes may be selected for eluting the analyte(s) of interest.", "For example, liquid chromatography may be performed using a gradient mode, an isocratic mode, or a polytypic (i.e.", "mixed) mode.", "During chromatography, the separation of materials is effected by variables such as choice of eluent (also known as a “mobile phase”), elution mode, gradient conditions, temperature, etc.", "In certain embodiments, an analyte may be purified by applying a sample to a column under conditions where the analyte of interest is reversibly retained by the column packing material, while one or more other materials are not retained.", "In these embodiments, a first mobile phase condition can be employed where the analyte of interest is retained by the column, and a second mobile phase condition can subsequently be employed to remove retained material from the column, once the non-retained materials are washed through.", "Alternatively, an analyte may be purified by applying a sample to a column under mobile phase conditions where the analyte of interest elutes at a differential rate in comparison to one or more other materials.", "Such procedures may enrich the amount of one or more analytes of interest relative to one or more other components of the sample.", "In one preferred embodiment, HPLC is conducted with a polar embedded analytical column chromatographic system.", "In certain preferred embodiments, a C-18 BEH (ethylene bridged hybrid) analytical column (e.g., an XBridge C18 BEH analytical column from Waters Inc. (2.5×50 mm, 5 μm particle size), or equivalent) is used.", "In certain preferred embodiments, HPLC is performed using HPLC Grade 0.2% aqueous formic acid as solvent A, and 0.2% formic acid in acetonitrile as solvent B.", "By careful selection of valves and connector plumbing, two or more chromatography columns may be connected as needed such that material is passed from one to the next without the need for any manual steps.", "In preferred embodiments, the selection of valves and plumbing is controlled by a computer pre-programmed to perform the necessary steps.", "Most preferably, the chromatography system is also connected in such an on-line fashion to the detector system, e.g., an MS system.", "Thus, an operator may place a tray of samples in an autosampler, and the remaining operations are performed under computer control, resulting in purification and analysis of all samples selected.", "In some embodiments, TFLC may be used for purification of kisspeptin-54-derived peptides prior to mass spectrometry.", "In such embodiments, samples may be extracted using a TFLC column which captures the analyte.", "The analyte is then eluted and transferred on-line to an analytical HPLC column.", "For example, sample extraction may be accomplished with a TFLC extraction cartridge may be accomplished with a large particle size (e.g., 25 μm or larger, such as 50 μm, particle size) packed column.", "Sample eluted off of this column is then transferred on-line to an HPLC analytical column for further purification prior to mass spectrometry.", "Because the steps involved in these chromatography procedures may be linked in an automated fashion, the requirement for operator involvement during the purification of the analyte can be minimized.", "This feature may result in savings of time and costs, and eliminate the opportunity for operator error.", "In some embodiments, the methods include immunopurifying kisspeptin-54-derived peptides prior to analysis.", "The immunopurification step may be performed using any of the immunopurification methods well known in the art.", "Often the immunopurification procedure utilizes antibodies bound, conjugated, immobilized or otherwise attached to a solid support, for example a column, well, tube, capsule, particle or the like.", "Generally, immunopurification methods involve (1) incubating a sample containing the analyte of interest with antibodies such that the analyte binds to the antibodies, (2) performing one or more washing steps, and (3) eluting the analyte from the antibodies.", "In certain embodiments the incubation step of the immunopurification is performed with the antibodies free in solution and the antibodies are subsequently bound or attached to a solid surface prior to the washing steps.", "In certain embodiments this can be achieved using a primary antibody that is an anti-kisspeptin-54-derived peptide antibody and a secondary antibody attached to a solid surface that has an affinity to the primary anti-kisspeptin-54-derived peptide antibody.", "In alternative embodiments, the primary antibody is bound to the solid surface prior to the incubation step.", "Appropriate solid supports include without limitation tubes, slides, columns, beads, capsules, particles, gels, and the like.", "In some preferred embodiments, the solid support is a multi-well plate, such as, for example, a 96 well plate, a 384-well plate or the like.", "In certain preferred embodiments the solid support are sepharose or agarose beads or gels.", "There are numerous methods well known in the art by which antibodies (for example, an anti-kisspeptin-54-derived peptide antibody or a secondary antibody) may be bound, attached, immobilized or coupled to a solid support, e.g., covalent or non-covalent linkages adsorption, affinity binding, ionic linkages and the like.", "In some embodiments antibodies are coupled using CNBr, for example the antibodies may be coupled to CNBr activated sepharose.", "In other embodiments, the antibody is attached to the solid support through an antibody binding protein such as protein A, protein G, protein A/G, or protein L. The washing step of the immunopurification methods generally involve washing the solid support such that one or more kisspeptin-54-derived peptides remain bound to the anti-kisspeptin-54-derived peptide antibodies on the solid support.", "The elution step of the immunopurification generally involves the addition of a solution that disrupts the binding of kisspeptin-54-derived peptides to the anti-kisspeptin-54-derived peptide antibodies.", "Exemplary elution solutions include organic solutions (such as ethanol), salt solutions, and high or low pH solutions.", "In some embodiments, immunopurification is performed using immunoparticles having anti-kisspeptin-54-derived peptide antibodies.", "In certain preferred embodiments the test sample possibly containing one or more kisspeptin-54-derived peptides and the immunoparticles are mixed in a tube for incubation and binding of kisspeptin-54-derived peptides to the anti-kisspeptin-54-derived peptide antibodies attached to the immunoparticles; the tube is centrifuged leaving the immunoparticles in a pellet; the supernatant is removed; the immunoparticles are washed one or more times by adding a solution to the pellet and recentrifuging; and the bound kisspeptin-54-derived peptides are eluted by adding an elution solution to the immunoparticles, the tube is centrifuged leaving the immunoparticles in a pellet; and the supernatant containing kisspeptin-54-derived peptides is collected.", "In related preferred embodiments, the immunopurification is performed using a column or cartridge that contains immunoparticles having anti-kisspeptin-54-derived peptide antibodies.", "Preferably, the such column or cartridge is configured and arranged in a manner to allow solutions to flow through while keeping the immunoparticles contained therein.", "In certain preferred embodiments, the solution is forced through the column or cartridge by gravity, centrifugation or pressure.", "The use of columns may improve the ease of performing the incubation, washing and elution steps.", "In some embodiments, the immunopurification may be performed by affinity chromatography; preferably automated affinity chromatography.", "It has been found that kisspeptin-54 in patient samples (i.e., serum or plasma) potentially degrades at the C-terminus to form shorter kisspeptin-54-derived peptides such as KP-53 and KP-52.This degradation, if not controlled or accounted for, could result in erroneously low analytical results.", "For example, radioimmunoassays which rely on binding of the C-terminus of KP-54 would not account for that portion of KP-54 originally in the patient sample which has since degraded into KP-53 or KP-52.Thus, one aspect of the present invention utilizes antibodies specific for the N-terminus of kisspeptin-54-derived peptides for immunopurification and/or immunoassay.", "By using N-terminus specific antibodies, KP-54 and its degradation products K-53 and K-52 are captured for further analysis.", "Alternatively or in addition, patient samples may be treated with one or more agents or subject to physical conditions which inhibit or prevent KP-54 degradation prior to KP-54 purification and analysis.", "For example, patient serum or plasma samples may be acidified, such as with formic acid, to inhibit or prevent degradation.", "Alternatively, patient serum or plasma samples may be subject to any protein precipitation technique known in the art (such as treatment with methanol) to inhibit or prevent degradation.", "Regardless of purification methods employed and/or steps taken to reduce or inhibit KP-54 degradation, methods of analyzing patient samples may be employed which account for KP-54 degradation by simultaneously analyzing the sample for other kisspeptin-54-derived peptides.", "Specifically, patient samples can be simultaneously analyzed for KP-54, KP-53, and KP-52 (or their proline variants).", "The combined amounts of KP-54 and one or both of KP-53 and KP-52 may provide a more diagnostically useful indication of KP-54 originally present in the patient sample.", "Detection and Quantitation by Mass Spectrometry In various embodiments, kisspeptin-54-derived peptides may be ionized by any method known to the skilled artisan.", "Mass spectrometry is performed using a mass spectrometer, which includes an ion source for ionizing the fractionated sample and creating charged molecules for further analysis.", "For example ionization of the sample may be performed by electron ionization, chemical ionization, electrospray ionization (ESI), photon ionization, atmospheric pressure chemical ionization (APCI), photoionization, atmospheric pressure photoionization (APPI), Laser diode thermal desorption (LDTD), fast atom bombardment (FAB), liquid secondary ionization (LSI), matrix assisted laser desorption ionization (MALDI), field ionization, field desorption, thermospray/plasmaspray ionization, surface enhanced laser desorption ionization (SELDI), inductively coupled plasma (ICP) and particle beam ionization.", "The skilled artisan will understand that the choice of ionization method may be determined based on the analyte to be measured, type of sample, the type of detector, the choice of positive versus negative mode, etc.", "A kisspeptin-54-derived peptide may be ionized in positive or negative mode.", "In some embodiments, kisspeptin-54-derived peptides are ionized by ESI (such as by heated ESI) in positive mode.", "In mass spectrometry techniques generally, after the sample has been ionized, the positively or negatively charged ions thereby created may be analyzed to determine a mass-to-charge ratio.", "Suitable analyzers for determining mass-to-charge ratios include quadrupole analyzers, ion traps analyzers, and time-of-flight analyzers.", "Exemplary ion trap methods are described in Bartolucci, et al., Rapid Commun.", "Mass Spectrom.", "2000, 14:967-73.The ions may be detected using several detection modes.", "For example, selected ions may be detected, i.e.", "using a selective ion monitoring mode (SIM), or alternatively, mass transitions resulting from collision induced dissociation or neutral loss may be monitored, e.g., multiple reaction monitoring (MRM) or selected reaction monitoring (SRM).", "Preferably, the mass-to-charge ratio is determined using a quadrupole analyzer.", "For example, in a “quadrupole” or “quadrupole ion trap” instrument, ions in an oscillating radio frequency field experience a force proportional to the DC potential applied between electrodes, the amplitude of the RF signal, and the mass/charge ratio.", "The voltage and amplitude may be selected so that only ions having a particular mass/charge ratio travel the length of the quadrupole, while all other ions are deflected.", "Thus, quadrupole instruments may act as both a “mass filter” and as a “mass detector” for the ions injected into the instrument.", "One may enhance the resolution of the MS technique by employing “tandem mass spectrometry,” or “MS/MS”.", "In this technique, a precursor ion (also called a parent ion) generated from a molecule of interest can be filtered in an MS instrument, and the precursor ion subsequently fragmented to yield one or more fragment ions (also called daughter ions or product ions) that are then analyzed in a second MS procedure.", "By careful selection of precursor ions, only ions produced by certain analytes are passed to the fragmentation chamber, where collisions with atoms of an inert gas produce the fragment ions.", "Because both the precursor and fragment ions are produced in a reproducible fashion under a given set of ionization/fragmentation conditions, the MS/MS technique may provide an extremely powerful analytical tool.", "For example, the combination of filtration/fragmentation may be used to eliminate interfering substances, and may be particularly useful in complex samples, such as biological samples.", "Alternate modes of operating a tandem mass spectrometric instrument include product ion scanning and precursor ion scanning.", "For a description of these modes of operation, see, e.g., E. Michael Thurman, et al., Chromatographic-Mass Spectrometric Food Analysis for Trace Determination of Pesticide Residues, Chapter 8 (Amadeo R. Fernandez-Alba, ed., Elsevier 2005) (387).", "The results of an analyte assay may be related to the amount of the analyte in the original sample by numerous methods known in the art.", "For example, given that sampling and analysis parameters are carefully controlled, the relative abundance of a given ion may be compared to a table that converts that relative abundance to an absolute amount of the original molecule.", "Alternatively, external standards may be run with the samples, and a standard curve constructed based on ions generated from those standards.", "Using such a standard curve, the relative abundance of a given ion may be converted into an absolute amount of the original molecule.", "In certain preferred embodiments, an internal standard is used to generate a standard curve for calculating the quantity of a kisspeptin-54-derived peptide.", "Methods of generating and using such standard curves are well known in the art and one of ordinary skill is capable of selecting an appropriate internal standard.", "For example, in preferred embodiments one or more forms of isotopically labeled kisspeptin-54-derived peptides may be used as internal standards.", "Numerous other methods for relating the amount of an ion to the amount of the original molecule will be well known to those of ordinary skill in the art.", "As used herein, an “isotopic label” produces a mass shift in the labeled molecule relative to the unlabeled molecule when analyzed by mass spectrometric techniques.", "Examples of suitable labels include deuterium (2H), 13C, and 15N.", "One or more isotopic labels can be incorporated at one or more positions in the molecule and one or more kinds of isotopic labels can be used on the same isotopically labeled molecule.", "One or more steps of the methods may be performed using automated machines.", "In certain embodiments, one or more purification steps are performed on-line, and more preferably all of the purification and mass spectrometry steps may be performed in an on-line fashion.", "In certain embodiments, such as MS/MS, where precursor ions are isolated for further fragmentation, collision activated dissociation (CAD) is often used to generate fragment ions for further detection.", "In CAD, precursor ions gain energy through collisions with an inert gas, and subsequently fragment by a process referred to as “unimolecular decomposition.” Sufficient energy must be deposited in the precursor ion so that certain bonds within the ion can be broken due to increased vibrational energy.", "In particularly preferred embodiments, one or more kisspeptin-54-derived peptides in a sample are detected and/or quantified using MS/MS as follows.", "Samples are preferably subjected to SPE, then subjected to liquid chromatography, preferably HPLC; the flow of liquid solvent from a chromatographic column enters the heated nebulizer interface of an MS/MS analyzer; and the solvent/analyte mixture is converted to vapor in the heated charged tubing of the interface.", "During these processes, one or more analytes (i.e., one or more kisspeptin-54-derived peptides) are analyzed.", "The ions, e.g.", "precursor ions, from a kisspeptin-54-derived peptide pass through the orifice of the instrument and enter the first quadrupole.", "Quadrupoles 1 and 3 (Q1 and Q3) are mass filters, allowing selection of ions (i.e., selection of “precursor” and “fragment” ions in Q1 and Q3, respectively) based on their mass to charge ratio (m/z).", "Quadrupole 2 (Q2) is the collision cell, where ions are fragmented.", "The first quadrupole of the mass spectrometer (Q1) selects for molecules with the mass to charge ratios of a kisspeptin-54-derived peptide.", "Precursor ions with the correct mass/charge ratios are allowed to pass into the collision chamber (Q2), while unwanted ions with any other mass/charge ratio collide with the sides of the quadrupole and are eliminated.", "Precursor ions entering Q2 collide with neutral argon gas molecules and fragment.", "The fragment ions generated are passed into quadrupole 3 (Q3), where the fragment ions of a kisspeptin-54-derived peptide are selected while other ions are eliminated.", "This process can be repeated as often as necessary to analyze as many kisspeptin-54-derived peptides as desired.", "Using standard methods well known in the art, one of ordinary skill is capable of identifying one or more fragment ions of a particular precursor ion of a kisspeptin-54-derived peptide that may be used for selection in quadrupole 3 (Q3).", "As ions collide with the detector they produce a pulse of electrons that are converted to a digital signal.", "The acquired data is relayed to a computer, which plots counts of the ions collected versus time.", "The resulting mass chromatograms are similar to chromatograms generated in traditional HPLC-MS methods.", "The areas under the peaks corresponding to particular ions, or the amplitude of such peaks, may be measured and correlated to the amount of the analyte of interest.", "In certain embodiments, the area under the curves, or amplitude of the peaks, for fragment ion(s) and/or precursor ions are measured to determine the amount of a kisspeptin-54-derived peptide.", "As described above, the relative abundance of a given ion may be converted into an absolute amount of the original analyte using calibration standard curves based on peaks of one or more ions of an internal molecular standard.", "The following Examples serve to illustrate the invention.", "These Examples are in no way intended to limit the scope of the methods.", "EXAMPLES Example 1: Sample Preparation A 100 μL serum or EDTA plasma patient sample was mixed with 300 μL of methanol containing isotope labeled kisspeptin-54 as an internal standard.", "The protein precipitate was removed via centrifugation and the supernatant was subjected to LC-MS and LC-MS/MS as described in the following Examples.", "Example 2: Extraction of Kisspeptin-54-Derived Peptides from Samples Using Liquid Chromatography The on-line chromatographic separation of kisspeptin-54 and other kisspeptin-54-derived peptides from matrix components was performed with a Cohesive Technologies Aria TX-4 high throughput liquid chromatography (HTLC) system using Aria OS V 1.5 or newer software].", "The analytes and internal standard were isolated from the serum extract using a Waters HLB C18 (2.1×20 mm, 25 μm particle size) solid phase extraction cartridge.", "After injection of the extract, the cartridge was washed with 90% solvent A (water+0.2% formic acid) and 10% solvent B (acetonitrile+0.2% formic acid).", "The extraction cartridge retained kisspeptin-54 while ions and very polar molecules flowed through.", "The analytes were then back-flushed off the extraction cartridge over the analytical column using a pulse of 45% solvent A/55% solvent B, and 100 μL of the eluent was subjected to HPLC for analytical separation.", "Analytical separation was performed with a Waters X-Bridge C18 BEH analytical column (2.5×50 mm, 5 μm particle size) using a fast, multiphase gradient of increasing solvent B in solvent A.", "The HPLC gradient started with a 5% organic modifier which was ramped to 35% in approximately 180 seconds.", "The separated analytes were then subjected to single and tandem mass spectrometry for identification and quantitation of kisspeptin-54-derived peptides.", "Example 3: Detection of Kisspeptin-54-Derived Peptides by MS and MS/MS MS was performed using a Thermo-Fisher TSQ Quantum Ultra triple quadrupole.", "Ionization was conducted with a heated electrospray ionization (HESI) probe.", "Multiply charged ions of several kisspeptin-54-derived peptides were observed.", "Single MS spectra showing multiply charged kisspeptin-54-related peptide ions are seen in FIG.", "2 (KP-54), FIG.", "5 (KP-54 (proline variant)), FIG.", "8 (KP-53), and FIG.", "12 (KP-52), respectively.", "Several major ions, several of which are seen in these figures, are compiled in Table 1.TABLE 1 Exemplary ions observed for several Kisspeptin- 54-derived peptides (positive polarity) Peptide Charge state Ion (m/z) KP-54 (FIG.", "1) 5+ 1172.4 ± 0.50 6+ 977.01 ± 0.50 7+ 837.50 ± 0.50 KP-54(R14P) (FIG.", "4) 4+ 1450.49 ± 0.50 5+ 1160.60 ± 0.50 6+ 967.33 ± 0.50 KP-53 (FIG.", "7) 5+ 1143.19 ± 0.50 6+ 952.66 ± 0.50 7+ 816.85 ± 0.50 KP-52 (FIG.", "11) 4+ 1389.46 ± 0.50 5+ 1111.97 ± 0.50 6+ 926.64 ± 0.50 Various multiply charged precursor ions from each of the above listed kisspeptin-54-derived peptides were further subjected to MS/MS resulting in a plurality of fragment ions at various charge states.", "For KP-54, 6+ and 7+ precursor ions were fragmented, with exemplary MS/MS spectra seen in FIGS.", "3 and 4.For KP-54 (proline variant), 5+ and 6+ precursor ions were fragmented, with exemplary MS/MS spectra seen in FIGS.", "6 and 7.For KP-53, 5+, 6+, and 7+ precursor ions were fragmented, with exemplary MS/MS spectra seen in FIGS.", "9, 10, and 11.For KP-52, 5+ and 6+ precursor ions were fragmented, with exemplary MS/MS spectra seen in FIGS.", "13 and 14.Additionally, isotopically labeled KP-54 were ionized and fragmented as discussed above (spectra not shown).", "The m/z ratios of several of the observed major fragment ions are compiled and listed in Table 2.TABLE 2 Exemplary fragment ions observed for several Kisspeptin- 54-derived peptides (positive polarity) Exemplary Precursor Fragment Peptide Ion (m/z) Ions (m/z) KP-54 977.0 ± 0.50 1083.6 ± 0.50 (FIG.", "2) 903.1 ± 0.50 837.7 ± 0.50 902.8 ± 0.50 (FIG.", "3) KP-54(R14P) 1160.6 ± 0.50 1071.6 ± 0.50 (FIG.", "5) 967.3 ± 0.50 1071.6 ± 0.50 (FIG.", "6) KP-53 1143.0 ± 0.50 1053.9 ± 0.50 (FIG.", "8) 952.8 ± 0.50 1054.1 ± 0.50 (FIG.", "9) 878.5 ± 0.50 816.7 ± 0.50 878.3 ± 0.50 (FIG.", "10) KP-52 1111.9 ± 0.50 1278.2 ± 0.50 (FIG.", "12) 1022.9 ± 0.50 926.8 ± 0.50 1022.9 ± 0.50 (FIG.", "13) Example 4: Detection and Quantitation of Kisspeptin-54-Derived Peptides by Tandem MS MS/MS was performed using a Thermo-Fisher TSQ Quantum Ultra triple quadrupole MS/MS system equipped with a heated electrospray ionization (HESI) probe (Thermo Electron Corporation).", "The following software programs, all from Thermo Electron, were used in the Examples described herein: TSQ Ultra Quantum V 1.4.1 or newer, Xcalibur V 2.0 or newer, and LCQuan V 2.5 or newer.", "Liquid solvent/analyte exiting the analytical column flowed to the heated nebulizer interface of the MS/MS analyzer.", "The solvent/analyte mixture was converted to vapor in the heated tubing of the interface.", "Analytes were ionized by HESI in positive polarity.", "Kisspeptin-54-derived peptide ions passed to the first quadrupole (Q1), which selected KP-54 ions with a m/z of 977.0±0.5, KP-53 ions with a m/z of 952.9±0.5, KP-52 ions with a m/z of 926.9±0.5, or isotopically labeled KP-54 (IS) ions with a m/z of 980.3±0.5.Ions entering quadrupole 2 (Q2) collided with argon gas (at a collision cell energy of 28 V) to generate ion fragments, which were passed to quadrupole 3 (Q3) for further selection.", "The following mass transitions were used for detection and quantitation during validation on positive polarity.", "TABLE 3 Exemplary mass transitions used for quantitation of kisspeptin-54-derived peptides (positive polarity) Precursor Product Analyte Ion (m/z) Ions (m/z) KP 1-52 926.9 1022.9 KP 1-53 952.9 1054.2 KP 1-54 977.0 1083.6 KP 1-54(IS) 980.3 1087.3 The mass transitions listed in Table 3 are provided as examples only.", "Additional precursor/product ion pairs may be selected (for example from ions seen in FIGS.", "2 to 14) to replace or augment the pairs shown in Table 3.Exemplary mass chromatograms for the simultaneous quantitation of KP-52, KP-53, KP-54, and KP-54(IS) from analysis of two patient samples are shown in FIGS.", "15 (patient #1-28 years old, 25 weeks gestation, serum) and 16 (patient #1-28 years old, 25 weeks gestation, EDTA plasma), 17 (patient #2-21 years old, 25 weeks gestation, serum), and 18 (patient #2-21 years old, 25 weeks gestation, EDTA plasma).", "A comparison of the mass chromatograms from serum versus EDTA plasma samples shows that serum samples are more susceptible to formation of shorter forms of kisspeptin-54 derived peptides.", "Example 5: Enrichment of Kisspeptin-54-Derived Peptides by Immunoassay In this Example, initial patient samples are enriched by capture and extraction of kisspeptin-54-derived peptides with antibodies highly specific for the N-terminal portion of Kisspeptin.", "KP-54 has been found to degrade in samples at the C-terminus, thus allowing use of N-terminal specific antibodies for capture and enrichment of kisspeptin-54-derived peptides, including KP-54, KP-53, KP-52, and isotopic and chemically modified variants thereof.", "Once captured on the N-terminus specific antibody, residual sample components are washed, and the captured peptides eluted for later analysis by any method known in the art, including mass spectrometric analysis as described above.", "The contents of the articles, patents, and patent applications, and all other documents and electronically available information mentioned or cited herein, are hereby incorporated by reference in their entirety to the same extent as if each individual publication was specifically and individually indicated to be incorporated by reference.", "Applicants reserve the right to physically incorporate into this application any and all materials and information from any such articles, patents, patent applications, or other physical and electronic documents.", "The methods illustratively described herein may suitably be practiced in the absence of any element or elements, limitation or limitations, not specifically disclosed herein.", "Thus, for example, the terms “comprising”, “including,” containing”, etc.", "shall be read expansively and without limitation.", "Additionally, the terms and expressions employed herein have been used as terms of description and not of limitation, and there is no intention in the use of such terms and expressions of excluding any equivalents of the features shown and described or portions thereof.", "It is recognized that various modifications are possible within the scope of the invention claimed.", "Thus, it should be understood that although the present invention has been specifically disclosed by preferred embodiments and optional features, modification and variation of the invention embodied therein herein disclosed may be resorted to by those skilled in the art, and that such modifications and variations are considered to be within the scope of this invention.", "The invention has been described broadly and generically herein.", "Each of the narrower species and subgeneric groupings falling within the generic disclosure also form part of the methods.", "This includes the generic description of the methods with a proviso or negative limitation removing any subject matter from the genus, regardless of whether or not the excised material is specifically recited herein.", "Other embodiments are within the following claims.", "In addition, where features or aspects of the methods are described in terms of Markush groups, those skilled in the art will recognize that the invention is also thereby described in terms of any individual member or subgroup of members of the Markush group." ] ]
Patent_15875850
[ [ "ADJUVANT AND VACCINE COMPOSITIONS", "Methods are provided for preparing and delivering an adjuvant for vaccines including lecithin, polymer and one or more additives.", "The polymer is preferably polyacrylic acid-based.", "The additive is preferably one or more of a glycoside and a sterol.", "The method of preparation includes hydrating lecithin and a polymer in saline or water and mixing the lecithin and polymer to form the adjuvant.", "Additives can be included prior to or after hydration of the lecithin and polymer." ], [ "1.An adjuvant composition comprising a lecithin, a polymer, Quil A and cholesterol wherein the lecithin to polymer ratio is 1:10 to 10:1 by weight and wherein the weight of the Quil A and cholesterol combined is up to about 10% of the weight of the lecithin and polymer combined.", "2.The adjuvant composition of claim 1 wherein the polymer is an acrylic polymer selected from the group consisting of polyacrylic acid, methacrylic acid, methacrylate, acrylamide, acrylate, poly (acrylamide-co butyl, methacrylate), acrylic-methacrylic acid, acrylic-acrylamide, poly (methacrylate) and alkyl-esters of poly acrylic acid.", "3.The adjuvant composition of claim 1 further comprising an antigen.", "4.The adjuvant composition of claim 3 wherein the antigen is DNA based.", "5.The adjuvant composition of claim 1 further comprising calcium phosphate.", "6.An adjuvant composition consisting of a lecithin, a polymer, Quil A and cholesterol, wherein the lecithin to polymer ratio is 1:10 to 10:1 by weight and wherein the weight of the Quil A and cholesterol combined is up to about 10% of the weight of the lecithin and polymer combined.", "7.The adjuvant composition of claim 6 wherein the polymer is an acrylic polymer.", "8.A vaccine composition consisting of a lecithin, a polymer, a glycoside, a sterol, and a DNA based antigen, wherein the lecithin to polymer ratio is 1:10 to 10:1 by weight and wherein the weight of the glycoside and sterol combined is up to about 10% of the weight of the lecithin and polymer combined.", "9.A vaccine composition consisting of a lecithin, a polymer, a glycoside, a sterol, a DNA based antigen and calcium phosphate, wherein the lecithin to polymer ratio is 1:10 to 10:1 by weight and wherein the weight of the glycoside and sterol combined is up to about 10% of the weight of the lecithin and polymer combined.", "10.A method for preparing a vaccine comprising: combining a dry lecithin, an acrylic polymer, a glycoside and a sterol, wherein the dry lecithin to acrylic polymer are at a ratio of 1:10 to 10:1 by weight and wherein the weight of the glycoside and sterol combined is up to about 10% of the weight of the lecithin and polymer combined; suspending the combined lecithin, acrylic polymer, glycoside and sterol in saline or water; and mixing the lecithin, acrylic polymer, glycoside and sterol sufficiently to form a netlike structure; wherein an antigen is added before or after suspension of the dry lecithin, acrylic polymer, glycoside and sterol such that a vaccine is formed and wherein the vaccine is used to elicit an immune response against an infectious agent, cancer, hormone, or autoimmune disease; and wherein the glycoside is Quil A and the sterol is cholesterol.", "11.The method of claim 10 wherein the antigen is DNA based and further comprising addition of calcium phosphate prior to or after mixing of the lecithin, acrylic polymer, glycoside and sterol." ], [ "<SOH> BACKGROUND <EOH>Mucosal delivery of vaccines has been underutilized because of the problems associated with effectively delivering the vaccine antigens to the mucosal surface and to the underlying mucosal lymphoid tissue.", "Since mucosal surfaces are the port of entry of the majority of the infectious agents (Sabin, A.", "B., Vaccination at the portal of entry of infectious agents.", "Dev Biol Stand 33:3-9, 1976) it is important to the health of an animal to have developed a strong protective antibody and cell-mediated immune response at the portal of entry.", "This is best done with an adjuvant and delivery system that targets vaccine antigens to either the mucous membranes of the oral cavity, gut, nose, rectum, or vagina.", "Because this is not commonly done with an injectable vaccine, it would be advantageous to have a vaccine adjuvant delivery composition that would adsorb the vaccine onto the mucosal surface, and then, following absorption, be brought in contact with mucosal-associated lymphoid tissue.", "For example, oral administration of a vaccine against a gut pathogen may engender a stronger immune response against such pathogens by eliciting the production of secretory immunoglobulin A antibodies at the mucosal site.", "This happens when the vaccine is presented to the gut-associated lymphoid tissue (O'Hagen, D, Oral Delivery of Vaccines: Formulation and Clinical Pharmacokinetic Considerations 1992, Clin.", "Pharmacokinet.", "22 (1): 1-10).", "Likewise, administration of vaccine against an upper respiratory pathogen may be most effective if delivered to the mucosal-associated lymphoid tissue in the oral cavity or nasal passages.", "Interestingly, administration of antigens induces a mucosal immune response not only at the site of antigen application, for example the oral mucosa, but also at other mucosal sites such as the nasal mucosal (Mestecky, J I, The Common Mucosal Immune System and Current Strategies for Induction of Immune Responses in External Secretions.", "J Clin Immunol.", "7 (4): 265-76).", "Vaccinating large numbers of animals, such as cattle, swine and poultry, is extremely labor intensive and expensive.", "Each individual animal has to be handled at the time of vaccination in order to inject the animal with the vaccine.", "Most often the vaccine must be administered to the animal at least twice, and sometimes three or more times.", "It would be advantageous in terms of time and expense if the vaccine could be administered, simultaneously, with feed or water to a large number of animals.", "Another advantage of targeting the vaccine to mucosal surfaces is that the vaccine can stimulate a protective immune response in the presence of circulating antibody that interferes with parenterally injected vaccines (Periwal, S B, et.", "al., Orally administered microencapsulated reovirus can bypass suckled, neutralizing maternal antibody that inhibits active immunization of neonates.", "J Virol 1997 (April 71(4): 2844-50)).", "Adjuvant systems to enhance an animal's immune response to a vaccine antigen are well known.", "Likewise, systems for the delivery of vaccine and drugs to mucosal surfaces are known.", "Different methods have been described to protect the vaccine antigen and drugs from degradation by stomach acid and digestive enzymes and to adsorb the antigen to the mucosal surface.", "Often these adjuvants and delivery systems include mixing the antigen with one or more components.", "Exemplary adjuvants include the following: U.S. Pat.", "No.", "4,917,892, Speaker et al, issued Apr.", "17, 1990, describes a topical delivery system comprising a viscous carrier containing a dissolved or dispersed active agent and active agent microencapsulated within a semi permeable anisotropic salt film which is the emulsion reaction product of a) a partially lipophilic, partially hydrophilic, polyfunctional Lewis acid or salt thereof in aqueous medium, such as carboxymethylcellulose, an alkali metal salt of polyacrylic acid or cross linked polyacrylic acid/polyoxyethylene, with b) a Lewis base or salt thereof in a water-immiscible, slightly polar organic solvent for the base, such as benzalkonium chloride, and piperidine.", "U.S. Pat.", "No.", "5,132,117, Speaker et al., issued Jul.", "21, 1992, discloses a microcapsule with an aqueous core, capsular, ionic stabilized anisotropic Lewis salt membrane formed from the interfacial reaction product of an emulsion of an aqueous solution of a water-soluble, hydrophilic polymeric Lewis acid or salt thereof with a non-aqueous solution of a lipophilic Lewis base or salt thereof.", "The Lewis base may be stearylamine, piperidine, or benzalkonium chloride and the Lewis acid may be carboxymethylcellulose, polyacrylic acid, or polyacrylic acid/polyoxyethylene copolymer, for example.", "U.S. Pat.", "No.", "4,740,365, Yukimatsu et al., issued Apr.", "26, 1988 describes a sustained-release preparation applicable to mucous membranes in the oral cavity.", "The preparation consists of an active ingredient in a mixture of a polymer component (A) comprising one or more polymers selected from polyvinylpyrrolidone, polyvinyl alcohol, polyethylene glycol, alginic acid or a salt thereof, and an alternating copolymer of maleic anhydride and methyl vinyl ether and a polymer component (B) comprising one or more polymers selected from polyacrylic acid and a salt thereof.", "Polymer component (A) and (B) are in a ratio of 95:5 to 5:95 by weight.", "The preparation is layered with the active ingredient and may have optional conventional carriers and additives.", "U.S. Pat.", "No.", "5,451,411, Gombotz et al., issued Sep. 19, 1995, describes a delivery system for a cationic therapeutic agent whereupon alginate has been cross-linked in the presence of the therapeutic agent and polyacrylic acid to obtain a sustained release composition for oral delivery.", "U.S. Pat.", "No.", "5,352,448, Bowersock et al., issued Oct. 4, 1994, describes an oral vaccine formulation comprising an enzymatically degradable antigen in a hydrogel matrix for stimulation of an immune response in gut-associated lymphoid tissues.", "The hydrogel pellets are preferably synthesized by polymerizing methacrylic acid, in the presence of methylene bis-acrylamide and ammonium persulfate and sodium bisulfite.", "U.S. Pat.", "No.", "5,674,495, Bowersock et al., issued Oct. 7, 1997, describes a vaccine composition for oral administration comprising an alginate gel in the form of discrete particles.", "The alginate gel may contain a polymer coating such a poly-1-lysine to enhance stability and to add a positive charge to the surface.", "U.S. Pat.", "No.", "4,944,942, Brown et al., issued Jul.", "31, 1990, describes an intranasal vaccine for horses, which may comprise polyacrylic acid cross linked polyallyl sucrose combined with polyoxyethylene sorbitan mono-oleate and sorbitan monolaurate, preferably at 7.5 to 15 volume percent based on the total volume of the formulation, as an adjuvant.", "U.S. Pat.", "No.", "5,500,161, Andrianov et al., issued Mar.", "19, 1996, describes a method for the preparation of microparticles, and the product thereof, that includes dispersing a substantially water insoluble non-ionic or ionic polymer in a aqueous solution in which the substance to be delivered is also dissolved, dispersed or suspended, and then coagulating the polymer together with the substance by impact forces to form a microparticle.", "Alternatively, the microparticle is formed by coagulation of an aqueous polymeric dispersion through the use of electrolytes, pH changes, organic solvents in low concentrations, or temperature changes to form polymer matrices encapsulating biological materials.", "U.S. Pat.", "No.", "6,015,576, See et al., issued Jan. 18, 2000, describes a method that comprises orally administering lyophilized multilamellar liposomes containing the antigen wherein the liposome preparation is contained in a pill form or within an enterically coated capsule.", "Such an enteric coating may be composed of acrylic polymers and copolymers.", "U.S. Pat.", "No.", "5,811,128, Tice et al., issued Sep. 22, 1998, describes a method, and compositions for delivering a bioactive agent to an animal entailing the steps of encapsulating effective amounts of the agent in a biocompatible excipient to form microcapsules having a size less than approximately ten micrometers and administering effective amounts of the microcapsules to the animal.", "A pulsatile response is obtained, as well as mucosal and systemic immunity.", "The biocompatible excipient is selected from the group consisting of poly (DL-lactide-co-glycolide), poly (lactide), poly (glycolide), copolyoxalates, polycaprolactone, polyorthoesters and poly (beta-hydroxybutyric acid), polyanhydrides and mixtures thereof.", "U.S. Pat.", "No.", "5,565,209, Rijke, issued Oct. 15, 1996, describes oil-free vaccines comprising polyoxypropylene-polyoxyethylene polyols and an acrylic acid polymer as adjuvant constituents for injectable vaccines.", "U.S. Pat.", "No.", "5,084,269, Kullenberg, issued Jan. 28, 1992, describes an adjuvant, comprised of lecithin in combination with a carrier which may be selected from the group consisting of non-edible oil such as mineral oil and edible triglyceride oils such as soybean oil, for an injectable vaccine.", "U.S. Pat.", "No.", "5,026,543, Rijke, issued Jun.", "25, 1991, discloses oil-free vaccines which contain polyoxypropylene-polyoxyethylene polyols as well as an acrylic acid polymer as adjuvanting constituents.", "U.S. Pat.", "No.", "5,451,411, Gombotz et al, issued Sep. 19, 1995, discloses alginate beads as a site specific oral delivery system for cationic therapeutic agents designed to target the agents to the luminal side of the small intestine.", "Enhanced bioactivity of therapeutic agents released from the alginate is attributed to the ability of polyacrylic acid to shield the agents from interaction with lower molecular weight fragments of acid treated alginate.", "U.S. Pat.", "No.", "5,567,433, Collins, issued Oct. 22, 1996, discloses a method of producing liposomes useful for encapsulating and delivering a wide variety of biologically active materials.", "The method involves the formation of a liposome dispersion in the absence of an organic solvent or detergent, one or several cycles of freezing and thawing, and dehydration to form a lipid powder.", "The powder is hydrated in the presence of a biologically active material to encapsulate it in the liposomes.", "U.S. Pat.", "No.", "5,091,188, Haynes, issued Feb. 25, 1992, discloses water-insoluble drugs rendered injectable by formulation as aqueous suspensions of phospholipid-coated microcrystals." ], [ "<SOH> SUMMARY <EOH>The present invention concerns an adjuvant composition that includes lecithin and a polymer that is preferably an acrylic polymer or copolymer.", "An exemplary acrylic polymer is a polyacrylic acid polymer.", "Any lecithin is contemplated herein, including individual phospholipid components of lecithin or any combination thereof.", "In some embodiments, the present invention also concerns lecithin and polymer adjuvant compositions that include one or more additives that facilitate an immune response, including glycosides, sterols, ISCOMS, muramyl dipeptide and analogues, pluronic polyols, trehalose dimycolate, amine containing compounds, cytokines, calcium and lipopolysaccharide derivatives.", "Exemplary additives are glycosides and sterols, where the glycoside can be Quil A and the sterol can be cholesterol.", "The present invention also includes an adjuvant composition that consists of only a lecithin and polymer, and does not include additional lipid components.", "Typical polymers are acrylic polymer or copolymer.", "In one particular embodiment the adjuvant consists of lecithin and polyacrylic acid polymer.", "The present invention also includes an adjuvant composition that consists of a lecithin and polymer adjuvant composition in combination with one or more glycosides and/or one or more sterols.", "In some embodiments the adjuvant composition consists of lecithin, polymer, a glycoside and a sterol, where the glycoside can be a saponin or any fraction thereof and the sterol can be, for example, cholesterol.", "In some embodiments the polymer is an acrylic polymer or copolymer, for example, polyacrylic acid polymer.", "In general, the lecithin and polymer adjuvants herein form a matrix or net-like structure which is effective in trapping or encapsulating vaccine antigen.", "In some cases, the lecithin and polymer adjuvant combination form an “oil-free” net-like structure, being composed predominately (and in some cases entirely) by phospholipids and acrylic polymer.", "In other cases, the lecithin and polymer adjuvant includes additives directed toward further facilitating the adjuvant's capacity to elicit an immune response.", "The strong mucoadhesive and adsorptive properties of the polymer and lecithin combination enhances the adsorption of vaccine antigen onto mucosal surfaces.", "Further, the lecithin composition enhances absorption (Swenson, E S and W J Curatolo, ©Means to Enhance Penetration (2) Intestinal permeability enhancement for proteins, peptides and other polar drugs: mechanisms and potential toxicity.", "Advanced Drug Delivery Reviews.", "1992.8:39-92) that helps bring the antigen in contact with the underlying lymphoid tissue.", "Embodiments herein provide a significant improvement over conventional vaccines for delivery of an antigen to a mucosal surface, particularly where the adjuvant does not include the significant proportion or ratio of polymer, as shown in the inventive embodiments herein.", "The adjuvant compositions of this invention make it possible to vaccinate via a mucosal surface, such as oral cavity, gut, nasal, rectal, or vaginal surfaces.", "The vaccine may be administered by pill or tablet form, a paste form or in fluid form using a dropper or needleless syringe.", "This adjuvant composition allows a method of vaccination via food and/or water.", "In addition, the adjuvant compositions herein facilitate robust mucosal immunity, an advancement over conventional administration techniques for a number of antigens.", "In an alternative embodiment the composition can be used traditionally as an injectable.", "Thus, there is provided a method for preparing an adjuvant composition comprising: hydrating lecithin and a polymer in saline or water; and mixing the lecithin and polymer to form an adjuvant.", "In some embodiments, the lecithin and the polymer can be mixed by placing the lecithin and the polymer in a blender.", "Advantageously, the lecithin and the polymer can be mixed in the presence of surfactants.", "In some instances the lecithin and polymer are mixed in the presence of other additives, for example: a glycoside and/or sterol.", "In some embodiments, the method further includes the step of microwaving or autoclaving the adjuvant.", "In some embodiments, the method further includes the step of not filtering the adjuvant.", "In one embodiment, from about 0.001-10% by weight dry lecithin and from about 0.001-10% by weight polymer are hydrated.", "In some implementations the polymer is also dry and the lecithin and polymer are mixed dry prior to hydration.", "In this implementation, the method further includes the step of adding an antigen.", "Advantageously, the antigen is added during the hydration step.", "In another advantageous embodiment, the antigen is added to the adjuvant.", "The lecithin and the polymer can be mixed in the presence of an oil.", "Adjuvants of the invention can be mixed by placing the lecithin and the polymer in a microfluidizer.", "Alternative implementations include adding a calcium based compound to the adjuvants described herein where a DNA based antigen is implemented in the vaccine.", "Further features and advantages of the present invention will be set forth in, or apparent from, the detailed description which follows." ], [ "This application is a Continuation of U.S. patent application Ser.", "No.", "14/385,144, entitled “Adjuvant and Vaccine Compositions,” filed Sep. 12, 2014, which is a 371 of International PCT Application No.", "PCT/US2013/030515, filed Mar.", "12, 2013, entitled “Adjuvant and Vaccine Compositions,” and claims priority under 35 U.S.C.", "119(e) to U.S.", "Provisional Patent Application Ser.", "No.", "61/609,783, entitled “Adjuvant and Vaccine Compositions,” filed Mar.", "12, 2012, the disclosures of which are hereby incorporated by reference in their entirety.", "FIELD Provided herein are compositions and methods for preparing and delivering vaccine to a patient or animal in need thereof and in particular, to compositions and methods for preparing novel adjuvant compositions and delivering vaccines that include these novel adjuvant compositions to a patient or animal in need thereof.", "BACKGROUND Mucosal delivery of vaccines has been underutilized because of the problems associated with effectively delivering the vaccine antigens to the mucosal surface and to the underlying mucosal lymphoid tissue.", "Since mucosal surfaces are the port of entry of the majority of the infectious agents (Sabin, A.", "B., Vaccination at the portal of entry of infectious agents.", "Dev Biol Stand 33:3-9, 1976) it is important to the health of an animal to have developed a strong protective antibody and cell-mediated immune response at the portal of entry.", "This is best done with an adjuvant and delivery system that targets vaccine antigens to either the mucous membranes of the oral cavity, gut, nose, rectum, or vagina.", "Because this is not commonly done with an injectable vaccine, it would be advantageous to have a vaccine adjuvant delivery composition that would adsorb the vaccine onto the mucosal surface, and then, following absorption, be brought in contact with mucosal-associated lymphoid tissue.", "For example, oral administration of a vaccine against a gut pathogen may engender a stronger immune response against such pathogens by eliciting the production of secretory immunoglobulin A antibodies at the mucosal site.", "This happens when the vaccine is presented to the gut-associated lymphoid tissue (O'Hagen, D, Oral Delivery of Vaccines: Formulation and Clinical Pharmacokinetic Considerations 1992, Clin.", "Pharmacokinet.", "22 (1): 1-10).", "Likewise, administration of vaccine against an upper respiratory pathogen may be most effective if delivered to the mucosal-associated lymphoid tissue in the oral cavity or nasal passages.", "Interestingly, administration of antigens induces a mucosal immune response not only at the site of antigen application, for example the oral mucosa, but also at other mucosal sites such as the nasal mucosal (Mestecky, J I, The Common Mucosal Immune System and Current Strategies for Induction of Immune Responses in External Secretions.", "J Clin Immunol.", "7 (4): 265-76).", "Vaccinating large numbers of animals, such as cattle, swine and poultry, is extremely labor intensive and expensive.", "Each individual animal has to be handled at the time of vaccination in order to inject the animal with the vaccine.", "Most often the vaccine must be administered to the animal at least twice, and sometimes three or more times.", "It would be advantageous in terms of time and expense if the vaccine could be administered, simultaneously, with feed or water to a large number of animals.", "Another advantage of targeting the vaccine to mucosal surfaces is that the vaccine can stimulate a protective immune response in the presence of circulating antibody that interferes with parenterally injected vaccines (Periwal, S B, et.", "al., Orally administered microencapsulated reovirus can bypass suckled, neutralizing maternal antibody that inhibits active immunization of neonates.", "J Virol 1997 (April 71(4): 2844-50)).", "Adjuvant systems to enhance an animal's immune response to a vaccine antigen are well known.", "Likewise, systems for the delivery of vaccine and drugs to mucosal surfaces are known.", "Different methods have been described to protect the vaccine antigen and drugs from degradation by stomach acid and digestive enzymes and to adsorb the antigen to the mucosal surface.", "Often these adjuvants and delivery systems include mixing the antigen with one or more components.", "Exemplary adjuvants include the following: U.S. Pat.", "No.", "4,917,892, Speaker et al, issued Apr.", "17, 1990, describes a topical delivery system comprising a viscous carrier containing a dissolved or dispersed active agent and active agent microencapsulated within a semi permeable anisotropic salt film which is the emulsion reaction product of a) a partially lipophilic, partially hydrophilic, polyfunctional Lewis acid or salt thereof in aqueous medium, such as carboxymethylcellulose, an alkali metal salt of polyacrylic acid or cross linked polyacrylic acid/polyoxyethylene, with b) a Lewis base or salt thereof in a water-immiscible, slightly polar organic solvent for the base, such as benzalkonium chloride, and piperidine.", "U.S. Pat.", "No.", "5,132,117, Speaker et al., issued Jul.", "21, 1992, discloses a microcapsule with an aqueous core, capsular, ionic stabilized anisotropic Lewis salt membrane formed from the interfacial reaction product of an emulsion of an aqueous solution of a water-soluble, hydrophilic polymeric Lewis acid or salt thereof with a non-aqueous solution of a lipophilic Lewis base or salt thereof.", "The Lewis base may be stearylamine, piperidine, or benzalkonium chloride and the Lewis acid may be carboxymethylcellulose, polyacrylic acid, or polyacrylic acid/polyoxyethylene copolymer, for example.", "U.S. Pat.", "No.", "4,740,365, Yukimatsu et al., issued Apr.", "26, 1988 describes a sustained-release preparation applicable to mucous membranes in the oral cavity.", "The preparation consists of an active ingredient in a mixture of a polymer component (A) comprising one or more polymers selected from polyvinylpyrrolidone, polyvinyl alcohol, polyethylene glycol, alginic acid or a salt thereof, and an alternating copolymer of maleic anhydride and methyl vinyl ether and a polymer component (B) comprising one or more polymers selected from polyacrylic acid and a salt thereof.", "Polymer component (A) and (B) are in a ratio of 95:5 to 5:95 by weight.", "The preparation is layered with the active ingredient and may have optional conventional carriers and additives.", "U.S. Pat.", "No.", "5,451,411, Gombotz et al., issued Sep. 19, 1995, describes a delivery system for a cationic therapeutic agent whereupon alginate has been cross-linked in the presence of the therapeutic agent and polyacrylic acid to obtain a sustained release composition for oral delivery.", "U.S. Pat.", "No.", "5,352,448, Bowersock et al., issued Oct. 4, 1994, describes an oral vaccine formulation comprising an enzymatically degradable antigen in a hydrogel matrix for stimulation of an immune response in gut-associated lymphoid tissues.", "The hydrogel pellets are preferably synthesized by polymerizing methacrylic acid, in the presence of methylene bis-acrylamide and ammonium persulfate and sodium bisulfite.", "U.S. Pat.", "No.", "5,674,495, Bowersock et al., issued Oct. 7, 1997, describes a vaccine composition for oral administration comprising an alginate gel in the form of discrete particles.", "The alginate gel may contain a polymer coating such a poly-1-lysine to enhance stability and to add a positive charge to the surface.", "U.S. Pat.", "No.", "4,944,942, Brown et al., issued Jul.", "31, 1990, describes an intranasal vaccine for horses, which may comprise polyacrylic acid cross linked polyallyl sucrose combined with polyoxyethylene sorbitan mono-oleate and sorbitan monolaurate, preferably at 7.5 to 15 volume percent based on the total volume of the formulation, as an adjuvant.", "U.S. Pat.", "No.", "5,500,161, Andrianov et al., issued Mar.", "19, 1996, describes a method for the preparation of microparticles, and the product thereof, that includes dispersing a substantially water insoluble non-ionic or ionic polymer in a aqueous solution in which the substance to be delivered is also dissolved, dispersed or suspended, and then coagulating the polymer together with the substance by impact forces to form a microparticle.", "Alternatively, the microparticle is formed by coagulation of an aqueous polymeric dispersion through the use of electrolytes, pH changes, organic solvents in low concentrations, or temperature changes to form polymer matrices encapsulating biological materials.", "U.S. Pat.", "No.", "6,015,576, See et al., issued Jan. 18, 2000, describes a method that comprises orally administering lyophilized multilamellar liposomes containing the antigen wherein the liposome preparation is contained in a pill form or within an enterically coated capsule.", "Such an enteric coating may be composed of acrylic polymers and copolymers.", "U.S. Pat.", "No.", "5,811,128, Tice et al., issued Sep. 22, 1998, describes a method, and compositions for delivering a bioactive agent to an animal entailing the steps of encapsulating effective amounts of the agent in a biocompatible excipient to form microcapsules having a size less than approximately ten micrometers and administering effective amounts of the microcapsules to the animal.", "A pulsatile response is obtained, as well as mucosal and systemic immunity.", "The biocompatible excipient is selected from the group consisting of poly (DL-lactide-co-glycolide), poly (lactide), poly (glycolide), copolyoxalates, polycaprolactone, polyorthoesters and poly (beta-hydroxybutyric acid), polyanhydrides and mixtures thereof.", "U.S. Pat.", "No.", "5,565,209, Rijke, issued Oct. 15, 1996, describes oil-free vaccines comprising polyoxypropylene-polyoxyethylene polyols and an acrylic acid polymer as adjuvant constituents for injectable vaccines.", "U.S. Pat.", "No.", "5,084,269, Kullenberg, issued Jan. 28, 1992, describes an adjuvant, comprised of lecithin in combination with a carrier which may be selected from the group consisting of non-edible oil such as mineral oil and edible triglyceride oils such as soybean oil, for an injectable vaccine.", "U.S. Pat.", "No.", "5,026,543, Rijke, issued Jun.", "25, 1991, discloses oil-free vaccines which contain polyoxypropylene-polyoxyethylene polyols as well as an acrylic acid polymer as adjuvanting constituents.", "U.S. Pat.", "No.", "5,451,411, Gombotz et al, issued Sep. 19, 1995, discloses alginate beads as a site specific oral delivery system for cationic therapeutic agents designed to target the agents to the luminal side of the small intestine.", "Enhanced bioactivity of therapeutic agents released from the alginate is attributed to the ability of polyacrylic acid to shield the agents from interaction with lower molecular weight fragments of acid treated alginate.", "U.S. Pat.", "No.", "5,567,433, Collins, issued Oct. 22, 1996, discloses a method of producing liposomes useful for encapsulating and delivering a wide variety of biologically active materials.", "The method involves the formation of a liposome dispersion in the absence of an organic solvent or detergent, one or several cycles of freezing and thawing, and dehydration to form a lipid powder.", "The powder is hydrated in the presence of a biologically active material to encapsulate it in the liposomes.", "U.S. Pat.", "No.", "5,091,188, Haynes, issued Feb. 25, 1992, discloses water-insoluble drugs rendered injectable by formulation as aqueous suspensions of phospholipid-coated microcrystals.", "SUMMARY The present invention concerns an adjuvant composition that includes lecithin and a polymer that is preferably an acrylic polymer or copolymer.", "An exemplary acrylic polymer is a polyacrylic acid polymer.", "Any lecithin is contemplated herein, including individual phospholipid components of lecithin or any combination thereof.", "In some embodiments, the present invention also concerns lecithin and polymer adjuvant compositions that include one or more additives that facilitate an immune response, including glycosides, sterols, ISCOMS, muramyl dipeptide and analogues, pluronic polyols, trehalose dimycolate, amine containing compounds, cytokines, calcium and lipopolysaccharide derivatives.", "Exemplary additives are glycosides and sterols, where the glycoside can be Quil A and the sterol can be cholesterol.", "The present invention also includes an adjuvant composition that consists of only a lecithin and polymer, and does not include additional lipid components.", "Typical polymers are acrylic polymer or copolymer.", "In one particular embodiment the adjuvant consists of lecithin and polyacrylic acid polymer.", "The present invention also includes an adjuvant composition that consists of a lecithin and polymer adjuvant composition in combination with one or more glycosides and/or one or more sterols.", "In some embodiments the adjuvant composition consists of lecithin, polymer, a glycoside and a sterol, where the glycoside can be a saponin or any fraction thereof and the sterol can be, for example, cholesterol.", "In some embodiments the polymer is an acrylic polymer or copolymer, for example, polyacrylic acid polymer.", "In general, the lecithin and polymer adjuvants herein form a matrix or net-like structure which is effective in trapping or encapsulating vaccine antigen.", "In some cases, the lecithin and polymer adjuvant combination form an “oil-free” net-like structure, being composed predominately (and in some cases entirely) by phospholipids and acrylic polymer.", "In other cases, the lecithin and polymer adjuvant includes additives directed toward further facilitating the adjuvant's capacity to elicit an immune response.", "The strong mucoadhesive and adsorptive properties of the polymer and lecithin combination enhances the adsorption of vaccine antigen onto mucosal surfaces.", "Further, the lecithin composition enhances absorption (Swenson, E S and W J Curatolo, ©Means to Enhance Penetration (2) Intestinal permeability enhancement for proteins, peptides and other polar drugs: mechanisms and potential toxicity.", "Advanced Drug Delivery Reviews.", "1992.8:39-92) that helps bring the antigen in contact with the underlying lymphoid tissue.", "Embodiments herein provide a significant improvement over conventional vaccines for delivery of an antigen to a mucosal surface, particularly where the adjuvant does not include the significant proportion or ratio of polymer, as shown in the inventive embodiments herein.", "The adjuvant compositions of this invention make it possible to vaccinate via a mucosal surface, such as oral cavity, gut, nasal, rectal, or vaginal surfaces.", "The vaccine may be administered by pill or tablet form, a paste form or in fluid form using a dropper or needleless syringe.", "This adjuvant composition allows a method of vaccination via food and/or water.", "In addition, the adjuvant compositions herein facilitate robust mucosal immunity, an advancement over conventional administration techniques for a number of antigens.", "In an alternative embodiment the composition can be used traditionally as an injectable.", "Thus, there is provided a method for preparing an adjuvant composition comprising: hydrating lecithin and a polymer in saline or water; and mixing the lecithin and polymer to form an adjuvant.", "In some embodiments, the lecithin and the polymer can be mixed by placing the lecithin and the polymer in a blender.", "Advantageously, the lecithin and the polymer can be mixed in the presence of surfactants.", "In some instances the lecithin and polymer are mixed in the presence of other additives, for example: a glycoside and/or sterol.", "In some embodiments, the method further includes the step of microwaving or autoclaving the adjuvant.", "In some embodiments, the method further includes the step of not filtering the adjuvant.", "In one embodiment, from about 0.001-10% by weight dry lecithin and from about 0.001-10% by weight polymer are hydrated.", "In some implementations the polymer is also dry and the lecithin and polymer are mixed dry prior to hydration.", "In this implementation, the method further includes the step of adding an antigen.", "Advantageously, the antigen is added during the hydration step.", "In another advantageous embodiment, the antigen is added to the adjuvant.", "The lecithin and the polymer can be mixed in the presence of an oil.", "Adjuvants of the invention can be mixed by placing the lecithin and the polymer in a microfluidizer.", "Alternative implementations include adding a calcium based compound to the adjuvants described herein where a DNA based antigen is implemented in the vaccine.", "Further features and advantages of the present invention will be set forth in, or apparent from, the detailed description which follows.", "BRIEF DESCRIPTION OF THE FIGURES FIG.", "1 is a bar graph showing mean HAI titer for various antigen concentrations and adjuvants.", "FIG.", "2A and FIG.", "2B are micrographs at 30,000× magnification of an embodiment adjuvant composition of the present invention (2A) and a competitor's adjuvant composition (2B).", "FIG.", "3 shows data from HAI GMT serum titers for various adjuvant embodiments of the present invention.", "FIG.", "4 shows the results of Adenovirus vector-based FMD vaccine alone or in combination with adjuvant embodiments described herein.", "FIG.", "5, FIG.", "6 and FIG.", "7 are cell viability bar graphs having a starting cell density of 1×106/ml, percent reduction of Alamar Blue at 42 hrs (5), 50 hrs (6) and 68 hrs (7) from treatment.", "FIG.", "8, FIG.", "9 and FIG.", "10 are cell viability bar graphs having a starting cell density of 10×106/ml, percent reduction of Alamar Blue at 42 hrs (8), 50 hrs (9) and 68 hrs (10) from treatment.", "FIG.", "11 and FIG.", "12 show Log 10 TCID50 of adjuvant options with Ad5bIFNalpha-adjuvant mixtures after incubation (11) and Log 10 TCID50 of adjuvant options with Ad501-adjuvant mixtures after incubation) (12).", "FIG.", "13A and FIG.", "13B are graphs showing group average of IL-4 expression at 24 and 48 hours normalized to ARBP.", "FIG.", "14 is a graph showing average IL-4 expression at 48 hours normalized to HPRT.", "FIGS.", "15A and 15B are graphs showing a group average of IFN-Y expression at 25 and 48 hours normalized to ARBP or HPRT.", "FIGS.", "16A and 16B are graphs showing a group average of TNF-alpha expression at 24 and 48 hours normalized to HPRT.", "FIG.", "17 is a graph showing average IL-4 expression at 24 and 48 hours treatment with LAP+OVA or LAP/QAC+OVA normalized to HPRT.", "DETAILED DESCRIPTION The present invention provides a vaccine adjuvant which, when admixed with an antigen or hapten and administered into a human or animal, will induce a more intense immune response to the antigen than when the antigen is administered alone.", "The present invention also provides vaccines comprising an antigen or group of antigens and a novel adjuvant herein described which comprises a combination of lecithin and a polymer.", "As will appear, the present invention also specifically provides methods of making and using the foregoing adjuvants and vaccines.", "Such adjuvants offer the advantage of allowing application of a vaccine directly to a mucosal surface.", "In doing so, the vaccine stimulates a protective immune response which helps prevent interference from circulating maternal antibodies that may be present in a newborn or infant, for example.", "Direct administration of a vaccine herein to a mucosal surface, i.e., mucosal vaccination, provides mucosal immunity and systemic immunity, an advantage over most systemic only based vaccines.", "Unlike other vaccines developed for mucosal vaccination, embodiments of the present invention provide unexpected improvement for immunogenic response by improving the vaccine's contact time on the mucosal surface.", "“Antigen” is herein defined as a compound which, when introduced into an animal or a human, will result in the formation of antibodies and cell-mediated immunity.", "“Adjuvant” is herein defined as a compound or compounds that, when used in combination with specific vaccine antigens in formulations, augment or otherwise alter or modify the resultant immune responses.", "“Vaccine” is herein defined as a composition of antigenic moieties, usually consisting of modified-live (attenuated) or inactivated infectious agents, or some part of the infectious agents, that is administered, most often with an adjuvant, into the body to produce active immunity.", "The antigen can be any desired antigen falling within the definition set forth above.", "Antigens are commercially available or one of skill in the art is capable of producing them.", "The antigenic moiety making up the vaccine can be either a modified-live or killed microorganism, or a natural product purified from a microorganism or other cell including, but not limited to, tumor cells, a synthetic product, a genetically engineered protein, peptide, polysaccharide or similar product, or an allergen.", "The antigenic moiety can also be a subunit of a protein, peptide, polysaccharide or similar product.", "The antigen may also be the genetic antigens, i.e., the DNA or RNA that engenders an immune response.", "Representative of the antigens that can be used according to the present invention include, but are not limited to, natural, recombinant or synthetic products derived from viruses, bacteria, fungi, parasites and other infectious agents in addition to autoimmune diseases, hormones, or tumor antigens which might be used in prophylactic or therapeutic vaccines and allergens.", "The viral or bacterial products can be components which the organism produced by enzymatic cleavage or can be components of the organism that were produced by recombinant DNA techniques that are well known to those of ordinary skill in the art.", "Because of the nature of the invention and its mode of delivery it is very conceivable that the invention would also function as a delivery system for drugs, such as hormones, antibiotics and antivirals.", "The lecithin can be any lecithin or, for instance, lecithin lipoidal material, such as phospholipids, lysophospholipids, glycolipids and neutral lipids that comprise the typical composition of lecithin.", "Lecithins are molecules that, when completely hydrolyzed, yield two molecules of fatty acid, and one molecule each of glycerol, phosphoric acid, and a basic nitrogenous compound, such as choline.", "The fatty acids obtained from lecithins on hydrolysis are usually, but not limited to, oleic, palmitic, and stearic acids.", "The phosphoric acid may be attached to the glycerol in either an α- or the β-position, forming α-glycerophosphoric acid or β-glycerophosphoric acid, respectively, and producing the corresponding series of lecithins which are known as α- and β-lecithins.", "Commercial lecithin is obtained by extraction processes from egg yolk, brain tissue, or soybeans.", "Ovolecithin (vitelin) from eggs and vegilecithin from soybeans, as well as purified lecithin from calf s brains have been used as emulsifiers, antioxidants, and stabilizers in foods and pharmaceutical preparations.", "Commercial lecithin may be obtained from a variety of sources.", "One of ordinary skill in the art would be able to determine an appropriate lecithin for a desired application.", "The polymer is preferably an acrylic polymer, which is any polymer or copolymer that contains an acrylic moiety.", "Examples of suitable acrylic polymers include, but are not limited to polyacrylic acid, methacrylic acid, methacrylate, acrylamide, acrylate, acryinitrile, and alkyl-esters of poly acrylic acid.", "Examples of acrylic copolymers are poly (acrylamide-co butyl, methacrylate), acrylic-methacrylic acid, acrylic-acrylamide and poly (methacrylate).", "Commercial polymers may be obtained from a variety of sources.", "In some embodiments, acrylic polymers may benefit from the inclusion of a cross linker, such as a polyalkenyl polyether, an alkyl sucrose, or an allyl ether of penta-erythirtol, for example, which is effective in binding the polymers.", "An exemplary acrylic polymer for use in this invention is polyacrylic acid with or without a polyalkenyl polyether cross linker.", "One of ordinary skill in the art would be able to determine an appropriate acrylic polymer for a desired application.", "Likewise, one of ordinary skill in the art would be able to determine an appropriate cross linker for a given acrylic polymer.", "Examples of non-acrylic polymers that are suitable for use herein are polyvinyl acetate phthalate, cellulose acetate phthalate, methylcellulose, polyethylene glycol, polyvinyl alcohol, and polyoxyethylene.", "The method of manufacturing the adjuvant of this invention first involves hydrating the lecithin and polymer by suspending from about 0.0001-10% by weight/volume dry lecithin and from about 0.0001-10% by weight polymer in saline or water.", "In some cases the polymer is also dry prior to suspension in saline or water.", "The preferred concentrations of lecithin and polymer are 0.001-1.0% each by weight/volume.", "The two components may be mixed together using conventional methods, such as, for example, a Waring Blender, emulsification equipment or a microfluidizer.", "Surfactants (emulsifiers) may be added to aid in the mixing or emulsification of the lecithin and polymer.", "Suitable synthetic detergents are well known to those of ordinary skill in the art.", "Examples of appropriate surfactants include polyoxyethylene sorbitan monooleate, sorbitan monolaurate, sodium stearate, non-ionic ether-linked surfactants such as Laureth®4 and Laureth®23, alkyl sulfate surfactants, alkyl alkoxylated sulfate surfactants, alkylbenzenesulphonates, alkanesulphonates, olefinsulphonates, sulphonated polycarboxylic acids, alkyl glycerol sulfonates, fatty acyl glycerol sulfonates, fatty oleyl glycerol sulfates, alkyl phenol ethylene oxide ether sulfates, paraffin sulfonates, alkyl phosphates, isothionates such as the acyl isothionates, N-acyl taurates, fatty acid amides of methyl tauride, alkyl succinamates and sulfosuccinates, mono- and diesters of sulfosuccinate, N-acyl sarcosinates, sulfates of alkylpolysaccharides, branched primary alkyl sulfates, alkyl polyethoxy carboxylates, and fatty acids esterified with isethionic acid and neutralized with sodium hydroxide.", "Further examples are given in Surface Active Agents and Detergents (Vol.", "I and II by Schwartz, Perry and Berch), the disclosure of which is expressly incorporated herein by reference.", "Suitable nonionic detergent surfactants are generally disclosed in U.S. Pat.", "No.", "3,929,678, Laughlin et al., issued Dec. 30, 1975, at column 13, line 14 through column 16, line 6, incorporated herein by reference.", "If included, the emulsifier should be added in a concentration ranging from about 0.001-0.05% by volume of the mixture.", "Lecithin and polymer embodiments herein may also be used in combination with other additives such as, but not limited to, glycosides such as saponins, fractions of saponins, or synthesized components of saponins, sterols, ISCOMS, muramyl dipeptide and analogues, pluronic polyols, trehalose dimycolate, amine containing compounds, cytokines, calcium and lipopolysaccharide derivatives.", "The addition of another additive may aid in the stimulation of an immune response and in particular a mucosal immune response.", "If included, additional additives may be present in a concentration of up to about 10% by weight of the composition, for example, less than about 1% by weight of the composition.", "In most cases, additives of the invention are included in adjuvant embodiments herein in an amount to cause an induction of an immune response.", "In some instances, additives herein provide additional stimulation of TH1 (T helper cell 1), an important mediator in mucosal immunity.", "Typical additives for inclusion with lecithin/polymer adjuvants described herein include one or more of a glycoside and/or a sterol.", "In some embodiments, the glycosides are saponins, fractions of saponins or synthesized components of saponins.", "Exemplary saponins can be derived from plant sources including, but not limited to Quillaja Saponaria Molina, Polygala senega, and Astragalus species.", "In one instance the saponin is a triterpensaponin, such as Quil A (a saponin preparation isolated from the South American tree Quillaja Saponaria Molina).", "Purified fractions of Quil A include QS7 and QS21 (also known as QA7 and QA21).", "Nonetheless, any saponins are contemplated as useful herein.", "Preferred sterols are cholesterol, lanosterol, lumisterol, stigmasterol and sitosterol.", "In some instances, Quil A is combined with cholesterol and added to adjuvant embodiments described herein.", "This particular additive combination provides an unexpected enhancement in immune response over similarly prepared lecithin and polymer adjuvants described herein.", "Note that QS7 and/or QS21 can be substituted and/or added to the Quil A.", "Typical additives for inclusion with lecithin/polymer adjuvants described herein also include calcium compounds, for example, calcium phosphate, as described in U.S. patent application Ser.", "No.", "12/125,577, incorporated herein by reference for all purposes.", "Calcium additives are most appropriate for DNA virus based antigens, although it is envisioned that other antigen types can be used.", "In some instances, calcium compounds can be combined with Quil A and/or cholesterol, and included in a lecithin/polymer based adjuvant.", "The invention may also include one or more probiotics.", "Probiotics are bacteria or microorganisms that are beneficial to the health of the individual or animal.", "Examples of commonly used probiotics include, but are not limited to, various beneficial strains of Lactobacillus, Bifidobacterium, Streptococcus, etc.", "If present, each of the organisms should be administered in a concentration ranging from about 103 to 108 CFU each (per vaccination).", "In addition to all of the above, as is well understood by those skilled in the art, other minors can be employed to make the composition more pharmaceutically and/or cosmetically elegant.", "For example, dyes can be added at very minor levels as can diluents such as alcohol, buffers, stabilizers, wetting agents, dissolving agents, colors, etc.", "With the exception of diluents such as alcohols which are used at higher levels, the levels of these minors are generally not more than 0.001% to 1.0% by weight.", "If desired, the adjuvant components may be sterilized by autoclaving prior to the hydration step.", "It has also been found that autoclaving and/or microwaving the components may improve their suspending ability.", "The vaccine antigen may be added after formation of the adjuvant, or at the time of hydration of the adjuvant components.", "If in tablet form, the antigen may be mixed with dry components of the adjuvant invention along with other excipients necessary for tablet formation.", "Examples of appropriate types of vaccine antigens include killed or attenuated bacterial, viral, parasitic, or subunits of these organisms, or genomic vaccine antigens, for example, DNA.", "Applicant believes that the capacity to be autoclaved/microwaved provides a significant benefit over other conventional adjuvants which require filtration.", "Initially, structural analysis of embodiments herein before and after autoclaving illustrated that the structure of the autoclaved composition(s) was not significantly affected.", "However, the more costly filtration method for sterilizing an adjuvant removes and/or modifies structural aspects of the composition.", "As such, the embodiments herein are relatively less costly and avoid structural alterations found in other adjuvant materials that require filtration.", "This is an unexpected finding of the present formulations.", "The relative concentration of the components, including the antigen, may be determined by testing the formulations in animals starting with a low dose of the formulation and then increasing the dosage while monitoring the immune response.", "The following considerations should be made when determining an optimal dose, e.g., breed, age, size and the presence or absence of interfering maternal antibodies.", "A concentration of an attenuated viral vaccine will comprise about 103 to 109 TCID50 per animal.", "In some embodiments, the amount will be from about 104 to 107 TCID50 per animal.", "The concentration of killed antigen or subunit antigen may range from nanogram to milligram quantities of antigen with about 1 microgram to 1 milligram preferred.", "When the acrylic polymer and lecithin are combined, a matrix, or net-like structure is formed.", "In some instances the net-like structure is “oil free,” i.e., not having additional oils or lipids added to the adjuvant.", "The ratio of lecithin to polymer include ratios between 1:1000 and 1000:1.In some embodiments, the ratios of lecithin to polymer include ratios between 1:10 and 10 to 1.The relative proportions of lecithin and acrylic polymer may be found to be important to the efficiency of delivery of different antigens, i.e., bacterial, viral, parasitic or sub-units of these organisms.", "The optimum ratio may be determined by the conventional means of testing the different ratios of lecithin to polymer with the desired antigen in animals.", "The adjuvant composition can be used for the delivery of vaccine antigens such as whole killed or attenuated virus, bacteria, or parasite vaccine antigens or sub-unit(s) of such organisms to mucosal surfaces, such as oral cavity, gut, nasal, vaginal and rectal surfaces.", "Electron microscopic evaluation shows that there exists a physical and/or chemical affinity between lecithin and polymer.", "This affinity or association appears as a matrix, or net-like structure.", "Without intending to be bound by any particular theory, it is believed that a structure such as this can function as a means of physically trapping or encapsulating vaccine antigen.", "Such binding of antigen is further enhanced by the electrical charge and the hydrophilic and hydrophobic properties of lecithin and the acrylic polymers of this invention.", "To facilitate this, a polymer of different electrical charge may be selected depending on the anionic or cationic properties of the antigen.", "Likewise a polymer and lecithin of different hydrophobicity may be selected depending on the lipophilic or hydrophilic properties of the antigen.", "If necessary or desired, the antigen can be coupled to the lecithin-acrylic polymer matrix with a cross-linker such as glutaraldehyde in a concentration of from about 1 to 50 mM, for example, about 15 mM.", "Further, the antigen can be coupled using water-soluble carbodiimide in a concentration ranging from about 0.05-0.5 M, for example, about 0.1 M, or a coupling method using a heterofunctional reagent such as N-hydroxysuccinimidyl 3-(2-pyridyldithio) propionate (SPDP) in a concentration ranging from about 0.1-1.0 mM, and preferably about 0.2 mM.", "Other appropriate coupling agents include mixed anhydride and bisdiazotized benzidene.", "The cross-linker is used to improve the binding affinities of the components of the adjuvant composition, for example, where the components are not electrically attracted to each other.", "The strong mucoadhesive and adsorptive properties of the polymer, e.g., acrylic acid and lecithin combination also make it an excellent mechanism to aid in the adsorption of vaccine antigen onto mucosal surfaces.", "The adjuvant delivery system's absorption enhancement properties help bring the vaccine antigen in contact with mucosal associated lymphoid tissue.", "Thus, an immune response is engendered that will aid in the protection of an animal from infections and/or disease process.", "A robust mucosal immune response is critical since most infectious disease-causing organisms gain entry to the animal at mucosal surfaces.", "The invention can also be used as an adjuvant for injectable vaccines and provides improvement for facilitating an immune response over other conventional injectable adjuvant materials.", "The vaccine comprising the adjuvant is delivered to a mucosal surface by direct application, ingestion through the oral cavity, insertion, injection, and through other conventional means known in the art.", "Alternatively, the adjuvant may also be administered as a conventional injectable, which is typically either a liquid solutions or suspension.", "When administered in a food or beverage carrier, the adjuvant/vaccine composition of this invention is generally included in the carrier composition in a concentration ranging from about 0.0001-10% by weight/volume (w/v) in case of a beverage carrier and weight/weight (w/w) in case of a food carrier, for example, about 0.01-1.0% w/v or w/w respectively.", "When administered in an injectable, the adjuvant/vaccine composition should be present in a concentration ranging from about 0.02-2.0% by weight, for example, about 0.1-0.5% by weight.", "The adjuvant/vaccine may also be administered in other conventional solid dosage forms, such as in tablets, capsules, granules, troches, and vaginal or rectal suppositories.", "If administered in a solid dosage form, the adjuvant/vaccine composition should constitute between 0.0001-10% by weight of the dosage form, for example, about 0.01-1.0% by weight.", "In addition to the active compounds, the pharmaceutical compositions of this invention may contain suitable excipients and auxiliaries which facilitate processing of the active compounds into preparations which can be used pharmaceutically.", "Oral dosage forms encompass tablets, capsules, and granules.", "Preparations which can be administered rectally include suppositories.", "Other dosage forms include suitable solutions for administration parenterally or orally, and compositions which can be administered buccally or sublingually.", "The pharmaceutical preparations of the present invention are manufactured in a manner which is itself well known in the art.", "For example the pharmaceutical preparations may be made by means of conventional mixing, granulating, dissolving, lyophilizing processes.", "The processes to be used will depend ultimately on the physical properties of the active ingredient used.", "Suitable excipients are, in particular, fillers such as sugars for example, lactose or sucrose mannitol or sorbitol, cellulose preparations and/or calcium phosphates, for example, tricalcium phosphate or calcium hydrogen phosphate, as well as binders such as starch, paste, using, for example, maize starch, wheat starch, rice starch, potato starch, gelatin, gum tragacanth, methyl cellulose, hydroxypropylmethylcellulose, sodium carboxymethylcellulose, and/or polyvinyl pyrrolidone.", "If desired, disintegrating agents may be added, such as the above-mentioned starches as well as carboxymethyl starch, cross-linked polyvinyl pyrrolidone, agar, or alginic acid or a salt thereof, such as sodium alginate.", "Auxiliaries are flow-regulating agents and lubricants, for example, such as silica, talc, stearic acid or salts thereof, such as magnesium stearate or calcium stearate and/or polyethylene glycol.", "Oral dosage forms may be provided with suitable coatings which, if desired, may be resistant to gastric juices.", "For this purpose concentrated sugar solutions may be used, which may optionally contain gum arabic, talc, polyvinylpyrrolidone, polyethylene glycol and/or titanium dioxide, lacquer solutions and suitable organic solvents or solvent mixtures.", "In order to produce coatings resistant to gastric juices, solutions of suitable cellulose preparations such as acetylcellulose phthalate or hydroxypropylmethylcellulose phthalate, dyestuffs and pigments may be added to the tablet coatings, for example, for identification or in order to characterize different combination of compound doses.", "Other pharmaceutical preparations which can be used orally include push-fit capsules made of gelatin, as well as soft, sealed capsules made of gelatin and a plasticizer such as glycerol or sorbitol.", "The push-fit capsules can contain the active compounds in the form of granules which may be mixed with fillers such as lactose, binders such as starches, and/or lubricants such as talc or magnesium stearate and, optionally, stabilizers.", "In soft capsules, the active compounds are preferably dissolved or suspended in suitable liquids, such as fatty oils, liquid paraffin, or liquid polyethylene glycols.", "In addition stabilizers may be added.", "Possible pharmaceutical preparations which can be used rectally include, for example, suppositories, which consist of a combination of the active compounds with the suppository base.", "Suitable suppository bases are, for example, natural or synthetic triglycerides, paraffin hydrocarbons, polyethylene glycols, or higher alkanols.", "In addition, it is also possible to use gelatin rectal capsules which consist of a combination of the active compounds with a base.", "Possible base material includes, for example, liquid triglycerides, polyethylene glycols, or paraffin hydrocarbons.", "Suitable formulations for parenteral administration include aqueous solutions of active compounds in water-soluble or water-dispersible form.", "In addition, suspensions of the active compounds as appropriate oily injection suspensions may be administered.", "Suitable lipophilic solvents or vehicles include fatty oils for example, sesame oil, or synthetic fatty acid esters, for example, ethyl oleate or triglycerides.", "Aqueous injection suspensions may contain substances which increase the viscosity of the suspension, including for example, sodium carboxymethyl cellulose, sorbitol and/or dextran.", "Such compositions may also comprise adjuvants such as preserving, wetting, emulsifying, and dispensing agents.", "They may also be sterilized, for example, by filtration through a bacteria-retaining filter, or by incorporating sterilizing agents into the compositions.", "They can also be manufactured in the form of sterile solid compositions which can be dissolved or suspended in sterile water, saline, or other injectable medium prior to administration.", "In addition to administration with conventional carriers, active ingredients may be administered by a variety of specialized delivery drug techniques which are known to those of skill in the art, such as portable infusion pumps.", "The lecithin/polymer adjuvant serves multiple functions when it is delivered orally in food and water: 1) it protects the vaccine antigen from degradation by the stomach acid and digestive enzymes; 2) transports the antigen to the mucosal surfaces; 3) facilitates adsorption of the antigen onto the mucosal surfaces; 4) enhances absorption of the antigen; and 5) enhances the immune response to the antigen due to the adjuvant properties of the two components.", "In the case of delivery to nasal, oral cavity, vaginal and rectal mucosa, the lecithin/acrylic polymer complex functions as a system to deliver and adsorb the antigen to the mucosal surface.", "Once adsorbed onto the mucosal surface and absorbed, an immune response is engendered.", "The combination of polymer and lecithin unexpectedly provides an improved vaccine delivery system for vaccine antigens.", "It is apparent that the invention is also an improved delivery system for drugs, such as hormones, antibiotics, probiotics and antivirals.", "The current invention provides a more simple and efficient method of incorporation of antigen into a delivery system with no, or minimal damage, to vaccine epitopes.", "The vaccine formulation can be done at low cost and can be easily commercialized as a feed or water additive or as an oral paste or tablet.", "It is to be understood that these formulations also would be effective in delivering antigen onto other mucosal surfaces, such as nasal, rectal and vaginal surfaces, and would be effective as an adjuvant for an injectable vaccine.", "In addition, the hydrophobic properties that aid in the adsorption of the adjuvant and vaccine antigen to mucosal surfaces also provides a means of applying to animal feeds, whether it be plant foliage or seeds, both which have a hydrophobic wax surface.", "The combination of polymer, lecithin and additives (in particular Quil A and cholesterol) provides an unexpected enhancement of an adjuvant to induce an immune response.", "This benefit is present for multiple delivery routes, which includes when the adjuvant is delivered as an injectable.", "The composition with which the current invention is concerned differs from the prior art in that it comprises a mixture of lecithin and, in some embodiments, an acrylic polymer or copolymer.", "The invention provides certain advantages over other vaccine delivery systems described in the prior art.", "It is not prepared under harsh conditions that adversely affect the substance such as the use of organic solvents.", "It does not require elevated temperatures to manufacture and does not require a stabilization step.", "The invention provides a simpler method of incorporation of antigen with minimal damage to vaccine epitopes.", "Using this simpler method of manufacturing results in low cost and ease of commercialization.", "The following examples are intended to further illustrate the invention and its preferred embodiments.", "They are not intended to limit the invention in any manner.", "Example 1 Vaccine Plus Adjuvant Effectiveness An experimental vaccine was made comprising bovine serum albumin Fraction 5 (BSA) as a non-living model antigen, lecithin, and an acrylic acid polymer.", "A second vaccine was made comprising only BSA.", "The lecithin and acrylic polymer were suspended together in 150 milliliters (ml) phosphate buffered saline (PBS), each at a concentration of 4 milligrams (mg) per milliliter (ml).", "The components were first dispersed by stirring with a magnetic stir bar and then mixed further in a Waring Blender using an emulsification head.", "The mixture was then autoclaved to sterilize the adjuvant mixture.", "Bovine serum albumin was dissolved in PBS at a concentration of 2 mg/ml and filter sterilized.", "One part lecithin/acrylic polymer adjuvant was then combined with one part of BSA.", "Merthiolate (0.01%) was added as a preservative.", "The final concentration of the vaccine components was 2 mg/ml of the lecithin/acrylic polymer and 1 mg/ml of BSA.", "CF-1 female mice, approximately 18 grams, from Charles River Laboratories (Willmington, Mich.), were injected subcutaneously in the groin area with 0.1 ml of vaccine (0.1 mg. of BSA/dose) on days 0 and 21.Mice were bled on day 45, 24 days after the second vaccination.", "Mice were bled by cutting the brachial artery following euthanasia by cervical dislocation.", "Blood serum Immunoglobulin G (IgG) anti-BSA antibody titers were determined by an enzyme linked immunosorbant assay (ELISA).", "Results are shown in Table 1.TABLE 1 Results of Antibody Titers Reciprocal of Number of Geometric Adjuvant Group Mice Mean Titer None 8 51,200 Lecithin/Acrylic 8 157,916 Polymer Results show that the adjuvant comprising a combination of lecithin and acrylic polymer does indeed enhance the immune response to an antigen.", "Example 2 Comparison of Individual Vaccine Adjuvants Administered Orally Experimental vaccines, for delivery by the oral route, were prepared in PBS.", "The vaccines comprised the antigen, BSA Fraction 5, at a concentration of 400 micrograms (μg) per ml.", "Vaccine 1 contained no adjuvant only BSA.", "Vaccine 2 was comprised of BSA mixed with 3 mg/ml of lecithin.", "Vaccine 3 was comprised of BSA mixed with 3 mg/ml of the acrylic polymer.", "Vaccine 4 was comprised of BSA mixed with 3 mg/ml of lecithin and 3 mg/ml of acrylic polymer.", "Mixing was first done with a laboratory bench top magnetic stir bar and then in a Waring blender using an emulsification head.", "Lactobacillus culture was added to all vaccines just prior to vaccination.", "The final concentration of Lactobacillus was 0.01 μg/ml of vaccine.", "On days 0, 4, 29 and 33 the groups of CF-1 female mice from Charles-River Laboratories and weighing approximately 18 grams, were administered 0.5 ml of vaccine orally by feeding needle.", "On day 53, 20 days post fourth vaccination, mice were euthanized and bled by the brachial artery.", "End-point anti-BSA serum IgG antibody titers were determined by ELISA.", "A 1/100 starting dilution of serum was used due to non-specific background color development at dilutions less than 1/100.Results are recorded in Table 2: TABLE 2 Effect of Adjuvant Composition on the Anti-BSA Antibody Response Reciprocal of No.", "of Mice Geometric Adjuvant with Titer >/= Mean of Mice Composition 1/100 (%) with Titers None 3/9 (33) 158 Lecithin 4/6 (67) 141 Acrylic Polymer 6/9 (67) 8,063 Lecithin and Acrylic 6/9 (67) 45,614 Polymer Anti-BSA IgG antibody titers were over five times higher when a combination of lecithin and acrylic polymer was used as adjuvant than when acrylic polymer was used alone and 323 times higher than when lecithin was used alone.", "This demonstrates that the combination of lecithin and acrylic polymer is far more effective at delivering the antigen orally to the mucosal surface for uptake by lymphoid tissue than either lecithin or acrylic polymer alone.", "Although, not all of the mice showed a serum anti-BSA IgG antibody response the results clearly show a synergistic adjuvant effect of lecithin combined with the acrylic polymer.", "However, the mice that did not seroconvert may have had a secretory IgA antibody response.", "Indeed, oral vaccination, and mucosal vaccination in general, stimulates IgA secreting cells at mucosal surfaces.", "Example 3 Second Test of Lecithin/Polymer Adjuvant by the Oral Route Two vaccines were prepared in PBS that comprised the antigen, BSA Fraction 5, at a concentration of 400 μg per ml.", "One vaccine contained no adjuvant only BSA.", "The other vaccine was comprised of BSA adjuvanted with 3 mg/ml of lecithin and 3 mg/ml of acrylic polymer.", "The vaccine was assembled as described in Example 2.On days 0, 4, 27, and 31 groups of CF-1 female mice from Charles-River Laboratories and weighing approximately 18 grams, were administered 0.5 ml of vaccine orally by feeding needle.", "On day 52, 21 days post vaccination, mice were euthanized and bled by the brachial artery.", "End-point anti-BSA serum IgG antibody titers were determined by ELISA.", "A 1/100 starting dilution of serum was used due to non-specific background color development at dilutions less than 1/100.Results are recorded in Table 3: TABLE 3 Comparative Results of Adjuvant Versus Control Reciprocal of No.", "of Mice Geometric Adjuvant with Titer >/= Mean of Mice Composition 1/100 (%) with Titers None 1/12 (8) 100 Lecithin and 9/11 (82) 18,812 Acrylic Polymer This study again demonstrates that the combination of lecithin and acrylic polymer is effective in delivering antigen to oral mucosal surfaces.", "In a separate study, 4/10 mice that received this same vaccine had a geometric mean titer of 1/1,345 six weeks after only a single vaccination.", "This demonstrates the potential of the adjuvant composition, when once optimized, to engender an immune response of long duration.", "Example 4 Administration of Vaccine Intranasally Two experimental vaccines for delivery by the intranasal route were prepared in PBS comprising the antigen, BSA, at a concentration of 500 μg/ml.", "One vaccine was comprised of BSA alone.", "The second vaccine was comprised of BSA adjuvanted with a combination of 3 mg/ml of lecithin and 3 mg/ml of the acrylic polymer.", "The lecithin and acrylic polymer were first mixed with a laboratory bench top magnetic stir bar and then in a Waring blender using an emulsification head.", "BSA was then added and mixed again using the emulsification head.", "Mice were vaccinated on days 0 and 20.Forty μl containing 20 μg of BSA antigen were placed on the nose while the mouth was held shut.", "The vaccine entered the nose when the mouse inhaled.", "On day 41, 21 days post second vaccination, the mice were euthanized and bled by cutting the brachial artery.", "Anti-BSA antibody titers were determined by ELISA.", "The starting dilution of serum was at 1/100 due to non-specific background color development at lower dilutions.", "Results are shown in Table 4: TABLE 4 Comparative Results of Adjuvant Versus Control Reciprocal of No.", "of Mice Geometric Adjuvant with Titer >/= Mean of Mice Composition 1/100 (%) with Titers None 0/11 (0%) 0 Lecithin and 7/12 (58%) 269 Acrylic Polymer None of the mice (0/11) vaccinated with BSA alone seroconverted.", "The BSA antigen alone, when administered intranasally, failed to stimulate a serum antibody response in any of the mice.", "In contrast, 7 of 12 mice, or 58%, developed serum anti-BSA IgG antibody titers as high as 1/3200 following intranasal vaccination with BSA in combination with the invention comprised of lecithin and acrylic polymer.", "The fact that not all mice seroconverted suggests that not enough, or perhaps none of the vaccine was inhaled by those mice that did not have an antibody titer greater than 1/100.Indeed, some, perhaps most, of the vaccine was observed to run off the nose or was blown off the nose when the mouse exhaled.", "Still, the results of this study show that the invention, comprised of lecithin and acrylic polymer, functions effectively as an adjuvant for the intranasal delivery of a vaccine antigen.", "Example 5 Use of Adjuvant with Vaccine in Swine The adjuvant invention comprising a combination of 2 mg/ml of lecithin and 2 mg/ml of acrylic polymer was used as a diluent for modified-live pseudorabies virus (ML-PRV) for swine.", "This adjuvant diluent and a control diluent consisting of sterile water were used to rehydrate lyophilized (ML-PRV).", "The ML-PRV was rehydrated immediately prior to vaccination.", "Groups of 10 weaned piglets, 6 weeks of age, were vaccinated on days 0 and 21.Blood serum was collected on days 2, 20, 28, and 48 for serological testing for anti-PRV serum neutralizing antibodies.", "The anti-PRV antibody responses of piglets in the different vaccine groups are shown in Table 5.TABLE 5 Results in Pigs Geometric Mean Virus Neutralizing Antibody Titer on Days Post Adjuvant First Vaccination Diluent Day 2 Day 20 Day 28 Day 48 Non-Vaccinated 0 0 0 0 Control Water 0 4 34 21 Lecithin/ 0 6 69 52 Acrylic Polymer This study showed that the invention comprising a lecithin and acrylic polymer combination functions as an adjuvant for a ML-virus vaccine adjuvant, in this case swine ML Pseudorabies vaccine virus.", "The virus neutralizing anti-PRV antibody titer to ML-PRV, which by itself is a very good antigen without an adjuvant and is used commercially without an adjuvant, was over twice as high when the lecithin/acrylic polymer was used instead of water.", "Example 6 Lecithin and Acrylic Copolymer Adjuvant Supports H1N1 and H3N2 Vaccine Responses Lecithin and acrylic copolymer adjuvant as described and prepared herein was analyzed for its effectiveness at supporting the immunization of swine against H1N1 and H2N2 viral antigens.", "Inventive adjuvants herein were compared to a commercially available adjuvant, 5% Amphigen®, to identify the capacity of adjuvants as described herein to support viral antigen based vaccines.", "Each adjuvant was combined with H1N1 and H3N2 antigens (derived from a released lyophilized commercial product (FluSure™, Pfizer Animal Group).", "Test groups for vaccination included 29 to 35 day old piglets.", "Four treatment groups were observed (each group having 15 piglets, except the negative control group which had 5 piglets): T1, 5% Amphigen, positive control; T2, Quil A alone adjuvant; T3, lecithin and acrylic copolymer of the invention, intramuscular; and T4, lecithin and acrylic copolymer of the invention, intranasal.", "T5 was a control group that was un-vaccinated.", "T1, T2 and T3 received one intramuscular dose on day 0, T4 received one intranasal dose on day 0.Blood samples were obtained from each animal participating in the study on days 0, 21 and 35.Table 6 shows data from H1N1 titer, Table 7 shows data from the H3N2 titer: TABLE 6 Number of Piglets with H1N1 Swine Influenza Titers and Geometric Mean Titers No.", "of Piglets With H1N1 H1N1 Geometric Titers/Total Piglets Mean Titers Treat Test Treat.", "Day Day Day Day No.", "Art Group Day 0 21 35 Day 0 21 35 T1 1 SIV-Kill 0/15 15/15 11/15 5.0 24.1 11.5 Amphigen T2 2 SIV-Kill 0/15 6/15 0/15 5.0 6.9 5.0 Quil A T3 3 SIV-Kill 0/15 15/15 13/15 5.0 24.1 14.5 LAP T4 4 SIV-Kill 0/15 0/15 0/15 5.0 5.0 5.0 LAP T5 5 Neg.", "0/5 0/5 0/5 5.0 5.0 5.0 Control No.", "of piglets with H1N1 Titers - Piglets with titers of 10 or higher were determined as positive.", "Geometric Mean Titers - A titer of 5 was assigned to negative titers for calculation of geometric mean.", "SIV—Lyophilized swine influenza (H1N1, H3N2) virus killed viral vaccine (FluSure ™, Pfizer Animal Health).", "LAP—Lecithin acrylic polymer as described in Examples 1-5.TABLE 7 Number of piglets with H3N2 Swine Influenza Titers and Geometric Mean Titers No.", "of Piglets With H3N2 H3N2 Geometric Titers/Total Piglets Mean Titers Treat Test Treat.", "Day Day Day Day No.", "Art Group Day 0 21 35 Day 0 21 35 T1 1 SIV-Kill 11/15 15/15 15/15 14.5 20.9 16.6 Amphigen T2 2 SIV-Kill 10/15 10/15 3/15 15.2 8.3 5.7 Quil A T3 3 SIV-Kill 10/15 15/15 15/15 13.8 28.9 17.4 LAP T4 4 SIV-Kill 12/15 6/15 1/15 16.6 6.9 5.2 LAP T5 5 Neg.", "4/5 3/5 1/5 17.4 8.7 5.7 Control No.", "of piglets with H3N2 titers - Piglets with titers of 10 or higher were designated as positive for titers.", "Geometric Mean Titers - A titer of 5 was assigned a negative titer for calculations of geometric mean titers.", "SIV—Lyophilzed swine influenza (H1N1, H3N2) virus killed viral vaccine (FluSure ™, Pfizer Animal Health).", "Amphigen ®—Amphigen ® adjuvant (Pfizer Animal Health) LAP—Lecithin acrylic polymer as described in Examples 1-5.Referring to Tables 6 and 7, the results indicate lecithin and acrylic copolymer adjuvants of the invention induce significant serological responses to H1N1 and H3N2 swine influenza viruses in piglets.", "The responses were similar to conventional commercial adjuvants and significantly better than a Quil A alone adjuvant.", "The data in Example 6 further show the utility of the lecithin/acrylic polymer adjuvants of the invention and show that additives alone, for example Quil A, provide for a minimal immunological response under identical conditions.", "Example 7 Immune Response to Vaccine Enhanced by Inclusion of Additives The immunogenecity of a lecithin, acrylic polymer, Quil A and cholesterol adjuvant of the invention was tested against Amphigen, a commercial adjuvant.", "The study was performed to determine the effectiveness of eliciting an enhanced immune response through inclusion of cholesterol and Quil A in adjuvant embodiments described herein.", "Adjuvant was prepared as described above, except in this Example, 15 mg/ml lecithin was combined with 10 mg/ml acrylic polymer.", "Each vaccine dose included 1.25 mg of adjuvant (as compared to 5 mg of Amphigen).", "The adjuvant samples were spiked with 0.5 mg/ml of Quil A/cholesterol.", "All adjuvant samples further received 50 μg, 5 μg or 0.5 μg AIV-HA antigen.", "Ingredients described above were combined as discussed herein to provide 3 different antigen concentrations for each lecithin/acrylic polymer/additive sample and Amphigen sample.", "All samples were stored at 4° C. Twenty-nine to thirty-five day old piglets were vaccinated and bleeds taken on days one, fourteen and twenty eight.", "Titers were determined for each condition and mean HAI titer determined.", "As shown in FIG.", "1, the study showed a surprising increase in mean HAI titer with cholesterol and Quil A included in the adjuvant and therefore vaccine preparation.", "In each case, the increase in titer was antigen concentration dependent and showed a significant increase comparable to corresponding antigen spiked Amphigen samples.", "It is noted that the amount of lecithin and acrylic polymer was limited (1.25 mg) to provide a more sensitive platform for identifying effects of the inventive adjuvants described herein.", "The low dose lecithin/acrylic polymer/additive adjuvant provided an adequate platform for analyzing the adjuvant's capacity to elicit an immune response, which was comparable to a full dose Amphigen based adjuvant.", "Example 8 Lecithin and Acrylic Polymer Adjuvant is Facilitated by Inclusion of Additives The immunogenecity of a lecithin, acrylic polymer, cholesterol, and Quil A adjuvant was tested in chickens.", "Cell line based antigen was tested in this manner in order to determine utility of adjuvants (additive based) in accordance with the present invention.", "Adjuvant was prepared using 33.5 μg/dose Quil A, 32.4 μg/dose cholesterol, 800 μg/dose lecithin and 500 μg/dose acrylic copolymer 974PNF.", "Vaccine antigen was a stably transfected cell line using a H5 HA expressing plasmid (CHO-HA-10).", "In some vaccines, an antigen stabilizing agent was added to the material, i.e., Nicotiana tabacum cell line lysate.", "Sixty five pathogen-free Leghorn chickens (10 day old) were obtained and quarantined for nine days prior to start-up of the experiment.", "Birds were split into eleven groups (six birds per group for groups T1 through T10 and five birds for T11).", "Each group was housed together.", "Treatment group T11 birds were a baseline group and used to obtain a Day 0 bleed.", "Study design is provided in Table 8: TABLE 8 Example 8 study design Treatment Processing No.", "of Vacination No.", "Group Method Birds Days Dose Route Bleed Days* T1 CHO lys.", "Mixing 6 0, 14 0.5 ml SQ 14, 28 NT-1 ext Adjuvant T2 CHOHA0102 Mixing 6 on 0, 14 0.5 ml SQ 14, 28 Adjuvant zero 5 on 14 T3 CHOHA0102 Microfluid.", "6 0, 14 0.5 ml SQ 14, 28 Adjuvant Non- Clarified T4 CHOHA0102 Microfluid.", "6 0, 14 0.5 ml SQ 14, 28 Adjuvant Clarified T5 CHOHA0102 Silverson 6 0, 14 0.5 ml SQ 14, 28 Adjuvant Non- Clarified T6 CHOHA0102 Mixing 6 0, 14 0.5 ml SQ 14, 28 NT-1 ext Adjuvant T7 CHOHA0102 Microfluid.", "6 0, 14 0.5 ml SQ 14, 28 NT-1 ext Non- Adjuvant Clarified T8 CHOHA0102 Microfluid.", "6 0, 14 0.5 ml SQ 14, 28 NT-1 ext Clarified Adjuvant T9 CHOHA0102 Silverson 6 0, 14 0.5 ml SQ 14, 28 NT-1 ext Non- Adjuvant Clarified T10 H5N9 AIV Mixing 6 0, 14 0.5 ml SQ 14, 28 Adjuvant T11 Baseline NA 5 NA NA NA 0 Bleed Bleed days - serum evaluated by hemagglutination inhibition with A/Turkey/Wisconsin/68 (H5N9) CHO lysate - Non-transfected CHO cells NT-1 extract - Nicotiana tabacum, lyophilized, non-transformed clarified cell lysate Adjuvant - Lecithin, acrylic copolymer, Quil A, and cholesterol SQ—subcutaneous administration CHOHA0102—CHO cells transfected with H5 hemagglutinin H5N9 AIV—Inactivated H5N9 avian influenza virus A/Turkey/Wisconsin/68 NA—not applicable As shown in Table 9, all vaccinated treatment groups (T2-T9) were clearly different from the negative control (T1).", "Although slight differences were observed, little differentiation was discernable between treatment groups that did or did not receive the Nicotiana tabacum cell line lysate or between the various processing methods.", "TABLE 9 Seroconversion rates and avian influenza hemagglutination inhibition geometric mean titer results Avian Influenza No.", "of Birds Geometric Seroconverting/ Mean Treat- Tot.", "Birds Titers ment Treatment Group Day Day group Antigen Ad Processing 14 Day 28 14 Day 28 T1 CHO lys.", "Mixing 0/6 0/6 4.0 4.0 NT-1 ext Adjuvant T2 CHOHA0102 Mixing 2/6 5/5 8.0 48.5 Adjuvant T3 CHOHA0102 Microfluid.", "5/6 5/6 11.3 22.6 Adjuvant Non- Clarified T4 CHOHA0102 Microfluid.", "3/6 5/6 9.0 28.5 Adjuvant Clarified T5 CHOHA0102 Silverson 4/6 4/6 14.3 22.6 Adjuvant Non- Clarified T6 CHOHA0102 Mixing 4/6 5/6 10.1 32.0 NT-1 ext Adjuvant T7 CHOHA0102 Microfluid.", "3/6 5/6 10.1 18.0 NT-1 ext Non- Adjuvant Clarified T8 CHOHA0102 Microfluid.", "3/6 6/6 9.0 20.2 NT-1 ext Clarified Adjuvant T9 CHOHA0102 Silverson 2/6 5/6 5.7 25.4 NT-1 ext Non- Adjuvant Clarified T10 H5N9 AIV Mixing 3/6 6/6 8.0 228.1 Adjuvant Results from the Example show that additive based adjuvants of the invention support a cell line based antigen, i.e., a cell line that expresses the antigen, and provided excellent immunogenicity in chickens.", "This data further supports the conclusion that Quil A and cholesterol when used in combination with other adjuvant based embodiments described herein have surprising utility in the context of the present invention.", "Example 9 Micrograph Data: Embodiments of the Present Invention have Net-Like Structure Inventive adjuvant compositions were as prepared and described in previous Examples.", "Adjuvant samples were visualized by transmission electron microscopy.", "Adjuvants included lecithin and polymer, no additives, and were sterilized by autoclaving.", "An illustrative micrograph at 30,000× magnification is shown in FIG.", "2A.", "For comparison, an illustrative emulsion as prepared by the methods described in U.S. Pat.", "No.", "5,716,637 (Anselem et al.", "), and visualized via transmission electron microscopy at the same magnification (30,000×) is shown in FIG.", "2B.", "As described in the description of the Anselem patent, the adjuvant was microfiltered and not autoclaved.", "The adjuvant prepared via the methods of U.S. Pat.", "No.", "5,716,637, show an expected emulsion structure of lipid droplets in an aqueous phase.", "In contrast, the micrograph shown in FIG.", "2 A illustrates that adjuvants of the present invention have a significantly different physical structure or distribution than the adjuvants described in Anselem.", "Adjuvants of the present invention show a diffuse net-like structure with significant polymer content combining with the lecithin (phospholipids) to provide the unexpected structure of the present invention.", "This is a surprising given the significant difference is structure between the two adjuvant compositions.", "Example 10 Calcium Phosphate Facilitates Immunity of DNA-Based Vaccine Lecithin and acrylic copolymer adjuvant as described and prepared herein was analyzed alone and in combination with calcium phosphate (CaPO4) to determine effectiveness at supporting the immunization of chicks against an avian influenza DNA antigen (H5N9 AIV HA DNA).", "Inventive adjuvants herein were compared to a commercially available adjuvant, having the same antigen.", "The commercially available adjuvant was also tested with CaPO4.Chick treatment groups were immunized on day 0 and day 16.Serum testing was performed on each treatment group and HAI titers determined using standard assays.", "FIG.", "3 shows data from HAI GMT serum titers for adjuvant only, no antigen (Amphigen®); antigen only, no adjuvant; Amphigen®; Amphigen® with CaPO4; Lecithin and Copolymer; and Lecithin, Copolymer and CaPO4.The results in FIG.", "3 indicate that the lecithin, copolymer and calcium phosphate group vaccine provided significantly higher levels of immunity than lecithin and copolymer alone or Amphigen with or without calcium phosphate.", "This combination of lecithin, copolymer and calcium phosphate shows an unexpected capacity to transform poorly immunogenic DNA vaccines into highly effective vaccines.", "Example 11 Enhanced Potency of FMD Vaccine Experimental design: Second generation human adenovirus type 5 (Ad5) vector for FMDV serotype A24 Cruzeiro administered to pigs subcutaneously at two sites with or without adjuvant.", "The animals were challenged 21 days post-vaccination at doses 10-fold higher than recommended.", "FIG.", "4 shows the results of the experimental Adenovirus vector-based FMD vaccine alone or in combination with one of two adjuvants: a DNA plasmid called plCLC or Adjuvant E (Adjuplex/Vetplex with Quil A and Cholesterol).", "Adjuvant E increased potency by at least 5-fold whereas the plCLC adjuvant was less effective.", "This data further supports the conclusion that Quil A and cholesterol when used in combination with other adjuvant based embodiments described herein have surprising utility in the context of the present invention.", "Example 12 Effect of Vaccine Adjuvants on Adeno-Vector Viruses The overarching goal of this research was to produce and evaluate Foot-and-mouth disease (FMD) single or combinational vaccines comprising replication-defective recombinant human adenovirus carrying (a) the FMD VP1 capsid and 3C protease coding regions and/or (b) bovine or porcine type 1 interferon genes.", "This strategy is being used to develop next generation molecular FMD licensed vaccines for stock piling by the National Veterinary Stockpile Program for use as an FMD countermeasure in emergency outbreaks.", "One research area of this project was the addition of vaccine adjuvants to the adeno-based vector vaccines for enhancement of their immunogenecity and efficacy.", "Two adjuvants (Adjuplex-LAP and Adjuplex-LE) were evaluated in vitro for virucidal effects on the vaccine vectors under different time and temperature conditions.", "The conclusion drawn from this study was that Adjuplex LAP did not have any virucidal effect on Ad5bIFNα virus at the recommended or 4-fold concentration level.", "The incubation temperatures and times for these studies were 20° C., or 39° C., and 1 or 24 hours, respectively.", "However, similar results were not observed for Adjuplex-LE.", "No titer reductions were observed at 39° C. and one hour incubation; however when the incubation was increased to 24 hours, there were significant reductions in virus titers at both concentrations.", "Results from this study would suggest that Adjuplex-LE adjuvant produced a virucidal effect on Ad5-bIFNα virus at both the recommended and two-fold higher concentration levels.", "Similar results were obtained with Ad5-O1 virus when it was mixed with both Adjuplex LAP and LE, respectively, at the recommended quantity and when the amount was increased two- or four-fold.", "Furthermore, there were no significant titer reductions even when the mixtures were incubated at 39° C. for 24 hours.", "The 39° C. incubation temperature was selected because that is the average cattle rectal body temperature.", "It is recommended that Adjuplex LAP should be the adjuvant of choice to be combined with the Ad5-bIFNα, Ad5-O1 and other Ad5 based FMD sub unit vaccines for clinical evaluation in cattle and pigs.", "Foot-and-mouth disease (FMD) is an economically important and highly contagious viral disease of cloven-hoofed livestock and wildlife including cattle, swine, sheep, goats, and deer.", "The recent re-emergence of FMD in both developing and developed nations has refocused world's attention on universe control strategies particularly in the USA.", "In many countries the control and eradication of FMD are by immunization of susceptible animals using commercially available FMD vaccines, which are based on conventional chemically inactivated vaccines emulsified with adjuvants.", "Failure to completely inactivate the vaccine has led to outbreaks of the disease.", "There is no approved diagnostic test available to reliably differentiate vaccinated from infected animals.", "Furthermore vaccinated animals can become disease carriers following contact with FMD virus.", "These disadvantages of inactivated whole FMD vaccine have made FMD-free countries to be reluctant to vaccinate their livestock during outbreaks.", "In order to overcome some of the problems associated with convectional FMD vaccines, many approaches have been utilized to develop alternative FMD vaccines, including construction of modified live-virus, biosynthetic proteins, synthetic peptides, naked DNA vectors, and recombinant viruses.", "The use of human adenovirus as a vector for FMD vaccines has been met with variable results, sometimes resulting in incomplete protection or failure of vaccinated animals to develop a neutralizing antibody.", "In this study, two adjuvants viz Adjuplex-LAP and Adjuplex-LE were investigated in vitro as possible adjuvants for Ad5 FMD subunit vaccines.", "This was a preliminary investigation prior to in vivo studies.", "Experiments were set up to determine both their cytotoxic effect on 293 cells and their virucidal effects on Ad5-FMD viruses.", "Adjuplex-LAP is a mucosal vaccine adjuvant as well as an adjuvant for parenterally administered vaccines.", "The adjuvant is a lecithin phospholipid/acrylic polymer combination.", "The combination forms a mucoadhesive matrix that facilitates the adsorption of vaccine antigens to mucosal surfaces and subsequent absorption and presentation of antigen to cells of the immune system.", "Both adjuvant components are used in the pharmaceutical and biological industries and thus have value as a delivery and adjuvant system for vaccine antigens.", "Adjuplex-LE is a 5%, or less, oil-in-water emulsion, the oil droplets which are covered by lecithin derived phospholipid vesicles.", "The lipid vesicles act as a carrier for vaccine antigens and make them accessible to cells of the immune system.", "The lipid vesicles on the surface of the oil droplets is also a safety feature making the oil less irritable or not irritable at all to tissue at the injection site.", "The adjuvant is also non-virucidal.", "Therefore the formulation can be, and is, used to adjuvant modified-live virus vaccines.", "The adjuvant can be mixed directly with vaccine antigens without further emulsification or the antigens can be added at the time of emulsification.", "The redox indicator Alamar Blue™ (AB), a fluorescent dye, which has been used in mammalian cell culture cytotoxicity assays.", "AB is a safe, nontoxic aqueous dye, which is used to assess cell viability and cell proliferation because it is stable in cell culture.", "It has also been shown to be a rapid and simple non-radioactive assay alternative to the [3H] thymidine incorporation assay.", "AB both fluoresces and changes color in response to chemical reduction, and the extent of the conversion is a reflection of cell viability.", "AB assay is a simple, one-step procedure.", "Alamar Blue™ assay was set up to study the cytotoxicity effects of two vaccine adjuvants 293 cells.", "There were two objectives: (a) evaluate the relative 293 cell cytotoxity of vaccine adjuvants in combination with Ad5-bovine interferon alpha (Ad5bIFN α) and Ad5O1 vectors, and (b) establish if the vaccine adjuvants are virucidal for Ad5bIFNα vector.", "Alamar Blue™ assay was set up to study the cytotoxicity effect of vaccine adjuvants on 293 cells.", "Alamar Blue™ is a safe, nontoxic aqueous dye which is used to assess cell viability and cell proliferation.", "Materials and Methods 293 Cells Human embryonic kidney (293) cells were obtained from Dr. Patrick Hearing, Department of Microbiology, Stony Brook University, Stony Brook, N.Y., and were propagated in minimum essential medium (MEM) containing 10% fetal bovine serum (FBS), 1% antibiotic-antimycotic solution, and 1% MEM non essential amino acid (NEAA).", "293 cells of passages 15 and 36 were used for transfection, propagation of viruses, virus titrations and performing of cytotoxicity assays.", "Ad5bIFNα and Ad5O1 Plasmids The two plasmids were provided by Dr. Laszlo Zsak from US Department of Homeland Security, Targeted Advanced Development, Plum Island Animal Diseases Center, Orient Point, N.Y. Transfection of Ad5bIFNα and Ad5O1 Plasmids in 293 Cells The pAd5bIFNα and pAd5O1 were linearized by digestion with restriction enzyme Pad and transfected in 293 cells using Lipofectamin™ 2000.Production and Purification of Ad5bIFNα and Ad5O1 Viruses (Vaccine Vectors) The 2 viruses were harvested with the appearance of the initial plaques, which were then grown in large quantities in 293 cells, and purified utilizing a nonlinear followed by a linear CsCl gradient centrifugation.", "Dilutions of Vaccine Viruses (Ad5bIFNα and Ad5O1) 1:10, 1:100 and 1:100 dilutions of both Ad5bIFNα and Ad5O1 vaccine viruses were prepared respectively in EMEM containing 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA.", "Dilutions of Adjuvants (Adjuplex-LAP and Adjuplex-LE) 1:20, 1:200, 1:2000, and 1:20,000 dilutions of both Adjuplex-LAP and Adjuplex-LE adjuvants were prepared respectively in EMEM containing 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA.", "Alamar Blue (AB) AB was aliquoted and stored at −80° C. Prior to each experiment, AB was brought to room temperature and vortexed.", "Exposure of AB to light was minimized throughout the experiments.", "Alamar Blue (AB) Cytotoxicity Assay (Cell Viability Assay) Cell viability of 293 cells was assessed by AB cytotoxicity assay.", "293 cells in EMEM containing 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA were seeded at a density of 1×106 viable cells/ml (1×105/well) in a 96 well Treatment (BD Falcon* Primaria* Tissue culture Treatments, Fisher Scientific Company, Suwanee, Ga.).", "In a second plate, cells were seeded at a density of 10×106 viable cells/ml (1×106/well) to determine the optimal cell concentration for the cytotoxicity study.", "Table 10 shows the addition of diluted Ad5bIFNα, Ad5O1, Adjuplex-LAP and Adjuplex-LE to the cells.", "Briefly, 0.1 ml of each of the dilutions of Ad5bIFNα and Ad5O1 (1:10, 1:100, 1:100) was added to each well respectively in triplicates.", "0.1 ml of each of the dilutions of the adjuvants (1:20, 1:200, 1:2000, and 1:20,000) was added to each well respectively in triplicates.", "EMEM containing 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA was added to wells A1, A2, A3, D1, D2 and D3 as negative controls.", "The two treatments were incubated at 37° C. in a 5% CO2 atmosphere for approximately 18 hours, after which 20 μl AB was added to each well.", "The treatments were returned to the incubator.", "Optical densities (OD) at 570 nm and 600 nm were measured with the ELX808 ultra microplate reader (BioTek Instruments, Inc., Winooski, Vt.) at approximately 42 hours, 50 hours, and 68 hours (total culture times).", "The OD data were analyzed as follows: (a).", "determine % difference in reduction of AB (between media growth control wells and treatment wells); this will indicate the amount inhibition (or stimulation) of cell growth in treatment wells with respect to media control wells, and (b).", "determine % reduction of AB in media control and in treatment wells; this will indicate the amount of cell growth in media control and treatment wells.", "Treatments with higher % reduction than media controls are considered to stimulate cell growth.", "Treatments with lower % reduction than media controls are considered cytotoxic.", "Treatments with the same % reduction are neither cytotoxic nor stimulatory.", "Calculation of Alamar Blue (AB) Reduction Percent reduction of AB was calculated using the manufacturer's formula (33).", "In monitoring AB reduction spectrophotometrically, reduction is expressed as a percentage (% reduced).", "The calculation of % Reduced is as follows when the samples are read at λ1 = 570   nm λ2 = 600   nm %   Reduced = ( ɛ   ox   λ2 )   ( A   λ1 ) - ( ɛ   ox   λ1 )   ( A   λ2 ) ( ɛ   red   λ1 )   ( A ’  λ2 ) - ( ɛ   red   λ2 )   ( A ’  λ1 ) × 100 Where: (εred λ1)=155,677 (Molar extinction coefficient of reduced AlamarBlue™ at 570 nm) (εred λ2)=14,652 (Molar extinction coefficient of reduced AlamarBlue™ at 600 nm) (εox λ1)=80,586 (Molar extinction coefficient of oxidized AlamarBlue™ at 570 nm) (εox λ2)=117,216 (Molar extinction coefficient of oxidized AlamarBlue™ at 600 nm) (Aλ1)=Absorbance of test wells at 570 nm (Aλ2)=Absorbance of test wells at 600 nm (A′λ1)=Absorbance of negative control wells which contain medium plus AlamarBlue™ but to which no cells have been added at 570 nm.", "(A′λ2)=Absorbance of negative control wells which contain medium plus AlamarBlue™ but to which no cells have been added at 600 nm.", "In reporting AlamarBlue™ reduction by monitoring absorbance, data are expressed as percent AlamarBlue™ reduced as a function of time of incubation.", "The AB assay was used to determine viability of 293 cells to vaccine adjuvants.", "Virucidal Assay (TCID50 Assay) The TCID50 assay was employed to ascertain and measure if there were virucidal effects of Adjuplex-LAP and Adjuplex-LE adjuvants on Ad5bIFNα and Ad5O1 viruses.", "293 cells were harvested from a T-150 flask of fresh 293 cells, and counted on a hemocytometer.", "A dilution of the cell suspension at 1×105/ml in MEM containing 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA was made, and at least 10 ml was prepared for each 96 flat-bottomed well tissue culture plate.", "Using a 12-channel pipette and a multichannel pipetter basin, 100 μl of the cell dilution (104 cells/per well) was seeded into 96-well tissue culture plates, and covered.", "They were incubated at 37° C. in a CO2 incubator until used.", "Frozen vials of Ad5bIFNα and Ad5O1 viruses were thawed and kept on ice at all times.", "MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA was used to make virus dilutions of 10−1 to 10−13.The 10 fold dilutions were made in 5 ml sterile, disposable tubes.", "The cells were infected by adding 0.1 ml per well of each virus-adjuvant dilution immediately after the dilutions were made.", "AB assay with a cell concentration of 10×106/ml was also set up.", "Preparation of Antigen-Adjuvant Mixtures Ad5bIFNα Virus-Adjuvant Mixtures Each of the two adjuvants was mixed with Ad5bIFNα according to the manufacturer recommended ratios (LAP:virus ratio, 1:4; LE:virus ratio, 1:1).", "In addition to the recommended ratios, additional ratios (LAP:antigen ratio, 4:1; LE:antigen ratio, 2:1) were also tested to increase the chances of having virucidal effects.", "Each mixture was made in a sterile 1 ml microtube and vortexed three times to ensure adequate mixing before use, and was incubated according to the appropriate conditions.", "The media-virus mixture served as a control.", "The media consisted of MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA.", "The ratios tested were as follows: Experiment 1 Treatment A: 25 μl Media+100 μL Ad5bIFNα Treatment B: 25 μL LAP+100 μL Ad5bIFNα Treatment C: 100 μL Media+100 μL Ad5bIFNα Treatment D: 100 μL LE+100 μL Ad5bIFNα The mixtures were not incubated before dilutions were made.", "Experiment 2 Treatment A: 25 μl Media+100 μL Ad5bIFNα Treatment B: 25 μL LAP+100 μL Ad5bIFNα Treatment C: 100 μL Media+100 μL Ad5bIFNα Treatment D: 100 μL LE+100 μL Ad5bIFNα The mixtures were incubated at room temperature (20-25° C.) for one hour before dilutions were made.", "Experiment 3 Treatment A: 100 μl Media+100 μL Ad5bIFNα Treatment B: 100 μL LAP (4×)+100 μL d5bIFNα Treatment C: 100 μL LE+100 μL Ad5bIFNα Treatment D: 200 μL Media+100 μL Ad5bIFNα Treatment E: 200 μL LE (2×)+100 μL Ad5bIFNα The mixtures were incubated at 39° C. for one hour before dilutions were made.", "Experiment 4 Treatment A: 100 μl Media+100 μL Ad5bIFNα Treatment B: 100 μL LAP (4×)+100 μL Ad5bIFNα Treatment C: 100 μL LE+100 μL Ad5bIFNα Treatment D: 200 μL Media+100 μL Ad5bIFNα Treatment E: 0.200 μL LE (2×)+100 μL Ad5bIFNα The mixtures were incubated at 39° C. for 24 hours before dilutions were made.", "Ad5O1-Adjuvant Mixtures Each of the two adjuvants was mixed with Ad5O1 according to the manufacturer recommended ratios (LAP:virus ratio, 1:4; LE:virus ratio, 1:1).", "In addition to the recommended ratios, additional ratios (LAP:virus ratio, 4:1; LE:virus ratio, 2:1) were also tested to increase the chances of having virucidal effects.", "Each mixture was made in a sterile 1 ml microtube and vortexed three times to ensure adequate mixing before use.", "The media-virus mixture served as a control.", "The media consisted of MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA.", "The ratios tested were as follows: Experiment 1 Treatment A: 25 μl Media+100 μL Ad5O1 Treatment B: 25 μL LAP+100 μL Ad5O1 Treatment C: 100 μL Media+100 μL Ad5O1 Treatment D: 100 μL LE+100 μL Ad5O1 The mixtures were not incubated before being dilutions were made.", "Experiment 2 Treatment A: 25 μl Media+100 μL Ad5O1 Treatment B: 25 μL LAP+100 μL Ad5O1 Treatment C: 100 μL Media+100 μL Ad5O1 Treatment D: 100 μL LE+100 μL Ad5O1 The mixtures were incubated at room temperature (20-25° C.) for one hour dilutions were made.", "Experiment 3 Treatment A: 100 μl Media+100 μL Ad5O1 Treatment B: 100 μL LAP (4×)+100 μL Ad5O1 Treatment C: 100 μL LE+100 μL Ad5O1 Treatment D: 200 μL Media+100 μL Ad5O1 Treatment E: 200 μL LE (2×)+100 μL Ad5O1 The mixtures were incubated at 39° C. for one hour before dilutions were made.", "Experiment 4 Treatment A: 100 μl Media+100 μL Ad5O1 Treatment B: 100 μL LAP (4×)+100 μL Ad5O1 Treatment C: 100 μL LE+100 μL Ad5O1 Treatment D: 200 μL Media+100 μL AdO1 Treatment E: 0.200 μL LE (2×)+100 μL Ad5O1 The mixtures were incubated at 39° C. for 24 hours before dilutions were made.", "Preparation of Virus-Adjuvant Dilutions From each treatment, serial 10 fold dilutions of adjuvant-virus mixtures were prepared in 5 ml sterile, disposable tubes using MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA to prepare adjuvant-virus dilutions of 10−1 to 10−13.0.9 ml MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA was dispensed into the first two tubes.", "To each of the eleven other tubes was added 1.8 ml.", "0.1 ml of each adjuvant-virus mixture from each treatment was added to the first tube and mixed by votexing.", "Filtered pipette tips were changed between dilutions.", "0.1 ml of the 10−1 dilution was withdrawn and transferred to the second tube containing MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA.", "0.2 ml of the 10−2 dilution was withdrawn and transferred to the third tube containing MEM supplemented with 2% FBS, 1% antibiotic-antimycotic solution, and 1% MEM-NEAA, and this became 10−3 virus dilution.", "The above steps were repeated to prepare the next virus dilutions (10−4 to 10−13).", "Infection of Cells with Virus-Adjuvant Dilutions One 96-well plate was used for infection per treatment.", "Immediately after the dilutions were made, the cells were infected by adding 0.1 ml per well of each virus-adjuvant dilution.", "0.1 ml of the virus-adjuvant suspension with the highest dilution was dispensed in column 1 wells to infect the cells in the 8 wells of this column.", "The cells in the 8 wells of the next column; column 2 of the 96-well were infected with 0.1 ml of the next adjuvant-virus dilution (10−12).", "The cells in the 8 wells of columns 3 through 11 were infected with 0.1 ml of the remaining virus-adjuvant dilutions (10−11-10−13).", "Pipette tips were changed between dilutions.", "To test the cell viability and as a negative control (no adjuvant-virus control), 0.1 ml/well of MEM containing 2% FBS, 1% antibiotic-antimycotic solution and 1% MEM-NEAA was added to each well in column 12.Each plate was covered and incubated at 37° C. in a CO2 incubator for 10 days.", "Cells in each plate were observed daily by an inverted microscope for cytopathic effects (CPE) over the next 10 days.", "Observable CPE per column were counted and recorded.", "Final reading of each plate was done on the 10th day post incubation to determine the titer.", "A well was counted as positive even if only a small spot or a few cells showed CPE.", "The negative control wells were used for comparison.", "The test was valid if the negative controls did not show any CPE or cell growth problems, and the lowest dilution showed 100% infection (8/8) while the highest dilutions showed 0% infection (0/8).", "The results were recorded for day 10, scoring wells “+” (CPE positive) or “−” (CPE negative) using the CPE scoring form in appendix A, and the ratio of positive wells per column was determined, and recorded it as in appendix A.", "After recording the assay data, the plates were placed in a biohazard bag and autoclaved and discarded as biohazardous waste.", "For each plate (treatment), TCID50/ml titer was calculated using the KÄRBER statistical method.", "Compare the TCID50/ml between the treatments in each experiment to determine if there was a difference.", "A difference in titers between treatments in each experiment that is greater than 0.7 log was considered to be significant, which means the adjuvant in that experiment was virucidal to the tested virus.", "Results Alamar Blue (AB) Cytotoxicity Assay (Cell Viability Assay) Alamar Blue™ was used to measure 293 cell viability at two densities There was cell clumping at a density of 10×106/ml for all treatments.", "The 1×106/ml density was better than the higher density.", "Cell Viability Assay on Exposure to Ad5bIFNα At a cell concentration of 1×106/ml, the 1:100 dilution of Ad5bIFNα produced the higher % AB reduction than the media controls at 42 and 50 hours post exposure (FIGS.", "5 and 6).", "However, there was a % AB reduction at 68 hours post exposure (FIG.", "7).", "Similar results were obtained at a cell density of 10×106/ml (FIGS.", "8-10).", "Cell Viability Assay on Exposure to Adjuplex LAP Cell exposure at a cell density of 1×106/ml to Adjuplex LAP at a dilution of 1:20 gave the higher % AB reduction than the media controls at 42 and 50 hours post exposure (FIGS.", "5 and 6) but with a % AB reduction at 68 hours post exposure (FIG.", "7).", "Similar results were obtained at a cell density of 10×106/ml (FIGS.", "8-10).", "Cell Viability Assay on Exposure to Adjuplex LE When 293 cells at a cell density 1×106/ml were treated with Adjuplex LE at a dilution of 1:20, higher % AB reductions were obtained compared to the media controls at 42 and 50 hours post exposure (FIGS.", "5 and 6) but with a % AB reduction at 68 hours post exposure (FIG.", "7).", "Similar results were obtained at a cell density of 10×106/ml (FIGS.", "8-10).", "Cell Viability Assay on Exposure to Emulsigen Treatment of 293 cells at a cell density 1×106/ml with Emulsigen at 1:20 dilution resulted in higher % AB reductions relative to the media controls at 42 and 50 hours post exposure (FIGS.", "5 and 6) but with lower % AB reductions at 68 hours post exposure (FIG.", "7).", "Similar results were obtained at a cell density of 10×106/ml (FIGS.", "8-10).", "Virucidal Assay (TCID50 Assay) Ad5bIFNα Virus-Adjuvant Mixtures Experiment 1 The log 10 titers of treatments in this group were 10.0, 9.9, 9.5, and 9.6 (Table 11, FIG.", "11).", "The differences between them were lower than log 0.7 (34), therefore there were no significant differences within this treatment group (34).", "This indicates that the two adjuvants did not produce any virucidal effect on the virus when combined at room temperature.", "Experiment 2 As in the first experiment, leaving the virus-adjuvant mixtures at 24° C. for one hour of incubation, the two adjuvants did not produce any virucidal effect on the virus because the differences between log 10 titers were less than log 10 0.7 (34), (Table 11, FIG.", "11).", "Experiment 3 The adjuvants in these treatment groups did not exhibit any virucidal effect on the virus when incubated for one hour at 39° C. (Table 11, FIG.", "11).", "Experiment 4 Incubating the two first treatment groups at 39° C. for 24 hours did not produce any virucidal effect by Adjuplex-LAP adjuvant on the virus (Table 11, FIG.", "11).", "However, treatments at these incubation time and temperature conditions significantly reduced titers by 3.3- and 1.9-log 10 TCID50 (34) when compared to the control treatment groups at the recommended amount and when the amount of Adjuplex LE was doubled, respectively.", "Ad5O1 Virus-Adjuvant Mixtures Experiment 1 There were no significant differences in virus titers of treatments A and B.", "The reduction in titer between treatments C and D was 0.2 log 10 TCID50 and this was not significant (34) (Table 12, FIG.", "12).", "Virus-adjuvant mixtures in this experiment were not incubated.", "Experiment 2 There was no significant titer reduction between treatments A and B incubated for 1 hour at 20° C. The adjuvants did not produce any significant titer reduction (34) in treatments C and D (Table 12, FIG.", "12).", "Experiment 3 In a similar fashion, all the groups in this experiment did not show any significant differences in log 10 titer reduction.", "Experiment 4 There was a significant reduction (1.0 log 10) in titers between treatments A and B.", "The titer reduction (0.3 log) between treatments 3 and 4 was not significant (34) (Table 12, FIG.", "12).", "TABLE 10 Alamar Blue Cytoxicity Assay Setup 1 2 3 4 5 6 7 8 9 10 11 12 Plate 1: 1 × 10{circumflex over ( )}5 cells per well A #1 media + cells #1 Ad5-bIFNα 1:10 #1 Ad5-bIFNα 1:100 Media NO CELLS B #1 Adjuplex-LAP 1:20 #1 Adjuplex-LAP 1:200 #1 Adjuplex-LAP 1:2000 #1 Adjuplex-LAP 1:20000 C #1 Adjuplex-LE 1:20 #1 Adjuplex-LE 1:200 #1 Adjuplex-LE 1:2000 #1 Adjuplex-LE 1:20000 D #2 media + cells #1 Ad5-bIFNα 1:10 #1 Ad5-bIFNα 1:100 Media NO CELLS E #2 Adjucplex-LAP 1:20 #2 Adjuplex-LAP 1:200 #2 Adjuplex-LAP 1:2000 #2 Adjuplex-LAP 1:20000 F #2 Adjuplex-LE 1:20 #2 Adjuplex-LE 1:200 #2 Adjuplex-LE 1:2000 #1 Adjuplex-LE 1:20000 G #2 Adjucplex-LAP 1:20 #2 Adjuplex-LAP 1:200 #2 Adjuplex-LAP 1:2000 #2 Adjuplex-LAP 1:20000 H #2 Adjuplex-LE 1:20 #2 Adjuplex-LE 1:200 #2 Adjuplex-LE 1:2000 #1 Adjuplex-LE 1:20000 Plate 2: 1 × 10{circumflex over ( )}5 cells per well A #1 media + cells #1 Ad5-bIFNα 1:10 #1 Ad5-bIFNα 1:100 Media NO CELLS B #1 Adjuplex-LAP 1:20 #1 Adjuplex-LAP 1:200 #1 Adjuplex-LAP 1:2000 #1 Adjuplex-LAP 1:20000 C #1 Adjuplex-LE 1:20 #1 Adjuplex-LE 1:200 #1 Adjuplex-LE 1:2000 #1 Adjuplex-LE 1:20000 D #2 media + cells #1 Ad5-bIFNα 1:10 #1 Ad5-bIFNα 1:100 Media NO CELLS E #2 Adjucplex-LAP 1:20 #2 Adjuplex-LAP 1:200 #2 Adjuplex-LAP 1:2000 #2 Adjuplex-LAP 1:20000 F #2 Adjuplex-LE 1:20 #2 Adjuplex-LE 1:200 #2 Adjuplex-LE 1:2000 #1 Adjuplex-LE 1:20000 G #2 Adjucplex-LAP 1:20 #2 Adjuplex-LAP 1:200 #2 Adjuplex-LAP 1:2000 #2 Adjuplex-LAP 1:20000 TABLE 11 Ad5bIFNα virus-Adjuvant Mixture Titers Expressed in Log10 TCID50 Experiment Temperature Incubation Log10 Number Treatment ° C. Time (hrs) TCID50 1 A.", "25 μl Media + 100 μl Ad5bIFNα None 10.0 B.", "25 μl LAP + 100 μl Ad5bIFNα None 9.9 C. 100 μl LE + 100 μl Ad5bIFNα None 9.5 D. 100 μl Media + 100 μl Ad5bIFNα None 9.6 2 A.", "25 μl Media + 100 μl Ad5bIFNα 20 1 10.6 B.", "25 μl LAP + 100 μl Ad5bIFNα 20 1 10.5 C. 100 μl Media + 100 μl Ad5bIFNα 20 1 10.4 D. 100 μl LE + 100 μl Ad5bIFNα 20 1 10.3 3 A.", "100 μl Media + 100 μl Ad5bIFNα 39 1 10.0 B.", "100 μl LAP (4X)* + 100 μl Ad5bIFNα 39 1 9.9 C. 100 μl LE + 100 μl Ad5bIFNα 39 1 9.9 D. 200 μl Media + 100 μl Ad5bIFNα 39 1 9.8 E. 200 μl LE (2X)** + 100 μl Ad5bIFNα 39 1 9.9 4 A.", "100 μl Media + 100 μl Ad5bIFNα 39 24 9.9 B.", "100 μl LAP (4X)* + 100 μl Ad5bIFNα 39 24 9.9 3.100 μl LE + 100 μl Ad5bIFNα 39 24 6.6 4.200 μl Media + 100 μl Ad5bIFNα 39 24 7.5 5.200 μl LE (2X)** + 100 μl Ad5bIFNα 39 24 5.6 Ad5bIFNα virus (without adjuvants) titer expressed in Log10 TCID50 = 10.5 *= Indicates four-fold in the amount of recommended concentration **= Indicates twice the amount of recommended concentration TABLE 12 Ad5O1 virus-Adjuvant Mixture Titers Expressed in Log10 TCID50 Experiment Temperature Incubation Log10 Number Treatment ° C. Time (hrs) TCID50 1 A.", "25 μl Media + 100 μl Ad5O1 None 10.6 B.", "25 μl LAP + 100 μl Ad5O1 None 10.8 C. 100 μl Media + 100 μl Ad5O1 None 9.6 D. 100 μl LE + 100 μl Ad5O1 None 9.8 2 A.", "25 μl Media + 100 μl Ad5O1 20 1 10.8 B.", "25 μl LAP + 100 μl Ad5O1 20 1 11.1 C. 100 μl Media + 100 μl Ad5O1 20 1 10.4 D. 100 μl LE + 100 μl Ad5O1 20 1 10.6 3 A.", "100 μl Media + 100 μl Ad5O1 39 1 10.0 B.", "100 μl LAP (4X)* + 100 μl Ad5O1 39 1 10.1 C. 200 μl Media + 100 μl Ad5O1 39 1 9.5 D. 200 μl LE (2X)** + 100 μl Ad5O1 39 1 9.5 4 A.", "100 μl Media + 100 μl Ad5O1 39 24 9.9 B.", "100 μl LAP (4X)* + 100 μl Ad5O1 39 24 10.9 C. 100 μl LE + 100 μl Ad5O1 39 24 10.0 D. 200 μl Media + 100 μl Ad5O1 39 24 8.3 E. 200 μl LE (2X)** + 100 μl Ad5O1 39 24 8.0 Ad5O1 virus (without adjuvants) titer expressed in Log10 TCID50 = 11.8 *= Indicates four-fold in the amount of recommended concentration **= Indicates twice the amount of recommended concentration Discussion Alamar Blue is a redox indicator of viable cell number.", "At cell density of 10×106/ml, cell clumping was observed, which indicated that there were too many cells in each well at this concentration.", "There was no clumping at a cell concentration of 1×106/ml.", "Based on this observation, the cell concentration 1×106/ml was employed in the study.", "In this study AB was employed to measure 293 cell viability at two cell concentrations.", "Optical densities were measured at 570 nm and 600 nm at approximately 42 hours (total culture time), 50 hours, and 68 hours.", "The OD data obtained were analyzed using the formula provided by the AB manufacturer.", "The % difference in reduction of AB (between media growth control wells and treatment wells) indicated the amount inhibition (or stimulation) of cell growth in treatment wells with respect to media control wells.", "Treatments with higher % reductions than media controls are considered to stimulate cell growth.", "Treatments with lower % reduction than media controls are considered cytotoxic.", "Treatments with the same % reduction are neither cytotoxic nor stimulatory.", "A dilution of 1:100 of Ad5bIFNα virus gave the higher % reduction of AB at 42 and 50 hours post exposure to the 293 cells at both 1×106/ml and 10×106/ml.", "The 1×106/ml cell concentration would be the best concentration because there was no cell clumping observed at a cell concentration of 1×106/ml.", "The 1:100 dilution would be the optimal dilution of Ad5bIFNα virus for 293 cells.", "Adjuplex LAP, LE, and emulsigen adjuvants at dilutions of 1:20 gave the highest percent reduction of AB at 42 and 50 hours post exposure to 293 cells.", "When LAP and LE were mixed at room temperature with Ad5bIFNα virus and immediately assayed for virus titer, there was no significant reduction in log titer.", "A similar result was obtained when the virus-adjuvant mixtures were incubated for one hour.", "There was no significant virus titer reduction in the virus-adjuvant mixtures when incubated for one hour at room temperature.", "The adjuvant contents of LAP and LE of the mixtures were increased four-fold and two fold, respectively, in order to increase the possibility of these adjuvants having a virucidal effect on the viruses.", "However, the reduction in titer was very little.", "When the incubation temperature was raised to 39° C. but incubation time remaining the same, there was no appreciable reduction in titer.", "The virus-adjuvant mixtures were incubated with 4- and 2-fold in the LAP and LE contents, respectively, for 24 hours (previously it was one hour), there was no reduction in titer of the virus-adjuvant LAP mixture.", "However, there was a 1.9 log 10 reduction in titer of the virus-LE mixture.", "This reduction was significant (35).", "The titer reduction 3.3 log 10 was even greater when the amount of LE in the virus-adjuvant mixture was not increased.", "The conclusion drawn from this study was that adjuplex LAP did not have any virucidal effect on Ad5bIFNα virus at the recommended amount and even when the amount was increased by 4.The incubation temperatures and times for this observation were 20° C., 39° C., 1 and 24 hours.", "However, the same could not be said for adjuplex LE because although there were no titer reductions when the incubation temperature and time were 39° C. and one hour, when the time of incubation was increased to 24 hours there were significant reductions in virus titers at both concentrations.", "Results from this study would suggest that Adjuplex-LE adjuvant produced a virucidal effect on Ad5bIFNα virus when the adjuvant concentration in the mixture was increased two-fold., and also at the recommended concentration.", "Similar results were obtained for Ad5O1 virus was mixed with both adjuplex LAP and LE at the recommended quantity and when the amount was increased by 4 fold and 2 fold, respectively.", "Furthermore, there were no significant titer reductions even when the mixtures were incubated at 39° C. for 24 hours.", "The 39° incubation temperature was selected because that is the average rectal temperature of cattle.", "It is recommended that adjuplex LAP should be the adjuvant to be combined with the Ad5bIFNα, Ad5O1 and other Ad5 based FMD sub unit vaccines for clinical evaluation in cattle.", "Example 13 Vaccination of Chickens with H5 HA-Transfected Cells and its Effect on Detectable Shedding of Low Pathogenic Avian Influenza Virus Following Challenge by Real-Time Reverse Transcriptase Polymerase Chain Reaction Objective The objective of this study was to challenge chickens vaccinated with H5N2 low pathogenic avian influenza (LPAI) virus and evaluate effect by measuring virus shed from the oropharynx and the cloaca by real-time reverse transcriptase polymerase chain reaction.", "Background A further purpose was to evaluate if Chinese Hamster Ovary (CHO) cells, stably transfected with H5 HA expressing plasmid, would stimulate an immune response in birds.", "Following one vaccination, seroconversion to H5 HA was noted in a few birds.", "The birds were subsequently administered a second dose.", "Since the birds seroconverted following one dose, the birds were challenged with H5N2 LPAI virus to determine if the detectable serological response had any effect on virus shed.", "Test/Control Articles 1.Generic Name: Media/Lecithin acrylic copolymer plus Quil A cholesterol Formulation: Media—DMEM, fetal calf serum, non-essential amino acids, L-glutamine 2.Generic Name: Control Cells/Lecithin acrylic copolymer plus Quil A cholesterol Formulation: Control cells—CHO cells not expressing HA 3.Generic Name: CHO-HA-10 cells freeze/thaw/Lecithin acrylic copolymer plus Quil A cholesterol Formulation: CHO-HA-10—CHO cells transfected to express HA with a freeze/thaw application 4.Generic Name: CHO-HA-10 cells fresh/Lecithin acrylic copolymer plus Quil A cholesterol Formulation: CHO-HA-10—CHO cells transfected to express HA prepared fresh Challenge Organism Description: LPAI* virus isolate A/TK/CA/209092/02 (H5N2) Origin: National Veterinary Services Laboratory Dosage: Challenge dose of 105.5 ELD50** per 0.1 ml dose Route of Infection: Intranasal *LPAI—Low pathogenic avian influenza**ELD50—Embryo lethal dose 50 TABLE 13 STUDY ANIMALS Species: Chickens Type: SPF Breed/Strain: Leghorn Sex: Male and Female Description: Individually identified Age: 64 days at challenge Origin (chicks) Hy-Vac Total: 15 21459 Old Hwy 6 Adel, Iowa 50003 Birds were transferred from IACUC request BEDA 1197-06-06 for challenge.", "TABLE 14 STUDY DESIGN Trt.", "Treatment Group Bird LPAI IN* Challenge Sample** No.", "Antigen Adjuvant Numbers Day 0 Days T1 None None 3 H5N2 105.5 ELD50# 0 through 6 T2 Media## LAP/QAC† 3 H5N2 105.5 ELD50# 0 through 6 T3 Control cells†† LAP/QAC† 3 H5N2 105.5 ELD50# 0 through 6 T4 CHO-HA-10 cells‡ LAP/QAC† 3 H5N2 105.5 ELD50# 0 through 6 freeze/thaw T5 CHO-HA-10 cells‡ LAP/QAC† 3 H5N2 105.5 ELD50# 0 through 6 fresh *LPAI IN—Low pathogenic avian influenza virus isolate A/TK/CA/209092/02 (H5N2), intranasal administration with 0.1 ml.", "**Sample Days—Oropharyngeal and cloacal samples collected.", "Samples were evaluated by real-time reverse transcriptase polymerase chain reaction.", "On Day 0, blood samples were also collected that were evaluated by hemagglutination inhibition.", "#H5N2 105.5 ELD50—Low pathogenic avian influenza isolate A/TK/CA/209092/02 administered intranasally at a dose of 105.5 embryo lethal dose 50 per 0.1 ml challenge inoculum.", "##Media—DMEM, fetal calf serum, non-essential amino acids, L-glutamine.", "†LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "††Control cells—CHO cells not expressing HA.", "‡CHO-HA-10 cells—CHO cells transfected to express HA.", "Procedures Prior to Day 0 Leghorn specific-pathogen-free chicks used in the study were derived from IACUC request BEDA 1197-06-06.Birds were placed in five isolators, three birds per isolator with each isolator housing a treatment group.", "Day 0 On Day 0, a blood sample, an oropharyngeal swab, and a cloacal swab were collected from each bird.", "Oropharyngeal and cloacal swabs were placed into one ml of culture medium and frozen at approximately −80° C. until processed for viral detection.", "Also on Day 0, all birds were exposed by the intranasal route to 0.1 ml of challenge inoculum of LPAI H5N2 isolate according to the table under STUDY DESIGN.", "The titer of the inoculum was 105.5 ELD50 per 0.1-ml dose.", "Days 1 Through 6 On Days 1 through 6, an oropharyngeal swab and a cloacal swab were collected from each bird in all treatment groups and processed as described previously.", "All birds were euthanized and disposed of according to standard operating procedures following sample collection on Day 6.Serum Testing Serum samples collected from birds on Day 0 were analyzed for hemagglutination inhibition (HAI) titers against H5 avian influenza virus (A/Turkey/Wisconsin/68 [H5N9]).", "Results of serological testing on serum samples collected from birds while on the BEDA 1197-06-06 IACUC Request Study have been incorporated into this report.", "Virus Detection Oropharyngeal swabs collected on Days 0 through 6 and cloacal swabs collected on Days 2 through 6 from birds in treatment groups T1, T3, T4, and T5 were analyzed for viral RNA by RT-rtPCR.", "Samples collected from birds in treatment group T2 were not analyzed and cloacal samples collected on Days 0 and 1 from the remaining treatment groups were also not analyzed.", "RNA extraction for the RT-rtPCR assay was conducted in the laboratory at 2321 30 Road, Brainard, Nebr.", "The RT-rtPCR assay was conducted in the laboratory at 521 West Industrial Lake Drive, Lincoln, Nebr.", "Deviations to the Protocol According to the Benchmark Biolabs IACUC proposal (BEDA 1197-06-06) under the direction of standard operating procedure AC-019-01, first vaccination was to take place when birds were four to six weeks of age.", "Birds were approximately 26 days of age at time of first vaccination.", "This deviation had no impact on the study.", "According to the Benchmark Biolabs IACUC proposal (BEDA 1197-06-06) under the direction of standard operating procedure AC-019-01, the media used in treatment group 2 (T2) was to contain fetal calf serum.", "However, due to the cost of serum and the fact that the cells used for inoculation in T3, T4, and T5 groups were rinsed, serum was not added as it was determined that the addition or elimination of serum would not effect the antibody response to the test antigen in this study.", "Therefore, this deviation had no impact on the study.", "Leghorn specific-pathogen-free chicks were used in the study and were derived from IACUC request BEDA 1197-06-06.Birds were to be placed in five isolators, three birds per isolator; however, birds were placed into individual isolators by treatment group rather than by placement of birds from different treatment groups in each isolator.", "In addition, the documentation for placement into the isolators was not done.", "This deviation impacted the study in that there was no effort to control for isolator effect on individual treatment groups which could have had undetected consequences on one or more treatment groups.", "Data Analysis Descriptive statistics were conducted on data collected.", "Results and Discussion Table 15.Avian influenza hemagglutination inhibition (HAI) assay titers Table 16.Geometric mean titers for real-time reverse transcriptase polymerase chain reaction assay results on oropharyngeal swab samples Table 17.Geometric mean titers for real-time reverse transcriptase polymerase chain reaction assay results on cloacal swab samples Table 18.Real-time reverse transcriptase polymerase chain reaction assay results on oro-pharyngeal swab samples Table 19.Real-time reverse transcriptase polymerase chain reaction assay results on cloacal swab samples Table 15 lists the results of the avian influenza H5 HAI serological testing.", "Following first vaccination on Day −25 on the BEDA 1197-06-06 IACUC Request Study, one of three birds in treatment group T4 and two of three birds in treatment group T5 had detectable H5 serological titers of either 8 or 16.On Day 0, all birds in treatment groups T4 and T5 had seroconverted to H5 with titers ranging from 8 to 128.No birds in treatment groups T1 through T3 had detectable H5 serological titers on either sampling day.", "These detectable titers were considered substantial due to the fact that the CHO cells were transfected with H5 (A/Chicken/Scotland 59 [H5N1]) that was heterologous to the H5 antigen in the serological assay (A/Turkey/Wisconsin 68 [H5N9]).", "Table 16 lists the geometric mean titer (GMT) results of the oropharyngeal swab testing.", "No viral RNA was detected on Day 0 from any treatment groups.", "For Days 1 through 6, virus levels were detected by RT-rtPCR in all three T1 birds (negative controls) with the peak mean titer occurring on Day 1 (GMT of 7.00×107 viral copy number) and a secondary peak titer occurring on Day 4 (GMT of 3.37×107 viral copy number).", "The viral copy number of the three T1 birds declined to a GMT of 7.55×104 by Day 6.In birds that were administered only non-transfected CHO cells (T3) or CHO cells transfected to express H5 HA (T4 and T5), similar levels of viral copy number were detected on Days 1 through 6 when compared to treatment group T1.This indicates that vaccination with either fresh H5-transfected CHO cells or frozen and thawed H5-transfected CHO cells had no effect on A/TK/CA/209092/02 (H5N2) oropharyngeal viral shedding.", "Table 17 lists the geometric mean titer (GMT) results of the cloacal swab testing.", "For Days 2 through 6, virus levels were detected by RT-rtPCR in all three T1 birds (negative controls) with the peak mean titer occurring on Day 5 (GMT of 4.78×106 viral copy number).", "In general, the shedding was more variable than that detected in oropharyngeal swabs from the same birds.", "In birds that were administered only non-transfected CHO cells (T3) or CHO cells transfected to express H5 HA (T4 and T5), generally lower levels of viral copy number were detected on Days 2 through 6 when compared to treatment group T1; however, the results varied greatly from bird to bird and day to day.", "This suggests that measuring vaccine effects on fecal shedding via cloacal swabs may be difficult with A/TK/CA/209092/02 (H5N2) and the effect of vaccination in this trial could not be evaluated.", "Conclusion It is concluded that vaccination with fresh H5-transfected CHO cells or frozen and thawed H5-transfected CHO cells induced detectable titers in a heterologous H5 antigen serological assay but had no effect on A/TK/CA/209092/02 (H5N2) oropharyngeal viral shedding.", "Fecal shedding of A/TK/CA/209092/02 (H5N2) could not be evaluated due to highly variable shedding detected in cloacal swabs.", "It is also concluded that Quil A and cholesterol when used in combination with other adjuvant based embodiments described herein have surprising utility in the context of the present invention.", "TABLE 15 Avian influenza hemagglutination inhibition (HAI) assay titers H5 HAI H5 HAI Trt.", "Treatment Group Bird titer titer No.", "Antigen Adjuvant Number Day −25* Day 0** T1 None None 67 <8 <2 68 <8 <2 69 <8 <2 T2 Media# LAP/ 70 <8 <2 QAC## 71 <8 <2 72 <8 <2 T3 Control cells† LAP/ 73 <8 <2 QAC## 74 <8 <2 75 <8 <2 T4 CHO-HA-10 LAP/ 76 8 64 cells†† QAC## 77 <8 64 freeze/thaw 78 <8 128 T5 CHO-HA-10 LAP/ 79 <8 8 cells†† fresh QAC## 80 8 128 81 16 128 *H5 HAI titer Day −25—Hemagglutination inhibition titer to H5N9 avian influenza virus.", "**H5 HAI titer Day 0—Hemagglutination inhibition titer to H5N9 avian influenza virus.", "#Media—DMEM, non-essential amino acids, L-glutamine.", "##LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "†Control cells—CHO cells not expressing HA.", "††CHO-HA-10 cells—CHO cells transfected to express HA.", "TABLE 16 Geometric mean titers for real-time reverse transcriptase polymerase chain reaction assay results on oropharyngeal swab samples RT-rtPCR* Number of GMT Trt.", "Treatment Group Study birds positive/ viral copy No.", "Antigen Adjuvant Day total birds number** T1 None None 0 0/3 0.00 × 100 1 3/3 7.00 × 107 2 3/3 8.32 × 106 3 3/3 1.40 × 107 4 3/3 3.37 × 107 5 3/3 6.64 × 106 6 3/3 7.55 × 104 T2 Media# LAP/ 0 Not done Not done QAC## 1 Not done Not done 2 Not done Not done 3 Not done Not done 4 Not done Not done 5 Not done Not done 6 Not done Not done T3 Control LAP/ 0 0/3 0.00 × 100 cells† QAC## 1 3/3 5.79 × 106 2 3/3 2.37 × 107 3 3/3 6.70 × 106 4 3/3 1.15 × 107 5 3/3 3.80 × 106 6 3/3 1.03 × 106 T4 CHO-HA-10 LAP/ 0 0/3 0.00 × 100 cells†† QAC## 1 3/3 9.33 × 106 freeze/thaw 2 3/3 4.55 × 106 3 3/3 6.46 × 106 4 3/3 4.46 × 107 5 3/3 5.91 × 106 6 3/3 4.39 × 106 T5 CHO-HA-10 LAP/ 0 0/3 0.00 × 100 cells†† fresh QAC## 1 3/3 9.23 × 106 2 3/3 8.17 × 106 3 3/3 1.04 × 107 4 3/3 9.58 × 107 5 3/3 7.87 × 106 6 3/3 2.95 × 106 *RT-rtPCR—Real-time reverse transcriptase polymerase chain reaction assay.", "**GMT viral copy number—Geometric mean titer viral copy number.", "Samples where no copies were detected were factored as 1 for calculation of GMT.", "#Media—DMEM, non-essential amino acids, L-glutamine.", "##LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "†Control cells—CHO cells not expressing HA.", "††CHO-HA-10 cells—CHO cells transfected to express HA.", "TABLE 17 Geometric mean titers for real-time reverse transcriptase polymerase chain reaction assay results on cloacal swab samples RT-rtPCR* Number of GMT Trt.", "Treatment Group Study birds positive/ viral copy No.", "Antigen Adjuvant Day total birds number** T1 None None 0 Not done Not done 1 Not done Not done 2 3/3 5.46 × 105 3 3/3 1.34 × 105 4 3/3 5.66 × 105 5 3/3 4.78 × 106 6 3/3 2.03 × 106 T2 Media# LAP/ 0 Not done Not done QAC## 1 Not done Not done 2 Not done Not done 3 Not done Not done 4 Not done Not done 5 Not done Not done 6 Not done Not done T3 Control LAP/ 0 Not done Not done cells† QAC## 1 Not done Not done 2 1/3 3.64 × 101 3 2/3 4.90 × 102 4 3/3 1.13 × 105 5 3/3 3.35 × 105 6 2/3 6.86 × 104 T4 CHO-HA-10 LAP/ 0 Not done Not done cells†† QAC## 1 Not done Not done freeze/thaw 2 2/3 1.05 × 103 3 2/3 1.06 × 104 4 3/3 8.55 × 105 5 3/3 1.12 × 106 6 1/3 1.95 × 102 T5 CHO-HA-10 LAP/ 0 Not done Not done cells†† fresh QAC## 1 Not done Not done 2 3/3 3.31 × 104 3 3/3 5.15 × 104 4 3/3 7.19 × 105 5 3/3 1.39 × 105 6 3/3 1.01 × 106 *RT-rtPCR—Real-time reverse transcriptase polymerase chain reaction assay.", "**GMT viral copy number—Geometric mean titer viral copy number.", "Samples where no copies were detected were factored as 1 for calculation of GMT.", "#Media—DMEM, non-essential amino acids, L-glutamine.", "##LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "†Control cells—CHO cells not expressing HA.", "††CHO-HA-10 cells—CHO cells transfected to express HA.", "TABLE 18 Real-time reverse transcriptase polymerase chain reaction assay results on oropharyngeal swab samples Bird RT-rtPCR* (Mean viral copy number)** on oropharyngeal swabs Trt.", "Group number Day 0 Day 1 Day 2 Day 3 Day 4 Day 5 Day 6 T1 67 0.00 × 100 2.07 × 108 7.87 × 106 1.67 × 107 2.54 × 107 6.48 × 106 1.91 × 105 Antigen - 68 0.00 × 100 3.70 × 107 7.94 × 106 7.03 × 107 2.00 × 107 3.48 × 106 2.38 × 104 none 69 0.00 × 100 4.48 × 107 9.22 × 106 2.36 × 106 7.56 × 107 1.30 × 107 9.47 × 104 Adjuvant - none T2 70 Not done Not done Not done Not done Not done Not done Not done Media# 71 Not done Not done Not done Not done Not done Not done Not done LAP/QAC## 72 Not done Not done Not done Not done Not done Not done Not done T3 73 0.00 × 100 1.20 × 107 7.44 × 105 1.63 × 107 1.70 × 107 2.19 × 106 4.03 × 106 Control 74 0.00 × 100 9.39 × 106 4.92 × 106 1.25 × 107 5.66 × 106 1.10 × 107 1.40 × 106 cells† 75 0.00 × 100 1.72 × 106 3.63 × 109 1.48 × 106 1.59 × 107 2.26 × 106 1.92 × 105 LAP/QAC## T4 76 0.00 × 100 3.04 × 107 5.57 × 106 1.50 × 107 6.04 × 107 2.86 × 106 1.43 × 106 CHO-HA-10 77 0.00 × 100 1.87 × 107 3.04 × 106 3.86 × 106 6.16 × 107 6.67 × 106 1.05 × 107 cells†† freeze 78 0.00 × 100 1.43 × 106 5.55 × 106 4.65 × 106 2.38 × 107 1.08 × 107 5.63 × 106 thaw LAP/QAC## T5 79 0.00 × 100 4.41 × 106 7.06 × 107 3.05 × 106 1.61 × 107 1.07 × 107 4.65 × 106 CHO-HA-10 80 0.00 × 100 1.51 × 107 5.32 × 106 1.07 × 107 1.23 × 108 1.86 × 107 4.11 × 106 cells†† fresh 81 0.00 × 100 1.18 × 107 1.45 × 106 3.42 × 107 4.43 × 108 2.45 × 106 1.34 × 106 LAP/QAC## *RT-rtPCR—Real-time reverse transcriptase polymerase chain reaction assay.", "**Mean titer viral copy number - Samples where no copies were detected were factored as 0 for calculation of means.", "#Media - DMEM, non-essential amino acids, L-glutamine ##LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "†Control cells - CHO cells not expressing HA.", "††CHO-HA-10 cells—CHO cells transfected to express HA TABLE 19 Real-time reverse transcriptase polymerase chain reaction assay results on cloacal swab samples Bird RT-rtPCR* (Mean viral copy number)** on cloacal swabs Trt.", "Group number Day 0 Day 1 Day 2 Day 3 Day 4 Day 5 Day 6 T1 67 Not done Not done 2.81 × 108 1.29 × 106 4.42 × 107 6.58 × 108 4.99 × 107 Antigen - 68 Not done Not done 4.34 × 104 5.51 × 104 6.07 × 104 3.94 × 104 1.37 × 104 none 69 Not done Not done 1.34 × 104 3.41 × 104 6.74 × 104 4.21 × 106 1.23 × 107 Adjuvant - none T2 70 Not done Not done Not done Not done Not done Not done Not done Media# 71 Not done Not done Not done Not done Not done Not done Not done LAP/QAC## 72 Not done Not done Not done Not done Not done Not done Not done T3 73 Not done Not done 4.81 × 104 1.48 × 104 8.33 × 104 1.89 × 104 0.00 × 100 Control 74 Not done Not done 0.00 × 100 0.00 × 100 1.75 × 105 8.54 × 106 5.43 × 107 cells† 75 Not done Not done 0.00 × 100 7.96 × 103 9.93 × 104 2.33 × 105 5.95 × 106 LAP/QAC## T4 76 Not done Not done 2.65 × 104 2.61 × 104 2.42 × 105 8.58 × 104 0.00 × 100 CHO-HA-10 77 Not done Not done 0.00 × 100 0.00 × 100 4.69 × 104 2.46 × 105 0.00 × 100 cells†† freeze 78 Not done Not done 4.34 × 104 4.57 × 107 5.51 × 107 6.69 × 107 7.41 × 106 thaw LAP/QAC## T5 79 Not done Not done 2.66 × 104 3.83 × 105 2.02 × 106 2.85 × 106 1.30 × 106 CHO-HA-10 80 Not done Not done 5.84 × 104 1.50 × 104 4.23 × 104 3.90 × 104 1.08 × 107 cells†† fresh 81 Not done Not done 2.34 × 104 2.38 × 104 4.33 × 106 2.44 × 104 7.34 × 104 LAP/QAC## *RT-rtPCR—Real-time reverse transcriptase polymerase chain reaction assay.", "**Mean titer viral copy number - Samples where no copies were detected were factored as 0 for calculation of means.", "#Media - DMEM, non-essential amino acids, L-glutamine ##LAP/QAC—Lecithin acrylic copolymer plus Quil A cholesterol.", "†Control cells - CHO cells not expressing HA.", "††CHO-HA-10 cells—CHO cells transfected to express HA.", "Example 14 Summary Vaccines prepared with LAP or LAP/QAC adjuvants stimulate serologic responses in poultry, mice, swine, and elicit protection from live NDV challenge in poultry; however, the mechanism of immune response development to these vaccines has not been studied.", "The present study was designed to investigate the cytokine profiles of splenic lymphocytes from mice after a prime and boost regimen with LAP or LAP/QAC adjuvant, with and without antigen.", "After in vitro stimulation, splenic lymphocytes from some animals vaccinated with LAP+OVA or LAP/QAC+OVA produced increased levels of IL-4 mRNA relative to media controls.", "Large variations in IL-4 mRNA expression were observed between animals in each treatments group, suggesting that further optimization of sampling times is required.", "Samples were also evaluated for relative expression if IFN-γ and TNF-α; however, increase in expression of these cytokine mRNAs were not observed.", "Introduction Cytokine responses that develop after vaccination with LAP or LAP/QAC adjuvants, alone or in combination with antigen, are not well characterized.", "Polarized cytokine responses, classified as T-helper 1 (Th1) or T-helper 2 (Th2), are initiated within hours of vaccination.", "The nature of the cytokine profile predicts whether the immune response favors cellular (Th1) or humoral (Th2) immunity.", "Identification of the cytokines produced after vaccination with LAP or LAP/QAC-adjuvanted vaccines can provide clues about the mechanism of immune induction.", "Methods developed through this study facilitate investigation into Th1 and Th2 cytokine responses associated with LAP- and LAP/QAC-adjuvanted vaccines.", "TABLE 1 Study Design Bleed/ Vaccination Necropsy Treatment Route of Day Group Vaccine No.", "Mice Days Dose Administration Day 16 T1 None 4 N/A N/A N/A 4 mice T2 LAP* 4 0, 14 0.2 ml Subcutaneous 4 mice T3 LAP/QAC† 4 0, 14 0.2 ml Subcutaneous 4 mice T4 Ovalbumin in 4 0, 14 0.2 ml Subcutaneous 4 mice LAP* T5 Ovalbumin in 4 0, 14 0.2 ml Subcutaneous 4 mice LAP/QAC* T6 Ovalbumin 4 0, 14 0.2 ml Subcutaneous 4 mice *Lecithin Acrylic Polymer †Lecithin Acrylic Polymer/Quil A Cholesterol TABLE 2 Treatment Group Vaccine Components: μg per 0.2 ml Dose Treatment Group LAP* QAC** Ovalbumin T1 — — — T2 10001 — — T3 1000 502 — T4 1000 — 50 T5 1000 50 50 T6 — — 50 *Lecithin Acrylic Polymer **Quil A Cholesterol 1600 μg CP; 400 μg Carbopol 934P 225 μg Quil A; 25 μg Cholesterol Methods Study animals were subjected to vaccines at days 0 and 14, and spleens were harvested 48 hours after the second vaccination (day 15) (Table 20).", "Concentrations of treatment group vaccines are shown in Table 21.Splenic lymphocytes from each animal were isolated by gradient centrifugation and cultured in vitro with media, ConA (10 μm/ml), LAP (6.2 μg/ml), LAP/QAC (6.2/0.062 μg/ml), or OVA (10 μg/ml).", "Additional in vitro treatments (culture with LAP+OVA and LAP/QAC+OVA) were performed if there were sufficient numbers of lymphocytes.", "For the additional treatments, OVA was added to cells first, and LAP or LAP/QAC was added to cells last.", "At approximately 24 and 48 hours in culture, samples were collected and RNA was isolated.", "RNA samples were analyzed by real time RT-PCR assays for expression of cytokine genes (TNF-α, IL-4, IFN-γ).", "Levels of cytokine gene expression were normalized to expression of one housekeeping gene: hypoxanthine guanine phosphoribosyl transferase (HPRT) or acidic ribosomal phosphoprotein PO (ARBP).", "Relative levels of cytokine gene expression were calculated, using the normalized values, for in vitro treatments with respect to the media controls.", "A positive result is indicated by a relative expression ratio greater than 2, which shows cytokine gene expression for in vitro treated samples that is at least twice the level of expression observed in media control samples.", "Results Relative expression of IL-4 mRNA was evaluated for samples from all treatment groups after 24 to 48 hours in culture (FIG.", "13A, B).", "Samples collected after 24 hours in culture with treatments as listed above (see Methods) using ARBP as the housekeeping gene for normalization purposes.", "The highest relative expression levels of IL-4 were observed in samples from vaccine treatment groups T4 (LAP+OVA) and T5 (LAP/QAC+OVA).", "Samples from vaccine treatment groups T1 (no vaccine), T3 (LAP/QAC), and T6 (OVA) were also evaluated at 48 hours in culture using ARBP as the housekeeping gene.", "Increased IL-4 expression was observed for some animals in each group after 48 hours in culture with LPA, LAP/QAC or OVA.", "Unexpectedly, ConA-treated samples did not have increased levels of IL-4 expression with respect to media controls; however, increases observed in samples from T4 and T5 indicate that cells were viable and were able to produce cytokines.", "In order to determine whether relative expression ratios would be greater if an alternative housekeeping gene were used, samples collected after 48 hours in culture were assayed using HPRT as the housekeeping gene for normalization purposes (FIG.", "14).", "Increased relative expression of IL-4 was observed for vaccine treatment groups T4 (LAP+OVA) and T5 (LAP/QAC+OVA) after in vitro stimulation with LAP.", "In vitro treatment with LAP/QAC stimulated IL-4 mRNA production in samples from T2 (LAP) and T5 (LAP/QAC+OVA).", "Increases in relative IL-4 mRNA expression were also seen in cells from vaccine treatment groups T1 (no vaccine), T2 (LAP), and T5 (LAP/QAC+OVA) after incubation with OVA.", "Relative expression levels of IFN-γ and TNF-α were also evaluated for in vitro stimulated samples (FIG.", "15 A, B; FIG.", "16 A, B).", "No significant increases in these cytokines were observed.", "Positive control samples (ConA stimulated) were evaluated for selected samples; however, these control samples did not have increased levels of either cytokine in comparison to negative control samples (media treated).", "Samples from some animals were treated in vitro with LAP+OVA or LAP/QAC+OVA and relative expression of IL-4 mRNA was evaluated (FIG.", "17).", "Increases in IL-4 expression were observed for 48 hour samples from one animal (1-3) from treatment group T1 after in vitro stimulation with LAP+OVA and LAP/QAC+OVA.", "Analysis of samples treated in vitro with LAP+OVA or LAP/QAC were also analyzed for relative expression of IFNγ with HPRT as the housekeeping gene.", "Relative expression ratios for these samples were all less than 1, indicating that IFNγ expression levels in treated cells were lower than the levels observed for media controls (data not shown).", "CONCLUSION The highest average relative expression ratios were observed for IL-4/ARBP in samples collected at 24 hours of culture.", "Samples from treatment groups T4 (LAP+OVA) and T5 (LAP/QAC+OVA) showed the greatest increases in relative expression of IL-4 message (FIG.", "1A, B).", "Analysis of samples from individual animals showed that there were large variations in IL-4 expression ratios among animals in these treatment groups.", "Cells from one animal in treatment group T1 had increased IL-4 mRNA expression after 48 hours in vitro stimulation with LAP+OVA and LAP/QAC+OVA.", "Positive control samples (ConA stimulated) did not show increased cytokine expression as expected.", "Increases observed in IL-4 expression in some samples indicate that cells were capable of producing cytokines; however, further optimization of assay conditions is needed to determine culture times at which cytokine expression is at a maximum.", "No significant increases in relative expression levels of IFN-γ or TNF-α were observed.", "Because ConA positive controls did not show increased expression of IFN-γ or TNF-α, further optimization of assays for detection of these cytokines may be warranted.", "Having described the invention with reference to particular compositions, theories of effectiveness, and the like, it will be apparent to those of skill in the art that it is not intended that the invention be limited by such illustrative embodiments or mechanisms, and that modifications can be made without departing from the scope or spirit of the invention, as defined by the appended claims.", "It is intended that all such obvious modifications and variations be included within the scope of the present invention as defined in the appended claims.", "The claims are meant to cover the claimed components and steps in any sequence which is effective to meet the objectives there intended, unless the context specifically indicates to the contrary." ] ]
Patent_15875860